repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/loopback/tope_TestBench.vhd | 1 | 6,465 | --------------------------------------------------------------------------
--Autor: Jorge Márquez
--fecha: julio 2008
---------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity tope_tb is
-- generic(
-- vwidth : INTEGER := 8;
-- order : INTEGER := 5;
-- num_cols : INTEGER := 512;
-- num_rows : INTEGER := 512 );
end tope_tb;
architecture TB_ARCHITECTURE of tope_tb is
component tope_rof512_uart --componente
-- generic( --componente
-- vwidth : INTEGER := 8; --componente
-- order : INTEGER := 5; --componente
-- num_cols : INTEGER := 512; --componente
-- num_rows : INTEGER := 512 ); --componente
Port ( tx_female : out std_logic;
rx_female : in std_logic;
LED : out std_logic_vector(7 downto 0);
RSTn : in std_logic;
clk : in std_logic); --componente
end component; --componente
signal tx_female : std_logic:= '0'; --decl señales
signal rx_female : std_logic:= '0'; --decl señales
signal LED : std_logic_vector(7 downto 0) := "00000000"; --decl señales
signal RSTn : std_logic:= '0'; --decl señales
signal clk : std_logic:= '0'; --decl señales
signal TT : std_logic:= '0';
signal byteindata: std_logic_vector(7 downto 0) := "00000000";
begin
UUT : tope_rof512_uart
port map --portmap
(clk => clk, --portmap
RSTn => RSTn, --portmap
LED => LED, --portmap
rx_female => rx_female, --portmap
tx_female => tx_female ); --portmap
rx_female <= byteindata(0);
read_from_file: process(TT) --read_from_file
variable indata_line: line; --read_from_file
variable indata: integer; --read_from_file
file input_data_file: text open read_mode is "C:\MATLAB701\work\lena512_syp_inicializ.ser"; --read_from_file
begin --read_from_file
if rising_edge(TT) or falling_edge(TT) then --read_from_file
readline(input_data_file,indata_line); --read_from_file
read(indata_line,indata); --read_from_file
byteindata <= conv_std_logic_vector(indata,8); --original: D <= conv_std_logic_vector(indata,8);
-- rx_female <= byteindata(0);
if endfile(input_data_file) then --read_from_file
report "end of file -- looping back to start of file"; --read_from_file
file_close(input_data_file); --read_from_file
file_open(input_data_file,"C:\MATLAB701\work\lena512_syp_inicializ.ser"); --read_from_file
end if; --read_from_file
end if; --read_from_file
end process; --read_from_file
-- write_to_file: process(Clk) --write_to_file
-- variable outdata_line: line; --write_to_file
-- variable outdata: integer:=0; --write_to_file
-- file output_data_file: text open write_mode is "D:\JORGETESIS\proc_HW1lena512_syp.ser"; --write_to_file
-- begin --write_to_file
-- if rising_edge(Clk) then --write_to_file
-- outdata := CONV_INTEGER(tx_female); --write_to_file --original: outdata := CONV_INTEGER(unsigned(Dout));
-- -- if DV = '1' then --write_to_file
-- write(outdata_line,outdata); --write_to_file
-- writeline(output_data_file,outdata_line); --write_to_file
-- -- end if; --write_to_file
-- end if; --write_to_file
-- end process; --write_to_file
clock_gen: process --reloj
begin --reloj
Clk <= '0'; --reloj
wait for 10 ns; --reloj
Clk <= '1'; --reloj
wait for 10 ns; --reloj
end process; --reloj
TT_gen: process --patron de transmisión (8680=~1/115200)
begin --patron de transmisión (8680=~1/115200)
TT <= '0'; --patron de transmisión (8680=~1/115200)
wait for 8680 ns; --patron de transmisión (8680=~1/115200)
TT <= '1'; --patron de transmisión (8680=~1/115200)
wait for 8680 ns; --patron de transmisión (8680=~1/115200)
end process;
reset_gen: process --reset
begin --reset
RSTn <= '0'; --reset
wait for 20 ns; --reset
RSTn <= '1'; --reset
wait; --reset
end process; --reset
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_tope_rof512_uart of tope_tb is
for TB_ARCHITECTURE
for UUT : tope_rof512_uart
use entity work.tope_rof512_uart(comportamiento);
end for;
end for;
end TESTBENCH_FOR_tope_rof512_uart;
| gpl-3.0 | 12318eb820f67748a64c8d16d97386c3 | 0.403248 | 4.272968 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/wr_dc_as.vhd | 6 | 10,866 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6304)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127kbtAyBeA6eaiyAy+4B81Hnvr
iAh0JDvLp7NSQW0lbcIRuBG/192VO83+S0O41kKG1SaE4uICGpv+bvWkk6CgydXtasIvchDvp4dK
of6eSL5HebZ8eTtAMZ5PDvKJ2Ue0Be+iqTPh9TdNWoNpcbWZhZRo5EOCi0cDOSw2jNPLiyit/2Co
Oa2jf4Dq+HDtFn903XEdm66Ank4FdRu2JfNHnOla7mIVSTQ22279B9zeNdEFFsvacH35WGdwIn+R
zVP69CCwCOnzCkWuZxobFCqynlMOguiGITQtamD+mPA1Px+jKnRgm83bQZ6D+6RCfYN1/5M6jAou
Q/ijS2pM8j5YFnjNMs8JDfsKFjmRrhSJN/UAklCGmwnDqvBXVwCj4byQHwEomIhha/kumn3ahyqh
RNmZ51p9saVpLBQz2zm6RtIS4DaO3zxDFuKOBxdsP9khvWbjjj+xSZE9gTtvXfgW9Dgi3j4Wo6w8
ugi7AbZrrBECs/w7m8QiS7cCO+pG9OBDC8UNoVA0ac9TbwX9UaFrXd7g9JYRu5g1mu62PynLHaDG
rIWRs+uTLvIFbovp6MRGL2SKdsg7ISSCYN2NKum6QL4MQEfcimjZlPtE+VkbbOuHonO9vI6vyzM4
8CjqYG0V0PXEeK7rpt324iN15bjrjg+owf0arEg5wvQfHIPAKel9Z9Yq92E0XabGaUc/46n2pG4+
c5MC/YjeM8FEuCjZCd2E6sDOL+AA2f+Vp5sZPaIPJ3w6lZ6dsfAuS71mDkENg0wbS510p+PAw1S6
iOXaJRGM7bH9ObDK1PlKGlFnoFZ+QgvdREwjLRbUxtqd5EiAnhsPO3TzMnqYLhOqbKujg3vd7+1v
eRY3dVocy5ZrNFKTzlaZ8faNA1I5jv+yZqTzX8PXV+jqis8ofyYXmzhqgQOXOw6RpWDCkIe0xHml
tsJu0VmvA8oIa+fWpo9YLuOGF3GOK5SRBxkscEy4abrLk0BPBQC3JVj4Mp6fc1Ipm/8munucstUq
RGQ8tBi/yXcCisK8tOfhryVZGLw9qyc7xvkCLivZGezeGaG9hB+IJktQ92LsyePCpUvu7Nytau/D
UoEG6o9/s3/4ZdVHv9ZUhOW249Gco2rk8Iyd0gIP4ijDrfMcMIB6NauowoEDg+eEY+nEdaNQ5t6c
FUxC+CDRNTtbQlqmwKlhNeql6C3f2UySbnmzAB2ItHF8nBkAa94R4vVGGhWPwPSMPrMf4tYi5OUB
EOxjy2j17g4JZRE5xvVAtkor4yy+yArlT7+lCDcmbFFB4Xg/wo+GljeikDTt4U2FAju4j3Bl+vrm
f275DA+1afSeR0frG6ilsG+3dBrZHPTj3DsJU6xz/jaZRFF4Mddlhc9+OmRl32eKaiz2eykL76Z0
vrVH9e/uTbcz5HU8JiUlKnCiIG7WpeIP0a7z+/1KSKc5CLWWoQmqeLYaEabMoqGblBP4YtON5Rfe
5RzJv1tZwDOPNEyQGwlhwY9A17fs1TdOMMvA4rdnXbmpSulLymD6mPH6V5eXy8EDTOeLV8eDWzrU
LtkDWtmPX66fwEChoJcSleBZfEpGiapkw9k30YiQOQDL2wpPlunfqGMpaA+GULwr2rksyasvt/pI
ezpSyeVcHp0L8Rm+fqxkbWCwwmbQAe8AfzHyjUNNKfAZ2rE490EFMN62rQkURUN7qNNbMYU/AkKt
uxL2eWbpMPkY+k24fw4lM/lpBcg5oClwDd76f8cGXixCp44FeZUwqM7KHKKDGgSahjkPjXe3UGvs
Jsb0vaS9gCKdHSx77RghzszO/yZEtqaRBN7foDp4PjlNiGfOPEgNmTFbK1egV/hfEU0CbS7/IhVC
4byuMsoy9P70u/2SEVn4QD+NtywoSzkoQj8fYyjLRWOgelyDVfJnhrE7p6JNHJw2MDy41kkMRkm7
IyMUkFZL0irJ5hhPK630SLBy1z7wwAoUAh4zRQ9CQXfqa9Od7zaPHijt8S0kkueSptzEvJ4EjhOY
AnmfjOV0W/96oeVhJOwlZSDFOP7uP5jhYLteWxpnfshLxwPkjSwaAUZyz3VdE3I0CArvG3GXfFs5
WPH3+GBRSJ0+2kAP9o1NyIIp9NUGQgBFsle2Ocp69T6gRt78IDkQPc/ZZ9aXd2hSXQcmQOPGlvSq
2yTTIdVAVS5r3lIofzDaOen1UStAgcD42mgZB2O1HI8gveBLU7V+awl24rFisaK2psoni7pgOGRG
OYwl/PMvzkApAdeuv2qjAReP4g+EZSNY4He5/z6hfa6fDfi0abtQ9viFVovvGw8a9t8Ly1F1Ipc1
BYczg6/5EcB2R5tSEdhu9UDolhhqBp4ofpmqjE0CdSwjE9+TYcllfwbDncrzNrw3CpLB6BwAVbqa
7/iDVamhUg97y+p50ZWPmM1aoND3qlTYf7gqYTw4sy0OL7oGA0Kd5VeOT8OaGYLmnKPZUm9rE9oy
tETGp0GDsqdMWc26tduy3rrG/GuZNyWCKz5R5y84dyKcVO3zSJhixDP48z0f6xlIgx0pWtpfYjqL
MYe/qlu6+hE8Hf/YoZB+CZkXCtYIQJhpgMtDF6jT/Q8SHSkmjV1iKeOUwtSjn4/HGFnh7aldepcP
WoAxQrIq4sgq/KBzSEZaJwY/twXdkZLORHieJJy0JtDzaCvqF3xqMOUyWaTEkeSG0Gz+TLNC/MQC
aLDcgdu7W5slZl1mFrNWmrnXxgDUzZfflNmMkPgfGELJUMHs9K3B4TRn7GZdm6jua2sB33hsvOhT
uvtmfGK00R7ycY5RDEeHQa50fY9NtPyB1YHVumuR66akpYOIV5iVIcvS7TfSkBSOEyAvsZzE6v8S
MoLRGZHUfcdV0a4fW7io5dWZf5HRRv58UjZNq0qJ/I6zWZRG+K5fH4TtPx/qZEOKV1muVRZ2oUE6
L43YM+F3QZYV9tL51lR121zGh9ADZBHsMontC8PxxMctR1/biqtYRsxrdeuWXvDz1GBDy58VlkxS
9caO4a37FNUjLEq92dsGMd7LV9hOURxHWnrgpW6ElhKO62tcbNQfmG3xTF6OmYoRliUBGLcbhQNc
rCIPUSOsP0jOKTzSJGcb6juF2vFxQBRamvY68pYjvu+r2mfghKt3MN+uhB2CvmYovNVfEbYHv6vX
qiG6FvjeQxFZNYWZGrw3dmsYtj1XbyWKuhIUyAOAQEUpC62kFBDlQQHj2MDjixqt5Xy0mNvkxlec
8xUktbTPqo9louSV1GzTbKABrXSSHhGkuJtyZri818Okn//eZaRQ1RyEO0wfoF4z1vmqD+Agd++m
PiV4yU1V+SajWeZjIGElVAtRoTErqsnU7Mfzs6r44cqilita0VH/UGMM5VzNeiCdcV5Px9Se3pQT
4KXwJLFIY5HviMHPXOfqlBnFcdfVwTnpPWOfnchsmj1NihR+J0wMHjU12TtJuScGWmW25WgSEEQt
SbdLU5ZJ9oQeDB8gf7k9ioFyBzf+lxT0Wcj05E0QN8I2HYBBu5VBoqMD42y9gSXhNqdg/MKJnLrO
dz7iZr8C7W2//UoS2wvIdQCWG+G5PG2nV2Vr91bsfHqrkqbhT3a2yDMUbkn48bTybV1+W9Qd2aGm
TI4rMhYatFGCougrjjeVZXtgyA07g4YdC8waiPTJZwSU3LSLyh0efZxC/hRs85wOSMs2hkpML41A
TBe3yRWdwqj5eWmNxQQtaiKAtRqQyXIW3DB4Ztv4LMCX6u/8+i2w2dgx2DC0zF9skHXAVz3CtPjG
bPURDMwAt4snDBdueNiBHAlQjaW4NoQFdJCxTAfOf6fxNkCZw9m6QLWgdw9zITlIc03YnWk3JwNk
dRffgp56GlC+7BRONLv0v3GHK3XnWM4/d2xWGZexqFPMGjmqfZgmDhqTPEDfoDv8e62Wj4Imnt6s
sxuRTACo7zZBqOURMQQv0ZqoP5eHI3fh7kBHHBKr6+6gegwkl5SSCunO81WiNWy1VYSd6qtvINpS
kSH8BApqIiPttMPCQC3Srv7NFdep9nBnRYKH5YSHT6G60FTyJjsx/7w9ZPHCvzK6beRCZC/YDJzY
UsWZIOErNJ9tu0/6fuKVE3+I3agCC2AGyEr2TmQN+j1u6gp0bgtdXwow+NRZaP0xTvQcbOT6yUWC
imrJxYqucKaqvg3eyZD9pn6capWd0/N3pjECyMNiorwHKx8uFQbp8nrepoLVgbfmd8sOmZA7c/cZ
t4Qdi44SYWpegYlg5FE/DgtEteVE+iZepWc+T+XbydrYoKy0V9KmF09snAW0ct+snAriTwUFtalq
2Cl/GHpVZ/pMJ8Y+bksniAGOyDB8Govp7e9E0eQxIYn1h7OvzYY+RPYsutNYpqiQP8xclvs6oMlk
Gd29W9dL5dzRV3NdbqljxTyOgh7Fm2du1Vos+eFikTG0aiAVsv80jKK7hxwvaDsTkDnwWW+91n7h
rDTWxlq4iq1h+jkcQ3gH7vcRwY5895vpAOyKg3O/gj4NOm166cPSWLJygWbVjYAXzqnOInDyT2zF
lsw4wLcSjZk8+ed2Z1HZ4p0czBq6cDaIsp7nS05ivfEqtprwPFVVB6sJVwKt5miuO+yP1zqaFgbz
w9l1ITCTfZmRuQ0zSIjUDrHUR5+XJkICyv6op7oOShZ1byemnsfSlbGK4qM1JlwVoQYYXSoQwhzg
hDgRAyOw7+PYBoXwUWSZ4Ay5YL6rWyWymVFDMnYnBo505SmZoF+htS85Q/MiSWB7FoUYy6LXm2C3
T0Ov+vBwvRlXWqZ645gVHLFqAavwOKBHwdXsmq6HNKGHoQSsaH8fQyf0eET/fIxaGOxV1SuXCNN3
728G8U3pNArYGDqxLjIJRSDalbfckM+gj10wD5+YUlvIL846E6N5NZmq5atnQT3iguOvdKT1kdgc
10Lxaft/9bkEBduG4/TezrTZwJ1qNE1Y5ysWgXBTUocUx3mpKFWLyuh8gQqafcWI4RWm/lel3XXK
1X0pH/dsJyKBd8oPp9LU7394r7Z/suAIRi+4XOsX9/CKyqjq7IIBlO5X/PxtB7RtlGMCg5V6CLe4
4jrgJ9DIaxueuu2IoyDM6fxK5EUF/q3PuLkp94h2Y0gnSx1yCDpzuj4PZld+D95W+iKF08wQArsO
3YwpLBuYMebZLeqNiMs0YaLmtvnnKl3IkLhoRGS/c8c5lcTwqnmvbBr+MTWG6GgeUmiUmC2mQNmJ
ZX20VEKJiEhqrOcQM4r0XnHY97jo35oIBiByZ74uHn/rB1lBLU6CIHHwTa1CJcfRIUYLbTK/SYKY
e1bTwgnkEuYP5mRkT18JFRWt4NGU/v3g5/r0Vt84nJZB1HIJo3I+9EjrjG8jCs2Y9pgOW8lK5IG/
w5Z4YO2ztBLV9MklhyUi8aPtPFAZjDj41YTp+A4uBmb+PnMZS/0wSi+iAF0+j2wMOrNcs9e9TmNO
wmYDB5YjG5xhgsBqx4hwsZPDDjoUq/ieBj0q4S8Otzsfxtrq4FeKHz4ci25yLGIysSH1c2+dii+3
erD0e8+90ydvgDFHkJ6yeAav0PExRK0hIeeMwMUZgPB7plCVSNRCz11+SWf3TpUVSTfUnE5fr/VY
7A3RjtxrltxH1adm7vBh0wlAnysWSEYS5R4BYGBnsyhSI0JtNyp/7EKVp3NuucIPA4bavgBPKUgw
cOJiAs0PbvFe/umSi0Cr4Kn/4JfAcTfU4qN8RJwLIFMIBWtIHGwIWq/YOjnUrEnJ4DQdhBA+Comk
dHDvr2FhJJknfo8NFePPTXB96bRLsroHYWBdcKTQzx2thVCumHB6ISt9LLE2fZAz7y+D/BalyOFZ
nX0rVcObymIm185bd1WQJ0/PBvpaLTKshk6/r8ip4dadK/64FO49fz2UrQKrD1jlHgb+KVKoBO6D
fkI9aN+dtwhtNImeGnj1hL1ALPMlLD3zOHhTmYv3a5sJNb/oz1SZ0D5bjR51iYUbxufC2WEm/oOw
o8ZdDj/oBFxXxuNJ3jwY8lgoZ7bdOaY4Cy4dGIexvWSGqZl9pbHc8zg5dOfcVVjlr8orf3WG44Iy
8xsKVfJgvxm3ZqR2lfk+76Vk/lwHSukpOX4CC6WRmLob7qvIf907lKVRjwmv8TVxy8/LaiLhow/z
Gn3npFp/1N+CRQw1F1NtdTkmEqAYD3d1jGy9d65xzoiIRElOOkM2P8eA03kgn2vJuF22wER+QsKn
uU4IHCSGguo13UM5+IccgJ3hIy4ORGbVddDllmLk/b/qupVyOMQvBrUW+ADmd7g44kAglbifanWZ
WHV+MpWQyOjgTGNYHHVdBaCDHy7F8HZHWeCibkbo9i0f3nQ8XtpNDLoxLkaKcSUEsqL0doGVothZ
DtIJuYuct7X6CovJ5NzzwCQQexfN/WRs7ZPcaR4Utjt8AaH+77tWmxhpmU8glirYkJvvA48dOq7y
/l4QNH+2k/CW4Y/pPMZQgBvYD5vsE6cGBDaXvz8yjWexK3kJgXskEshrbqujsAvogtUOjt/GWqBG
YYzcbC25MjizIIkVsyYcac8uxinmVpcEk9xAhzML5+RKJbRSIkTO0QhvVtAskD6LGIZ80Z/XPLRS
hNVWZCALOgboycNNs61pBqIcpg7RGjkb6YYqNXcr+uGkrWDbzICfNdHDR2aN9VQ1gXdrDJfam2IN
YRZRRDb9W5aa79JufCACzOx3IiyZgYkVdqbA/6C6jz5mynHglYJ30pLveUqlfuXqo3i3Z7ohnaoM
9VgZHiArgP4ynbRjEzGW6NXYBoJJ47vCj9GN7BClTHUzal3HsXd7s/atO/rDpkd1+JLGVtaVAxc4
oItlEQMpUy/2/cetYbTYLRzYMWFQpKohE+SvqBRdmmGC391dhBw5jM/YeiP830d1Pae70TBF9w83
og+14vn5cIvbxcgOe2aPQW/xpR7cyGX+2PBqkbGdJWocDhLOmZ/cgPbWFinY9+THQ3KwdeWEmGrz
VejCKEkRw7PPA80ExYGJtlRet0EpZFNCFcAKSt6R+hlEORgQeSsPAHGM0whmzRLri0/DcFHSy3rF
SJZS/5a8j9Ko4iNU+40So1NuKObSaAnh9c5ztFsFBzdAXuCR/AD2tw6BUc3MyIHJ7zmVIpooui4+
bP/zH350kVbCHoTYRuRiWBbOGjfI2g+WuClCWP60K4juILHKIzc8RXeNDXQmnEZHEyXjtuKVxEdx
Aw9jGYObTYjKpB3MpqAAmSdEg4C4KbE2QHUhciJ1av0LWnrMtk+HvDln1SkGM0u6konHcPL08nYk
g/11agLVcF3+BdBm4IWVSD0uYE7zoAWA+oEWx7w+X9oUlq8QdAIHfWKJdVuU/fSUe0hOCwNdSrZS
lii85tBlat2AYUaYAZMQHWs0JBUgWsht9URH8wtv/BomIuO3cHLQC/vIPODahWdxJid1ce4uFnsQ
qukicJI4Hjzb+aEmzyEFdC/E0CgxhECoRPySXoYG+piItjFxqgRro5g7w0hqiT8ApyERR/58Kk6B
+phTBF0jC5n0v2R/pjjQ/r6iVeUfYTdM9lJBdZCRKoeTz0k0b+d+bw2HzWLAidQMYeTnidFW52SY
zriuWS1yKwnenKF5v6Cai/3D3Gg3Vo5GdAGSVo0D3rgpLwcfQ2tTASOWvyEajRrG7a6azNDx7ext
g80+km97s22RwHlXHZBtej2HnPzkBtLhSkyUHx6va1VGsRUJGthlfiQeYsHrOy6/g+g5XfP7qxkM
kf2IUQHNWA9lDrqCM6wOuouWwAH8W++iTUVeVbHyhKIZVDgV8c3Dy9sRtsgD+hLN5cPNEG5zeFM5
7atzSf33U+kBMrbKG4Rp/fj6ZZkRNHyDutyOSkhu4J9Qj1BwYzcOWD6EJqVcWeYVtQjyJBXog69z
WIF8sefzVO9NtidGf+uFuBneHtR/g/84OSBj811Vml/M03QH3nY+k3iVgcAQJUoN6thpBKzA6i6Q
vKCD2Oq7+bziRJa8WQITEUc5dTycCsd4fJ6Kytnw0upepDm1j4ZOujG2Z4RRvBsAm0ztycFhxjhX
LGztYTzg38K16PIzZhEoHyTp7DrxLl7+8dsUCH8xl8izh8OT/nxvYG3mM8/irzcz4mHlHSkhVn9/
Pk6qsC7W73pFhaOTYrg8Hulot6xaIbb0imbJeWIIruOcdCCUmAGSiHjNS33iVXr2GZ6loOMw4//N
ifnVh/YVpNsh1j2qp8wW6L/bgu6oV4sBs9CGC/oqBzFio81UBuzaQavhtD9kjVSZiP1ciRBZpTEw
Ytcxc46ZTVPmsLQe3McokretVU1RyILH9oIt7C8tQ5/YLQ==
`protect end_protected
| gpl-3.0 | d4b16851b84eaf844602390c3c6485b9 | 0.926008 | 1.892372 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/metronomo_tb.vhd | 2 | 1,541 | library IEEE;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_1164.ALL;
ENTITY metronomo_tb IS
END metronomo_tb;
ARCHITECTURE behavior OF metronomo_tb IS
COMPONENT metronomo
PORT(
clk : in STD_LOGIC; -- Reloj de entrada de 50MHz.
reset : in STD_LOGIC; -- Señal de reset.
btn_inc : in STD_LOGIC; -- Incrementa la cantidad de BPM.
btn_dec : in STD_LOGIC; -- Decrementa la cantidad de BPM.
d7s : out STD_LOGIC_VECTOR(7 downto 0);
MUX : out STD_LOGIC_VECTOR(3 downto 0);
clk_out : out STD_LOGIC -- Reloj de salida.
);
END COMPONENT;
--Inputs
signal clk : STD_LOGIC := '0';
signal reset : STD_LOGIC := '0';
signal btn_inc : STD_LOGIC := '0';
signal btn_dec : STD_LOGIC := '0';
--Outputs
signal d7s : STD_LOGIC_VECTOR(7 downto 0);
signal MUX : STD_LOGIC_VECTOR(3 downto 0);
signal clk_out : std_logic;
-- Clock period definitions
constant clk_period : time := 20 ns;
BEGIN
-- Instancia de la unidad bajo prueba.
uut: metronomo PORT MAP (
clk => clk,
reset => reset,
btn_inc => btn_inc,
btn_dec => btn_dec,
d7s => d7s,
MUX => MUX,
clk_out => clk_out
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Proceso de estmulos.
stim_proc: process
begin
reset <= '1';
btn_dec <= '1';
wait for 100 ns;
reset <= '0';
wait;
end process;
END;
| lgpl-2.1 | 6695696c20e69eb2c1b0b41387333453 | 0.587662 | 3.049505 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/multiplexor_frecuencias_tb.vhd | 2 | 1,918 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY multiplexor_frecuencias_tb IS
END multiplexor_frecuencias_tb;
ARCHITECTURE behavior OF multiplexor_frecuencias_tb IS
COMPONENT multiplexor_frecuencias
PORT(
clk : IN std_logic;
reset : IN std_logic;
selector : IN std_logic_vector(2 downto 0);
salida : OUT std_logic
);
END COMPONENT;
-- Entradas
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal selector : std_logic_vector(2 downto 0) := (others => '0');
-- Salidas
signal salida : std_logic;
-- Definición de reloj
constant clk_period : time := 20 ns;
BEGIN
-- Instancia de la unidad bajo prueba.
uut: multiplexor_frecuencias PORT MAP (
clk => clk,
reset => reset,
selector => selector,
salida => salida
);
-- Definición del proceso de reloj.
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Proceso de estimulos.
stim_proc: process
begin
-- Esta simulación puede tomar mucho tiempo (debido al tiempo de simulación).
-- Se recomienda ejecutar por tramos o dejar corriendo durante la noche o periodo con baja actividad.
reset <= '1';
wait for 100 ns;
reset <= '0';
-- Inicio de prueba.
selector <= "111"; -- Reloj de 4 Hz (tiempo por ciclo: 250ms)
wait for 5000 ms;
selector <= "110"; -- Reloj de 2 Hz (tiempo por ciclo: 500ms)
wait for 5000 ms;
selector <= "101"; -- Reloj de 1 Hz (tiempo por ciclo: 1s)
wait for 5000 ms;
selector <= "100"; -- Reloj de 0.5 Hz (tiempo por ciclo: 2s)
wait for 5000 ms;
selector <= "011"; -- Reloj de 0.25 Hz (tiempo por ciclo: 4s)
wait for 5000 ms;
selector <= "010"; -- Reloj de 0.125 Hz (tiempo por ciclo: 8s)
wait for 5000 ms;
selector <= "001"; -- Reloj de 0.0625 Hz (tiempo por ciclo: 16s)
wait for 5000 ms;
selector <= "000"; -- Nada
wait for 5000 ms;
wait;
wait;
end process;
END; | lgpl-2.1 | 588d43768a9f4b084f162de207587c90 | 0.661105 | 2.996875 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/pdi.vhd | 5 | 54,135 | ------------------------------------------------------------------------------------------------------------------------
-- Process Data Interface (PDI) for
-- POWERLINK Communication Processor (PCP): Avalon
-- Application Processor (AP): Avalon
--
-- Copyright (C) 2010 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2010-06-28 V0.01 zelenkaj First version
-- 2010-08-16 V0.10 zelenkaj Added the possibility for more RPDOs
-- 2010-08-23 V0.11 zelenkaj Added IRQ generation
-- 2010-10-04 V0.12 zelenkaj Changed memory size calculation (e.g. generics must include header size)
-- 2010-10-11 V0.13 zelenkaj Bugfix: PCP can't be producer in any case => added generic
-- 2010-10-25 V0.14 zelenkaj Use one Address Adder per DPR port side (reduces LE usage)
-- 2010-11-08 V0.15 zelenkaj Add 8 bytes to control reg of pdi mapped to dpr
-- 2010-11-23 V0.16 zelenkaj Omitted T/RPDO descriptor sections in DPR
-- Omitted "HEX Words" (e.g. DEADC0DE, C00FFEE) and replaced with ZEROS
-- 2011-03-21 V0.17 zelenkaj clean up
-- 2011-03-28 V0.20 zelenkaj Changed: Structure of Control/Status Register
-- Added: LED
-- Added: Events
-- Added/Changed: Asynchronous buffer 2x Ping-Pong
-- 2011-04-06 V0.21 zelenkaj minor fix: activity is only valid if link is present
-- 2011-04-26 V0.22 zelenkaj generic for clock domain selection
-- area optimization in Status/Control Register
-- 2011-04-28 V0.23 zelenkaj clean up to reduce Quartus II warnings
-- 2011-05-06 V0.24 zelenkaj some naming convention changes
-- 2011-05-09 V0.25 zelenkaj minor change in edge detector and syncs (reset to zero)
-- 2011-06-06 V0.26 zelenkaj status/control register enhanced by 8 bytes
-- 2011-06-10 V0.27 zelenkaj bug fix: if dpr size goes below 2**10, error of dpr address width
-- 2011-06-29 V0.28 zelenkaj bug fix: led control was gone and dpr addr width still buggy
-- 2011-07-25 V0.29 zelenkaj LED gadget and asynchronous buffer optional
-- 2011-08-08 V0.30 zelenkaj LED gadget enhancement -> added 8 general purpose outputs
-- 2011-08-16 V0.31 zelenkaj status/control register enhanced by 8 bytes (again...)
-- 2011-11-21 V0.32 zelenkaj added time synchronization feature
-- 2011-11-28 V0.33 zelenkaj added waitrequest signals
-- 2011-11-29 V0.34 zelenkaj event support is optional
-- 2011-12-20 V0.35 zelenkaj changed 2xbuf switch source to AP
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
USE ieee.math_real.log2;
USE ieee.math_real.ceil;
USE work.memMap.all; --used for memory mapping (alignment, ...)
entity pdi is
generic (
genOnePdiClkDomain_g : boolean := false;
iPdiRev_g : integer := 0; --for HW/SW match verification (0..65535)
iRpdos_g : integer := 3;
iTpdos_g : integer := 1;
genABuf1_g : boolean := true; --if false iABuf1_g must be set to 0!
genABuf2_g : boolean := true; --if false iABuf2_g must be set to 0!
genLedGadget_g : boolean := false;
genTimeSync_g : boolean := false;
genEvent_g : boolean := false;
--PDO buffer size *3
iTpdoBufSize_g : integer := 100;
iRpdo0BufSize_g : integer := 116; --includes header
iRpdo1BufSize_g : integer := 116; --includes header
iRpdo2BufSize_g : integer := 116; --includes header
--asynchronous buffer size
iABuf1_g : integer := 512; --includes header
iABuf2_g : integer := 512 --includes header
);
port (
pcp_reset : in std_logic;
pcp_clk : in std_logic;
ap_reset : in std_logic;
ap_clk : in std_logic;
-- Avalon Slave Interface for PCP
pcp_chipselect : in std_logic;
pcp_read : in std_logic;
pcp_write : in std_logic;
pcp_byteenable : in std_logic_vector(3 DOWNTO 0);
pcp_address : in std_logic_vector(12 DOWNTO 0);
pcp_writedata : in std_logic_vector(31 DOWNTO 0);
pcp_readdata : out std_logic_vector(31 DOWNTO 0);
pcp_waitrequest : out std_logic;
pcp_irq : in std_logic; --should be connected to the Time Cmp Toggle of openMAC!
-- Avalon Slave Interface for AP
ap_chipselect : in std_logic;
ap_read : in std_logic;
ap_write : in std_logic;
ap_byteenable : in std_logic_vector(3 DOWNTO 0);
ap_address : in std_logic_vector(12 DOWNTO 0);
ap_writedata : in std_logic_vector(31 DOWNTO 0);
ap_readdata : out std_logic_vector(31 DOWNTO 0);
ap_waitrequest : out std_logic;
ap_irq : out std_logic; --Sync Irq to the AP
-- async interrupt
ap_asyncIrq : out std_logic; --Async Irq to the Ap
-- LED
ledsOut : out std_logic_vector(15 downto 0) := (others => '0'); --LEDs: GPO7, ..., GPO0, O1, O0, PA1, PL1, PA0, PL0, E, S
phyLink : in std_logic_vector(1 downto 0); --link: phy1, phy0
phyAct : in std_logic_vector(1 downto 0); --acti: phy1, phy0
--PDI change buffer triggers
rpdo_change_tog : in std_logic_vector(2 downto 0);
tpdo_change_tog : in std_logic
);
end entity pdi;
architecture rtl of pdi is
------------------------------------------------------------------------------------------------------------------------
--types
---for pcp and ap side
type pdiSel_t is
record
pcp : std_logic;
ap : std_logic;
end record;
type pdiTrig_t is
record
pcp : std_logic_vector(3 downto 0);
ap : std_logic_vector(3 downto 0);
end record;
type pdi32Bit_t is
record
pcp : std_logic_vector(31 downto 0);
ap : std_logic_vector(31 downto 0);
end record;
------------------------------------------------------------------------------------------------------------------------
--constants
---memory mapping from outside (e.g. Avalon or SPI)
----max memory span of one space
constant extMaxOneSpan : integer := 2 * 1024; --2kB
constant extLog2MaxOneSpan : integer := integer(ceil(log2(real(extMaxOneSpan))));
----control / status register
constant extCntStReg_c : memoryMapping_t := (16#0000#, 16#98#);
----asynchronous buffers
constant extABuf1Tx_c : memoryMapping_t := (16#0800#, iABuf1_g); --header is included in generic value!
constant extABuf1Rx_c : memoryMapping_t := (16#1000#, iABuf1_g); --header is included in generic value!
constant extABuf2Tx_c : memoryMapping_t := (16#1800#, iABuf2_g); --header is included in generic value!
constant extABuf2Rx_c : memoryMapping_t := (16#2000#, iABuf2_g); --header is included in generic value!
----pdo buffer
constant extTpdoBuf_c : memoryMapping_t := (16#2800#, iTpdoBufSize_g); --header is included in generic value!
constant extRpdo0Buf_c : memoryMapping_t := (16#3000#, iRpdo0BufSize_g); --header is included in generic value!
constant extRpdo1Buf_c : memoryMapping_t := (16#3800#, iRpdo1BufSize_g); --header is included in generic value!
constant extRpdo2Buf_c : memoryMapping_t := (16#4000#, iRpdo2BufSize_g); --header is included in generic value!
---memory mapping inside the PDI's DPR
----control / status register
constant intCntStReg_c : memoryMapping_t := (16#0000#, 22 * 4); --bytes mapped to dpr (dword alignment!!!), note: 4 times a double buffer!
----asynchronous buffers
constant intABuf1Tx_c : memoryMapping_t := (intCntStReg_c.base + intCntStReg_c.span, align32(extABuf1Tx_c.span));
constant intABuf1Rx_c : memoryMapping_t := (intABuf1Tx_c.base + intABuf1Tx_c.span, align32(extABuf1Rx_c.span));
constant intABuf2Tx_c : memoryMapping_t := (intABuf1Rx_c.base + intABuf1Rx_c.span, align32(extABuf2Tx_c.span));
constant intABuf2Rx_c : memoryMapping_t := (intABuf2Tx_c.base + intABuf2Tx_c.span, align32(extABuf2Rx_c.span));
----pdo buffers (triple buffers considered!)
constant intTpdoBuf_c : memoryMapping_t := (intABuf2Rx_c.base + intABuf2Rx_c.span, align32(extTpdoBuf_c.span) *3);
constant intRpdo0Buf_c : memoryMapping_t := (intTpdoBuf_c.base + intTpdoBuf_c.span, align32(extRpdo0Buf_c.span)*3);
constant intRpdo1Buf_c : memoryMapping_t := (intRpdo0Buf_c.base + intRpdo0Buf_c.span, align32(extRpdo1Buf_c.span)*3);
constant intRpdo2Buf_c : memoryMapping_t := (intRpdo1Buf_c.base + intRpdo1Buf_c.span, align32(extRpdo2Buf_c.span)*3);
----obtain dpr size of different configurations
constant dprSize_c : integer := ( intCntStReg_c.span +
intABuf1Tx_c.span +
intABuf1Rx_c.span +
intABuf2Tx_c.span +
intABuf2Rx_c.span +
intTpdoBuf_c.span +
intRpdo0Buf_c.span +
intRpdo1Buf_c.span +
intRpdo2Buf_c.span );
constant dprAddrWidth_c : integer := integer(ceil(log2(real(dprSize_c))));
---other constants
constant magicNumber_c : integer := 16#50435000#;
constant pdiRev_c : integer := iPdiRev_g;
------------------------------------------------------------------------------------------------------------------------
--signals
---dpr
type dprSig_t is
record
addr : std_logic_vector(dprAddrWidth_c-2-1 downto 0); --double word address!
addrOff : std_logic_vector(dprAddrWidth_c-2 downto 0); --double word address!
be : std_logic_vector(3 downto 0);
din : std_logic_vector(31 downto 0);
wr : std_logic;
end record;
type dprPdi_t is
record
pcp : dprSig_t;
ap : dprSig_t;
end record;
----signals to the DPR
signal dpr : dprPdi_t;
signal dprOut : pdi32Bit_t;
----control / status register
signal dprCntStReg_s : dprPdi_t;
----asynchronous buffers
signal dprABuf1Tx_s : dprPdi_t;
signal dprABuf1Rx_s : dprPdi_t;
signal dprABuf2Tx_s : dprPdi_t;
signal dprABuf2Rx_s : dprPdi_t;
----pdo buffers (triple buffers considered!)
signal dprTpdoBuf_s : dprPdi_t := (((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'),
((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'));
signal dprRpdo0Buf_s : dprPdi_t := (((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'),
((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'));
signal dprRpdo1Buf_s : dprPdi_t := (((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'),
((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'));
signal dprRpdo2Buf_s : dprPdi_t := (((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'),
((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0'));
---chip select
----control / status register
signal selCntStReg_s : pdiSel_t;
----asynchronous buffers
signal selABuf1Tx_s : pdiSel_t;
signal selABuf1Rx_s : pdiSel_t;
signal selABuf2Tx_s : pdiSel_t;
signal selABuf2Rx_s : pdiSel_t;
----pdo buffers (triple buffers considered!)
signal selTpdoBuf_s : pdiSel_t;
signal selRpdo0Buf_s : pdiSel_t;
signal selRpdo1Buf_s : pdiSel_t;
signal selRpdo2Buf_s : pdiSel_t;
---data output
----control / status register
signal outCntStReg_s : pdi32Bit_t;
----asynchronous buffers
signal outABuf1Tx_s : pdi32Bit_t;
signal outABuf1Rx_s : pdi32Bit_t;
signal outABuf2Tx_s : pdi32Bit_t;
signal outABuf2Rx_s : pdi32Bit_t;
----pdo buffers (triple buffers considered!)
signal outTpdoBuf_s : pdi32Bit_t := ((others => '0'), (others => '0'));
signal outRpdo0Buf_s : pdi32Bit_t := ((others => '0'), (others => '0'));
signal outRpdo1Buf_s : pdi32Bit_t := ((others => '0'), (others => '0'));
signal outRpdo2Buf_s : pdi32Bit_t := ((others => '0'), (others => '0'));
---virtual buffer control/state
signal vBufTriggerPdo_s : pdiTrig_t; --tpdo, rpdo2, rpdo1, rpdo0
signal vBufSel_s : pdi32Bit_t := ((others => '1'), (others => '1')); --TXPDO_ACK | RXPDO2_ACK | RXPDO1_ACK | RXPDO0_ACK
---ap irq generation
signal apIrqValue : std_logic_vector(31 downto 0);
signal apIrqControlPcp,
apIrqControlPcp2,
apIrqControlApOut,
apIrqControlApIn : std_logic_vector(15 downto 0);
signal ap_irq_s : std_logic;
---address calulation result
signal pcp_addrRes : std_logic_vector(dprAddrWidth_c-2 downto 0);
signal ap_addrRes : std_logic_vector(dprAddrWidth_c-2 downto 0);
---EVENT stuff
signal pcp_eventSet_s, --pulse to set event
pcp_eventRead : std_logic_vector(15 downto 0);
signal ap_eventAck_p, --pulse to ack event
ap_eventAck : std_logic_vector(15 downto 0);
signal asyncIrqCtrlOut_s,
asyncIrqCtrlIn_s : std_logic_vector(15 downto 0);
signal ap_asyncIrq_s : std_logic; --Async Irq to the Ap
signal phyLink_s,
phyLinkEvent : std_logic_vector(phyLink'range);
--LED stuff
signal pcp_ledForce_s,
pcp_ledSet_s : std_logic_vector(15 downto 0) := (others => '0');
signal ap_ledForce_s,
ap_ledSet_s : std_logic_vector(15 downto 0) := (others => '0');
signal hw_ledForce_s,
hw_ledSet_s : std_logic_vector(15 downto 0) := (others => '0');
--TIME SYNCHRONIZATION
signal pcp_timeSyncDBufSel : std_logic;
signal ap_timeSyncDBufSel : std_logic;
begin
ASSERT NOT(iRpdos_g < 1 or iRpdos_g > 3)
REPORT "Only 1, 2 or 3 Rpdos are supported!"
severity failure;
ASSERT NOT(iTpdos_g /= 1)
REPORT "Only 1 Tpdo is supported!"
severity failure;
------------------------------------------------------------------------------------------------------------------------
-- merge data to pcp/ap
theMerger : block
begin
pcp_readdata <= outCntStReg_s.pcp when selCntStReg_s.pcp = '1' else
outABuf1Tx_s.pcp when selABuf1Tx_s.pcp = '1' else
outABuf1Rx_s.pcp when selABuf1Rx_s.pcp = '1' else
outABuf2Tx_s.pcp when selABuf2Tx_s.pcp = '1' else
outABuf2Rx_s.pcp when selABuf2Rx_s.pcp = '1' else
outTpdoBuf_s.pcp when selTpdoBuf_s.pcp = '1' else
outRpdo0Buf_s.pcp when selRpdo0Buf_s.pcp = '1' else
outRpdo1Buf_s.pcp when selRpdo1Buf_s.pcp = '1' else
outRpdo2Buf_s.pcp when selRpdo2Buf_s.pcp = '1' else
(others => '0');
ap_readdata <= outCntStReg_s.ap when selCntStReg_s.ap = '1' else
outABuf1Tx_s.ap when selABuf1Tx_s.ap = '1' else
outABuf1Rx_s.ap when selABuf1Rx_s.ap = '1' else
outABuf2Tx_s.ap when selABuf2Tx_s.ap = '1' else
outABuf2Rx_s.ap when selABuf2Rx_s.ap = '1' else
outTpdoBuf_s.ap when selTpdoBuf_s.ap = '1' else
outRpdo0Buf_s.ap when selRpdo0Buf_s.ap = '1' else
outRpdo1Buf_s.ap when selRpdo1Buf_s.ap = '1' else
outRpdo2Buf_s.ap when selRpdo2Buf_s.ap = '1' else
(others => '0');
end block;
--
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
-- dual ported RAM
theDpr : entity work.pdi_dpr
generic map (
NUM_WORDS => (dprSize_c/4),
LOG2_NUM_WORDS => dprAddrWidth_c-2
)
port map (
address_a => pcp_addrRes(dprAddrWidth_c-2-1 downto 0),
address_b => ap_addrRes(dprAddrWidth_c-2-1 downto 0),
byteena_a => dpr.pcp.be,
byteena_b => dpr.ap.be,
clock_a => pcp_clk,
clock_b => ap_clk,
data_a => dpr.pcp.din,
data_b => dpr.ap.din,
wren_a => dpr.pcp.wr,
wren_b => dpr.ap.wr,
q_a => dprOut.pcp,
q_b => dprOut.ap
);
pcp_addrRes <= '0' & pcp_address(dprAddrWidth_c-2-1 downto 0) + dpr.pcp.addrOff;
dpr.pcp <= dprCntStReg_s.pcp when selCntStReg_s.pcp = '1' else
dprABuf1Tx_s.pcp when selABuf1Tx_s.pcp = '1' else
dprABuf1Rx_s.pcp when selABuf1Rx_s.pcp = '1' else
dprABuf2Tx_s.pcp when selABuf2Tx_s.pcp = '1' else
dprABuf2Rx_s.pcp when selABuf2Rx_s.pcp = '1' else
dprTpdoBuf_s.pcp when selTpdoBuf_s.pcp = '1' else
dprRpdo0Buf_s.pcp when selRpdo0Buf_s.pcp = '1' and iRpdos_g >= 1 else
dprRpdo1Buf_s.pcp when selRpdo1Buf_s.pcp = '1' and iRpdos_g >= 2 else
dprRpdo2Buf_s.pcp when selRpdo2Buf_s.pcp = '1' and iRpdos_g >= 3 else
((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0');
ap_addrRes <= '0' & ap_address(dprAddrWidth_c-2-1 downto 0) + dpr.ap.addrOff;
dpr.ap <= dprCntStReg_s.ap when selCntStReg_s.ap = '1' else
dprABuf1Tx_s.ap when selABuf1Tx_s.ap = '1' else
dprABuf1Rx_s.ap when selABuf1Rx_s.ap = '1' else
dprABuf2Tx_s.ap when selABuf2Tx_s.ap = '1' else
dprABuf2Rx_s.ap when selABuf2Rx_s.ap = '1' else
dprTpdoBuf_s.ap when selTpdoBuf_s.ap = '1' else
dprRpdo0Buf_s.ap when selRpdo0Buf_s.ap = '1' and iRpdos_g >= 1 else
dprRpdo1Buf_s.ap when selRpdo1Buf_s.ap = '1' and iRpdos_g >= 2 else
dprRpdo2Buf_s.ap when selRpdo2Buf_s.ap = '1' and iRpdos_g >= 3 else
((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0');
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
-- address decoder to generate select signals for different memory ranges
theAddressDecoder : block
begin
--pcp side
---control / status register
selCntStReg_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extCntStReg_c.base and
(conv_integer(pcp_address)*4 < extCntStReg_c.base + extCntStReg_c.span))
else '0';
---asynchronous buffers
selABuf1Tx_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extABuf1Tx_c.base and
(conv_integer(pcp_address)*4 < extABuf1Tx_c.base + extABuf1Tx_c.span))
else '0';
selABuf1Rx_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extABuf1Rx_c.base and
(conv_integer(pcp_address)*4 < extABuf1Rx_c.base + extABuf1Rx_c.span))
else '0';
selABuf2Tx_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extABuf2Tx_c.base and
(conv_integer(pcp_address)*4 < extABuf2Tx_c.base + extABuf2Tx_c.span))
else '0';
selABuf2Rx_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extABuf2Rx_c.base and
(conv_integer(pcp_address)*4 < extABuf2Rx_c.base + extABuf2Rx_c.span))
else '0';
---pdo buffers (triple buffers considered!)
selTpdoBuf_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extTpdoBuf_c.base and
(conv_integer(pcp_address)*4 < extTpdoBuf_c.base + extTpdoBuf_c.span))
else '0';
selRpdo0Buf_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extRpdo0Buf_c.base and
(conv_integer(pcp_address)*4 < extRpdo0Buf_c.base + extRpdo0Buf_c.span))
else '0';
selRpdo1Buf_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extRpdo1Buf_c.base and
(conv_integer(pcp_address)*4 < extRpdo1Buf_c.base + extRpdo1Buf_c.span))
else '0';
selRpdo2Buf_s.pcp <= pcp_chipselect when (conv_integer(pcp_address)*4 >= extRpdo2Buf_c.base and
(conv_integer(pcp_address)*4 < extRpdo2Buf_c.base + extRpdo2Buf_c.span))
else '0';
--ap side
---control / status register
selCntStReg_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extCntStReg_c.base and
(conv_integer(ap_address)*4 < extCntStReg_c.base + extCntStReg_c.span))
else '0';
---asynchronous buffers
selABuf1Tx_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extABuf1Tx_c.base and
(conv_integer(ap_address)*4 < extABuf1Tx_c.base + extABuf1Tx_c.span))
else '0';
selABuf1Rx_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extABuf1Rx_c.base and
(conv_integer(ap_address)*4 < extABuf1Rx_c.base + extABuf1Rx_c.span))
else '0';
selABuf2Tx_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extABuf2Tx_c.base and
(conv_integer(ap_address)*4 < extABuf2Tx_c.base + extABuf2Tx_c.span))
else '0';
selABuf2Rx_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extABuf2Rx_c.base and
(conv_integer(ap_address)*4 < extABuf2Rx_c.base + extABuf2Rx_c.span))
else '0';
---pdo buffers (triple buffers considered!)
selTpdoBuf_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extTpdoBuf_c.base and
(conv_integer(ap_address)*4 < extTpdoBuf_c.base + extTpdoBuf_c.span))
else '0';
selRpdo0Buf_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extRpdo0Buf_c.base and
(conv_integer(ap_address)*4 < extRpdo0Buf_c.base + extRpdo0Buf_c.span))
else '0';
selRpdo1Buf_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extRpdo1Buf_c.base and
(conv_integer(ap_address)*4 < extRpdo1Buf_c.base + extRpdo1Buf_c.span))
else '0';
selRpdo2Buf_s.ap <= ap_chipselect when (conv_integer(ap_address)*4 >= extRpdo2Buf_c.base and
(conv_integer(ap_address)*4 < extRpdo2Buf_c.base + extRpdo2Buf_c.span))
else '0';
end block theAddressDecoder;
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
-- control / status register
theCntrlStatReg4Pcp : entity work.pdiControlStatusReg
generic map (
bIsPcp => true,
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseDpr_g => 16#8#/4, --base address of content to be mapped to dpr
iSpanDpr_g => intCntStReg_c.span/4, --size of content to be mapped to dpr
iBaseMap2_g => intCntStReg_c.base/4, --base address in dpr
iDprAddrWidth_g => dprCntStReg_s.pcp.addr'length,
iRpdos_g => iRpdos_g,
genLedGadget_g => genLedGadget_g,
genTimeSync_g => genTimeSync_g,
genEvent_g => genEvent_g,
--register content
---constant values
magicNumber => conv_std_logic_vector(magicNumber_c, 32),
pdiRev => conv_std_logic_vector(pdiRev_c, 16),
tPdoBuffer => conv_std_logic_vector(extTpdoBuf_c.base, 16) &
conv_std_logic_vector(extTpdoBuf_c.span, 16),
rPdo0Buffer => conv_std_logic_vector(extRpdo0Buf_c.base, 16) &
conv_std_logic_vector(extRpdo0Buf_c.span, 16),
rPdo1Buffer => conv_std_logic_vector(extRpdo1Buf_c.base, 16) &
conv_std_logic_vector(extRpdo1Buf_c.span, 16),
rPdo2Buffer => conv_std_logic_vector(extRpdo2Buf_c.base, 16) &
conv_std_logic_vector(extRpdo2Buf_c.span, 16),
asyncBuffer1Tx => conv_std_logic_vector(extABuf1Tx_c.base, 16) &
conv_std_logic_vector(extABuf1Tx_c.span, 16),
asyncBuffer1Rx => conv_std_logic_vector(extABuf1Rx_c.base, 16) &
conv_std_logic_vector(extABuf1Rx_c.span, 16),
asyncBuffer2Tx => conv_std_logic_vector(extABuf2Tx_c.base, 16) &
conv_std_logic_vector(extABuf2Tx_c.span, 16),
asyncBuffer2Rx => conv_std_logic_vector(extABuf2Rx_c.base, 16) &
conv_std_logic_vector(extABuf2Rx_c.span, 16)
)
port map (
--memory mapped interface
clk => pcp_clk,
rst => pcp_reset,
sel => selCntStReg_s.pcp,
wr => pcp_write,
rd => pcp_read,
addr => pcp_address(extLog2MaxOneSpan-1-2 downto 0),
be => pcp_byteenable,
din => pcp_writedata,
dout => outCntStReg_s.pcp,
--register content
---virtual buffer control signals
pdoVirtualBufferSel => vBufSel_s.pcp,
tPdoTrigger => vBufTriggerPdo_s.pcp(3),
rPdoTrigger => vBufTriggerPdo_s.pcp(2 downto 0),
---event registers
eventAckIn => pcp_eventRead,
eventAckOut => pcp_eventSet_s,
---async irq (by event)
asyncIrqCtrlIn => (others => '0'), --not for pcp
asyncIrqCtrlOut => open, --not for pcp
---led stuff
ledCnfgIn => pcp_ledForce_s,
ledCnfgOut => pcp_ledForce_s,
ledCtrlIn => pcp_ledSet_s,
ledCtrlOut => pcp_ledSet_s,
---time synchronization
doubleBufSel_out => open, --PCP is the sink
doubleBufSel_in => pcp_timeSyncDBufSel,
timeSyncIrq => '0', --pcp is not interested
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprCntStReg_s.pcp.addrOff,
dprDin => dprCntStReg_s.pcp.din,
dprDout => dprOut.pcp,
dprBe => dprCntStReg_s.pcp.be,
dprWr => dprCntStReg_s.pcp.wr,
--ap irq generation
apIrqControlOut => apIrqControlPcp,
--SW is blind, thus, use the transferred enable signal from AP!
apIrqControlIn => apIrqControlPcp2,
--hw acc triggering
rpdo_change_tog => rpdo_change_tog,
tpdo_change_tog => tpdo_change_tog
);
--only read 15 bits of the written, the msbit is read from transferred AP bit
apIrqControlPcp2(14 downto 0) <= apIrqControlPcp(14 downto 0);
--transfer the AP's enable signal to PCP, since SW is blind... :)
syncApEnable2Pcp : entity work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
port map (
din => apIrqControlApOut(15),
dout => apIrqControlPcp2(15),
clk => pcp_clk,
rst => pcp_reset
);
--sync double buffer select for time sync to AP if the feature is enabled
-- note: signal toggles on PCP side when NETTIME [seconds] is written
syncDBuf_TimeSync : entity work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
port map (
dout => pcp_timeSyncDBufSel,
din => ap_timeSyncDBufSel,
clk => pcp_clk,
rst => pcp_reset
);
theCntrlStatReg4Ap : entity work.pdiControlStatusReg
generic map (
bIsPcp => false,
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseDpr_g => 16#8#/4, --base address of content to be mapped to dpr
iSpanDpr_g => intCntStReg_c.span/4, --size of content to be mapped to dpr
iBaseMap2_g => intCntStReg_c.base/4, --base address in dpr
iDprAddrWidth_g => dprCntStReg_s.ap.addr'length,
iRpdos_g => iRpdos_g,
genLedGadget_g => genLedGadget_g,
genTimeSync_g => genTimeSync_g,
genEvent_g => genEvent_g,
--register content
---constant values
magicNumber => conv_std_logic_vector(magicNumber_c, 32),
pdiRev => conv_std_logic_vector(pdiRev_c, 16),
tPdoBuffer => conv_std_logic_vector(extTpdoBuf_c.base, 16) &
conv_std_logic_vector(extTpdoBuf_c.span, 16),
rPdo0Buffer => conv_std_logic_vector(extRpdo0Buf_c.base, 16) &
conv_std_logic_vector(extRpdo0Buf_c.span, 16),
rPdo1Buffer => conv_std_logic_vector(extRpdo1Buf_c.base, 16) &
conv_std_logic_vector(extRpdo1Buf_c.span, 16),
rPdo2Buffer => conv_std_logic_vector(extRpdo2Buf_c.base, 16) &
conv_std_logic_vector(extRpdo2Buf_c.span, 16),
asyncBuffer1Tx => conv_std_logic_vector(extABuf1Tx_c.base, 16) &
conv_std_logic_vector(extABuf1Tx_c.span, 16),
asyncBuffer1Rx => conv_std_logic_vector(extABuf1Rx_c.base, 16) &
conv_std_logic_vector(extABuf1Rx_c.span, 16),
asyncBuffer2Tx => conv_std_logic_vector(extABuf2Tx_c.base, 16) &
conv_std_logic_vector(extABuf2Tx_c.span, 16),
asyncBuffer2Rx => conv_std_logic_vector(extABuf2Rx_c.base, 16) &
conv_std_logic_vector(extABuf2Rx_c.span, 16)
)
port map (
--memory mapped interface
clk => ap_clk,
rst => ap_reset,
sel => selCntStReg_s.ap,
wr => ap_write,
rd => ap_read,
addr => ap_address(extLog2MaxOneSpan-1-2 downto 0),
be => ap_byteenable,
din => ap_writedata,
dout => outCntStReg_s.ap,
--register content
---virtual buffer control signals
pdoVirtualBufferSel => vBufSel_s.ap,
tPdoTrigger => vBufTriggerPdo_s.ap(3),
rPdoTrigger => vBufTriggerPdo_s.ap(2 downto 0),
---event registers
eventAckIn => ap_eventAck,
eventAckOut => ap_eventAck_p,
---async irq (by event)
asyncIrqCtrlIn => asyncIrqCtrlIn_s,
asyncIrqCtrlOut => asyncIrqCtrlOut_s,
---led stuff
ledCnfgIn => ap_ledForce_s,
ledCnfgOut => ap_ledForce_s,
ledCtrlIn => ap_ledSet_s,
ledCtrlOut => ap_ledSet_s,
---time synchronization
doubleBufSel_out => ap_timeSyncDBufSel,
doubleBufSel_in => '0', --AP is the source
timeSyncIrq => ap_irq_s,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprCntStReg_s.ap.addrOff,
dprDin => dprCntStReg_s.ap.din,
dprDout => dprOut.ap,
dprBe => dprCntStReg_s.ap.be,
dprWr => dprCntStReg_s.ap.wr,
--ap irq generation
--apIrqValue =>
apIrqControlOut => apIrqControlApOut,
apIrqControlIn => apIrqControlApIn,
rpdo_change_tog => (others => '0'),
tpdo_change_tog => '0'
);
theApIrqGenerator : entity work.apIrqGen
generic map (
genOnePdiClkDomain_g => genOnePdiClkDomain_g
)
port map (
--CLOCK DOMAIN PCP
clkA => pcp_clk,
rstA => pcp_reset,
irqA => pcp_irq,
--preValA => apIrqValue,
enableA => apIrqControlPcp(7),
modeA => apIrqControlPcp(6),
setA => apIrqControlPcp(0),
--CLOCK DOMAIN AP
clkB => ap_clk,
rstB => ap_reset,
ackB => apIrqControlApOut(0),
irqB => ap_irq_s
);
--irq enabled by apIrqControlApOut(15)
ap_irq <= ap_irq_s and apIrqControlApOut(15);
apIrqControlApIn <= apIrqControlApOut(15) & "000" & x"00" & "000" & ap_irq_s;
--the LED stuff
genLedGadget : if genLedGadget_g generate
--first set the hw leds
hw_ledForce_s <= x"00" & "00111100"; --phy1 and 0 act and link
hw_ledSet_s <= x"00" & "00" & (phyAct(1) and phyLink(1)) & phyLink(1) & (phyAct(0) and phyLink(0)) & phyLink(0) & "00";
theLedGadget : entity work.pdiLed
generic map (
iLedWidth_g => ledsOut'length
)
port map (
--src A (lowest priority)
srcAled => hw_ledSet_s(ledsOut'range),
srcAforce => hw_ledForce_s(ledsOut'range),
--src B
srcBled => pcp_ledSet_s(ledsOut'range),
srcBforce => pcp_ledForce_s(ledsOut'range),
--src C (highest priority)
srcCled => ap_ledSet_s(ledsOut'range),
srcCforce => ap_ledForce_s(ledsOut'range),
--led output
ledOut => ledsOut
);
end generate;
genEventComp : if genEvent_g generate
begin
theEventBlock : block
--set here the number of events
constant iSwEvent_c : integer := 1;
constant iHwEvent_c : integer := 2;
signal eventSetA : std_logic_vector(iSwEvent_c-1 downto 0);
signal eventReadA : std_logic_vector(iSwEvent_c+iHwEvent_c-1 downto 0);
signal eventAckB : std_logic_vector(iSwEvent_c+iHwEvent_c-1 downto 0);
signal eventReadB : std_logic_vector(iSwEvent_c+iHwEvent_c-1 downto 0);
begin
--event mapping: 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
-- in register x x x x x x x x hw hw x x x x x sw
-- in pdiEvent hw hw sw
eventSetA <= pcp_eventSet_s(0 downto 0); --pcp sets sw event (I know, its called generic event, bla...)
pcp_eventRead <= x"00" & eventReadA(iSwEvent_c+iHwEvent_c-1 downto iSwEvent_c) &
"00000" & eventReadA(iSwEvent_c-1 downto 0);
eventAckB <= ap_eventAck_p(7 downto 6) & ap_eventAck_p(0); --ap acks events
ap_eventAck <= x"00" & eventReadB(iSwEvent_c+iHwEvent_c-1 downto iSwEvent_c) &
"00000" & eventReadB(iSwEvent_c-1 downto 0);
theEventStuff : entity work.pdiEvent
--16 bit
-- sw is at bit 0
-- hw is at bit 6 and 7
generic map (
genOnePdiClkDomain_g => genOnePdiClkDomain_g,
iSwEvent_g => 1,
iHwEvent_g => 2
)
port map (
--port A -> PCP
clkA => pcp_clk,
rstA => pcp_reset,
eventSetA => eventSetA,
eventReadA => eventReadA,
--port B -> AP
clkB => ap_clk,
rstB => ap_reset,
eventAckB => eventAckB,
eventReadB => eventReadB,
--hw event set pulse (must be synchronous to clkB!)
hwEventSetPulseB => phyLinkEvent
);
--generate async interrupt
asyncIrq : process(ap_eventAck)
variable tmp : std_logic;
begin
tmp := '0';
for i in ap_eventAck'range loop
tmp := tmp or ap_eventAck(i);
end loop;
ap_asyncIrq_s <= tmp;
end process;
--IRQ is asserted if enabled by AP
ap_asyncIrq <= ap_asyncIrq_s and asyncIrqCtrlOut_s(15);
asyncIrqCtrlIn_s(15) <= asyncIrqCtrlOut_s(15);
asyncIrqCtrlIn_s(14 downto 1) <= (others => '0'); --ignoring the rest
asyncIrqCtrlIn_s(0) <= ap_asyncIrq_s; --AP may poll IRQ level
syncPhyLinkGen : for i in phyLink'range generate
syncPhyLink : entity work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
port map (
din => phyLink(i),
dout => phyLink_s(i),
clk => ap_clk,
rst => ap_reset
);
detPhyLinkEdge : entity work.edgeDet
port map (
din => phyLink_s(i),
rising => open,
falling => phyLinkEvent(i), --if phy link deasserts - EVENT!!!
any => open,
clk => ap_clk,
rst => ap_reset
);
end generate;
end block;
end generate;
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
-- asynchronous Buffer 1 Tx
genABuf1Tx : if genABuf1_g generate
theAsyncBuf1Tx4Pcp : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf1Tx_c.base/4,
iDprAddrWidth_g => dprABuf1Tx_s.pcp.addr'length
)
port map (
--memory mapped interface
sel => selABuf1Tx_s.pcp,
wr => pcp_write,
rd => pcp_read,
addr => pcp_address(extLog2MaxOneSpan-1-2 downto 0),
be => pcp_byteenable,
din => pcp_writedata,
dout => outABuf1Tx_s.pcp,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf1Tx_s.pcp.addrOff,
dprDin => dprABuf1Tx_s.pcp.din,
dprDout => dprOut.pcp,
dprBe => dprABuf1Tx_s.pcp.be,
dprWr => dprABuf1Tx_s.pcp.wr
);
theAsyncBuf1Tx4Ap : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf1Tx_c.base/4,
iDprAddrWidth_g => dprABuf1Tx_s.ap.addr'length
)
port map (
--memory mapped interface
sel => selABuf1Tx_s.ap,
wr => ap_write,
rd => ap_read,
addr => ap_address(extLog2MaxOneSpan-1-2 downto 0),
be => ap_byteenable,
din => ap_writedata,
dout => outABuf1Tx_s.ap,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf1Tx_s.ap.addrOff,
dprDin => dprABuf1Tx_s.ap.din,
dprDout => dprOut.ap,
dprBe => dprABuf1Tx_s.ap.be,
dprWr => dprABuf1Tx_s.ap.wr
);
end generate;
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
-- asynchronous Buffer 1 Rx
genABuf1Rx : if genABuf1_g generate
theAsyncBuf1Rx4Pcp : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf1Rx_c.base/4,
iDprAddrWidth_g => dprABuf1Rx_s.pcp.addr'length
)
port map (
--memory mapped interface
sel => selABuf1Rx_s.pcp,
wr => pcp_write,
rd => pcp_read,
addr => pcp_address(extLog2MaxOneSpan-1-2 downto 0),
be => pcp_byteenable,
din => pcp_writedata,
dout => outABuf1Rx_s.pcp,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf1Rx_s.pcp.addrOff,
dprDin => dprABuf1Rx_s.pcp.din,
dprDout => dprOut.pcp,
dprBe => dprABuf1Rx_s.pcp.be,
dprWr => dprABuf1Rx_s.pcp.wr
);
theAsyncBuf1Rx4Ap : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf1Rx_c.base/4,
iDprAddrWidth_g => dprABuf1Rx_s.ap.addr'length
)
port map (
--memory mapped interface
sel => selABuf1Rx_s.ap,
wr => ap_write,
rd => ap_read,
addr => ap_address(extLog2MaxOneSpan-1-2 downto 0),
be => ap_byteenable,
din => ap_writedata,
dout => outABuf1Rx_s.ap,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf1Rx_s.ap.addrOff,
dprDin => dprABuf1Rx_s.ap.din,
dprDout => dprOut.ap,
dprBe => dprABuf1Rx_s.ap.be,
dprWr => dprABuf1Rx_s.ap.wr
);
end generate;
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
-- asynchronous Buffer 2 Tx
genABuf2Tx : if genABuf2_g generate
theAsyncBuf2Tx4Pcp : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf2Tx_c.base/4,
iDprAddrWidth_g => dprABuf2Tx_s.pcp.addr'length
)
port map (
--memory mapped interface
sel => selABuf2Tx_s.pcp,
wr => pcp_write,
rd => pcp_read,
addr => pcp_address(extLog2MaxOneSpan-1-2 downto 0),
be => pcp_byteenable,
din => pcp_writedata,
dout => outABuf2Tx_s.pcp,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf2Tx_s.pcp.addrOff,
dprDin => dprABuf2Tx_s.pcp.din,
dprDout => dprOut.pcp,
dprBe => dprABuf2Tx_s.pcp.be,
dprWr => dprABuf2Tx_s.pcp.wr
);
theAsyncBuf2Tx4Ap : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf2Tx_c.base/4,
iDprAddrWidth_g => dprABuf2Tx_s.ap.addr'length
)
port map (
--memory mapped interface
sel => selABuf2Tx_s.ap,
wr => ap_write,
rd => ap_read,
addr => ap_address(extLog2MaxOneSpan-1-2 downto 0),
be => ap_byteenable,
din => ap_writedata,
dout => outABuf2Tx_s.ap,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf2Tx_s.ap.addrOff,
dprDin => dprABuf2Tx_s.ap.din,
dprDout => dprOut.ap,
dprBe => dprABuf2Tx_s.ap.be,
dprWr => dprABuf2Tx_s.ap.wr
);
end generate;
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
-- asynchronous Buffer 2 Rx
genABuf2Rx : if genABuf2_g generate
theAsyncBuf2Rx4Pcp : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf2Rx_c.base/4,
iDprAddrWidth_g => dprABuf2Rx_s.pcp.addr'length
)
port map (
--memory mapped interface
sel => selABuf2Rx_s.pcp,
wr => pcp_write,
rd => pcp_read,
addr => pcp_address(extLog2MaxOneSpan-1-2 downto 0),
be => pcp_byteenable,
din => pcp_writedata,
dout => outABuf2Rx_s.pcp,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf2Rx_s.pcp.addrOff,
dprDin => dprABuf2Rx_s.pcp.din,
dprDout => dprOut.pcp,
dprBe => dprABuf2Rx_s.pcp.be,
dprWr => dprABuf2Rx_s.pcp.wr
);
theAsyncBuf2Rx4Ap : entity work.pdiSimpleReg
generic map (
iAddrWidth_g => extLog2MaxOneSpan-2,
iBaseMap2_g => intABuf2Rx_c.base/4,
iDprAddrWidth_g => dprABuf2Rx_s.ap.addr'length
)
port map (
--memory mapped interface
sel => selABuf2Rx_s.ap,
wr => ap_write,
rd => ap_read,
addr => ap_address(extLog2MaxOneSpan-1-2 downto 0),
be => ap_byteenable,
din => ap_writedata,
dout => outABuf2Rx_s.ap,
--dpr interface (from PCP/AP to DPR)
dprAddrOff => dprABuf2Rx_s.ap.addrOff,
dprDin => dprABuf2Rx_s.ap.din,
dprDout => dprOut.ap,
dprBe => dprABuf2Rx_s.ap.be,
dprWr => dprABuf2Rx_s.ap.wr
);
end generate;
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
--TPDO buffer
theTpdoTrippleBuffer : block
signal selVBufPcpOneHot : std_logic_vector(2 downto 0);
signal selVBufApOneHot : std_logic_vector(2 downto 0);
begin
vBufSel_s.pcp(31 downto 24) <= x"00" when selVBufPcpOneHot = "001" else
x"11" when selVBufPcpOneHot = "010" else
x"22" when selVBufPcpOneHot = "100" else
x"FF";
vBufSel_s.ap(31 downto 24) <= x"00" when selVBufApOneHot = "001" else
x"11" when selVBufApOneHot = "010" else
x"22" when selVBufApOneHot = "100" else
x"FF";
dprTpdoBuf_s.pcp.din <= pcp_writedata;
outTpdoBuf_s.pcp <= dprOut.pcp;
dprTpdoBuf_s.pcp.be <= pcp_byteenable;
dprTpdoBuf_s.pcp.wr <= pcp_write;
dprTpdoBuf_s.ap.din <= ap_writedata;
outTpdoBuf_s.ap <= dprOut.ap;
dprTpdoBuf_s.ap.be <= ap_byteenable;
dprTpdoBuf_s.ap.wr <= ap_write;
theTrippleMechanism : entity work.tripleVBufLogic
generic map (
genOnePdiClkDomain_g => genOnePdiClkDomain_g,
--base address of virtual buffers in DPR
iVirtualBufferBase_g => intTpdoBuf_c.base/4, --double word!
--size of one virtual buffer in DPR (must be aligned!!!)
iVirtualBufferSize_g => intTpdoBuf_c.span/3/4, --double word!
--out address width
iOutAddrWidth_g => dprTpdoBuf_s.pcp.addr'length,
--in address width
iInAddrWidth_g => extLog2MaxOneSpan-2,
--ap is producer
bApIsProducer => true
)
port map (
pcpClk => pcp_clk,
pcpReset => pcp_reset,
pcpTrigger => vBufTriggerPdo_s.pcp(3),
pcpOutAddrOff => dprTpdoBuf_s.pcp.addrOff,
pcpOutSelVBuf => selVBufPcpOneHot,
apClk => ap_clk,
apReset => ap_reset,
apTrigger => vBufTriggerPdo_s.ap(3),
apOutAddrOff => dprTpdoBuf_s.ap.addrOff,
apOutSelVBuf => selVBufApOneHot
);
end block;
--
------------------------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------------------------
--RPDO0 buffer
theRpdo0TrippleBuffer : block
signal selVBufPcpOneHot : std_logic_vector(2 downto 0);
signal selVBufApOneHot : std_logic_vector(2 downto 0);
begin
vBufSel_s.pcp(7 downto 0) <= x"00" when selVBufPcpOneHot = "001" else
x"11" when selVBufPcpOneHot = "010" else
x"22" when selVBufPcpOneHot = "100" else
x"FF";
vBufSel_s.ap(7 downto 0) <= x"00" when selVBufApOneHot = "001" else
x"11" when selVBufApOneHot = "010" else
x"22" when selVBufApOneHot = "100" else
x"FF";
dprRpdo0Buf_s.pcp.din <= pcp_writedata;
outRpdo0Buf_s.pcp <= dprOut.pcp;
dprRpdo0Buf_s.pcp.be <= pcp_byteenable;
dprRpdo0Buf_s.pcp.wr <= pcp_write;
dprRpdo0Buf_s.ap.din <= ap_writedata;
outRpdo0Buf_s.ap <= dprOut.ap;
dprRpdo0Buf_s.ap.be <= ap_byteenable;
dprRpdo0Buf_s.ap.wr <= ap_write;
theTrippleMechanism : entity work.tripleVBufLogic
generic map (
genOnePdiClkDomain_g => genOnePdiClkDomain_g,
--base address of virtual buffers in DPR
iVirtualBufferBase_g => intRpdo0Buf_c.base/4, --double word!
--size of one virtual buffer in DPR (must be aligned!!!)
iVirtualBufferSize_g => intRpdo0Buf_c.span/3/4, --double word!
--out address width
iOutAddrWidth_g => dprRpdo0Buf_s.pcp.addr'length,
--in address width
iInAddrWidth_g => extLog2MaxOneSpan-2,
--ap is NOT producer
bApIsProducer => false
)
port map (
pcpClk => pcp_clk,
pcpReset => pcp_reset,
pcpTrigger => vBufTriggerPdo_s.pcp(0),
pcpOutAddrOff => dprRpdo0Buf_s.pcp.addrOff,
pcpOutSelVBuf => selVBufPcpOneHot,
apClk => ap_clk,
apReset => ap_reset,
apTrigger => vBufTriggerPdo_s.ap(0),
apOutAddrOff => dprRpdo0Buf_s.ap.addrOff,
apOutSelVBuf => selVBufApOneHot
);
end block;
--
------------------------------------------------------------------------------------------------------------------------
genRpdo1 : if iRpdos_g >= 2 generate
------------------------------------------------------------------------------------------------------------------------
--RPDO1 buffer
theRpdo1TrippleBuffer : block
signal selVBufPcpOneHot : std_logic_vector(2 downto 0);
signal selVBufApOneHot : std_logic_vector(2 downto 0);
begin
vBufSel_s.pcp(15 downto 8) <= x"00" when selVBufPcpOneHot = "001" else
x"11" when selVBufPcpOneHot = "010" else
x"22" when selVBufPcpOneHot = "100" else
x"FF";
vBufSel_s.ap(15 downto 8) <= x"00" when selVBufApOneHot = "001" else
x"11" when selVBufApOneHot = "010" else
x"22" when selVBufApOneHot = "100" else
x"FF";
dprRpdo1Buf_s.pcp.din <= pcp_writedata;
outRpdo1Buf_s.pcp <= dprOut.pcp;
dprRpdo1Buf_s.pcp.be <= pcp_byteenable;
dprRpdo1Buf_s.pcp.wr <= pcp_write;
dprRpdo1Buf_s.ap.din <= ap_writedata;
outRpdo1Buf_s.ap <= dprOut.ap;
dprRpdo1Buf_s.ap.be <= ap_byteenable;
dprRpdo1Buf_s.ap.wr <= ap_write;
theTrippleMechanism : entity work.tripleVBufLogic
generic map (
genOnePdiClkDomain_g => genOnePdiClkDomain_g,
--base address of virtual buffers in DPR
iVirtualBufferBase_g => intRpdo1Buf_c.base/4, --double word!
--size of one virtual buffer in DPR (must be aligned!!!)
iVirtualBufferSize_g => intRpdo1Buf_c.span/3/4, --double word!
--out address width
iOutAddrWidth_g => dprRpdo1Buf_s.pcp.addr'length,
--in address width
iInAddrWidth_g => extLog2MaxOneSpan-2,
--ap is NOT producer
bApIsProducer => false
)
port map (
pcpClk => pcp_clk,
pcpReset => pcp_reset,
pcpTrigger => vBufTriggerPdo_s.pcp(1),
pcpOutAddrOff => dprRpdo1Buf_s.pcp.addrOff,
pcpOutSelVBuf => selVBufPcpOneHot,
apClk => ap_clk,
apReset => ap_reset,
apTrigger => vBufTriggerPdo_s.ap(1),
apOutAddrOff => dprRpdo1Buf_s.ap.addrOff,
apOutSelVBuf => selVBufApOneHot
);
end block;
--
------------------------------------------------------------------------------------------------------------------------
end generate;
genRpdo2 : if iRpdos_g >= 3 generate
------------------------------------------------------------------------------------------------------------------------
--RPDO2 buffer
theRpdo2TrippleBuffer : block
signal selVBufPcpOneHot : std_logic_vector(2 downto 0);
signal selVBufApOneHot : std_logic_vector(2 downto 0);
begin
vBufSel_s.pcp(23 downto 16) <= x"00" when selVBufPcpOneHot = "001" else
x"11" when selVBufPcpOneHot = "010" else
x"22" when selVBufPcpOneHot = "100" else
x"FF";
vBufSel_s.ap(23 downto 16) <= x"00" when selVBufApOneHot = "001" else
x"11" when selVBufApOneHot = "010" else
x"22" when selVBufApOneHot = "100" else
x"FF";
dprRpdo2Buf_s.pcp.din <= pcp_writedata;
outRpdo2Buf_s.pcp <= dprOut.pcp;
dprRpdo2Buf_s.pcp.be <= pcp_byteenable;
dprRpdo2Buf_s.pcp.wr <= pcp_write;
dprRpdo2Buf_s.ap.din <= ap_writedata;
outRpdo2Buf_s.ap <= dprOut.ap;
dprRpdo2Buf_s.ap.be <= ap_byteenable;
dprRpdo2Buf_s.ap.wr <= ap_write;
theTrippleMechanism : entity work.tripleVBufLogic
generic map (
genOnePdiClkDomain_g => genOnePdiClkDomain_g,
--base address of virtual buffers in DPR
iVirtualBufferBase_g => intRpdo2Buf_c.base/4, --double word!
--size of one virtual buffer in DPR (must be aligned!!!)
iVirtualBufferSize_g => intRpdo2Buf_c.span/3/4, --double word!
--out address width
iOutAddrWidth_g => dprRpdo2Buf_s.pcp.addr'length,
--in address width
iInAddrWidth_g => extLog2MaxOneSpan-2,
--ap is NOT producer
bApIsProducer => false
)
port map (
pcpClk => pcp_clk,
pcpReset => pcp_reset,
pcpTrigger => vBufTriggerPdo_s.pcp(2),
pcpOutAddrOff => dprRpdo2Buf_s.pcp.addrOff,
pcpOutSelVBuf => selVBufPcpOneHot,
apClk => ap_clk,
apReset => ap_reset,
apTrigger => vBufTriggerPdo_s.ap(2),
apOutAddrOff => dprRpdo2Buf_s.ap.addrOff,
apOutSelVBuf => selVBufApOneHot
);
end block;
--
------------------------------------------------------------------------------------------------------------------------
end generate;
------------------------------------------------------------------------------------------------------------------------
-- waitrequest signals
theWaitrequestGenerators : block
signal pcp_wr, pcp_rd, pcp_rd_ack, pcp_wr_ack : std_logic;
signal ap_wr, ap_rd, ap_rd_ack, ap_wr_ack : std_logic;
begin
-- PCP
thePcpWrWaitReqAckGen : entity work.req_ack
generic map (
zero_delay_g => true
)
port map (
clk => pcp_clk,
rst => pcp_reset,
enable => pcp_wr,
ack => pcp_wr_ack
);
thePcpRdWaitReqAckGen : entity work.req_ack
generic map (
ack_delay_g => 2,
zero_delay_g => false
)
port map (
clk => pcp_clk,
rst => pcp_reset,
enable => pcp_rd,
ack => pcp_rd_ack
);
pcp_wr <= pcp_chipselect and pcp_write;
pcp_rd <= pcp_chipselect and pcp_read;
pcp_waitrequest <= not(pcp_rd_ack or pcp_wr_ack);
-- AP
theApWrWaitReqAckGen : entity work.req_ack
generic map (
zero_delay_g => true
)
port map (
clk => ap_clk,
rst => ap_reset,
enable => ap_wr,
ack => ap_wr_ack
);
theApRdWaitReqAckGen : entity work.req_ack
generic map (
ack_delay_g => 2,
zero_delay_g => false
)
port map (
clk => ap_clk,
rst => ap_reset,
enable => ap_rd,
ack => ap_rd_ack
);
ap_wr <= ap_chipselect and ap_write;
ap_rd <= ap_chipselect and ap_read;
ap_waitrequest <= not(ap_rd_ack or ap_wr_ack);
end block;
--
------------------------------------------------------------------------------------------------------------------------
end architecture rtl;
| gpl-2.0 | 5da2998db0013a4cdc719b4d450e4131 | 0.565512 | 3.150498 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/tope_TestBench.vhd | 2 | 4,769 | --------------------------------------------------------------------------
--Autor: Jorge Márquez
--
-- Este banco de prueba lee los datos
-- seriales de un archivo de texto y
-- los introduce en la entrada rx_female del módulo de recepción
--
-- Este código se encuentra también en la sección de
-- Apéndices del informe de trabajo de grado PROCESAMIENTO DE IMÁGENES DE
-- ANGIOGRAFÍA BIPLANA USANDO UNA TARJETA DE DESARROLLO SPARTAN-3E
--
-- UNIVERSIDAD DE LOS ANDES
-- FACULTAD DE INGENIERÍA
-- ESCUELA DE INGENIERÍA ELÉCTRICA
--
-- Mérida, Septiembre, 2008
--
---------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity tope_tb is
end tope_tb;
architecture TB_ARCHITECTURE of tope_tb is
component tope_rof512_uart
Port ( tx_female : out std_logic;
rx_female : in std_logic;
LED : out std_logic_vector(7 downto 0);
RSTn : in std_logic;
clk : in std_logic);
end component;
signal tx_female : std_logic:= '0';
signal rx_female : std_logic:= '0';
signal LED : std_logic_vector(7 downto 0) := "00000000";
signal RSTn : std_logic:= '0';
signal clk : std_logic:= '0';
signal TT : std_logic:= '0';
signal byteindata: std_logic_vector(7 downto 0) := "00000000";
begin
UUT : tope_rof512_uart
port map
(clk => clk,
RSTn => RSTn,
LED => LED,
rx_female => rx_female,
tx_female => tx_female );
rx_female <= byteindata(0);
read_from_file: process(TT)
variable indata_line: line;
variable indata: integer;
file input_data_file: text open read_mode is "C:\MATLAB701\work\lena512_syp_inicializ.ser";
begin
if rising_edge(TT) or falling_edge(TT) then
readline(input_data_file,indata_line);
read(indata_line,indata);
byteindata <= conv_std_logic_vector(indata,8);
if endfile(input_data_file) then
report "Finaliza el archivo -- se vuelve a leer desde el principio...";
file_close(input_data_file);
file_open(input_data_file,"C:\MATLAB701\work\lena512_syp_inicializ.ser");
end if;
end if;
end process;
clock_gen: process --reloj
begin --reloj
Clk <= '0'; --reloj
wait for 10 ns; --reloj
Clk <= '1'; --reloj
wait for 10 ns; --reloj
end process; --reloj
TT_gen: process --patron de transmisión (8680=~1/115200)
begin --patron de transmisión (8680=~1/115200)
TT <= '0'; --patron de transmisión (8680=~1/115200)
wait for 8680 ns; --patron de transmisión (8680=~1/115200)
TT <= '1'; --patron de transmisión (8680=~1/115200)
wait for 8680 ns; --patron de transmisión (8680=~1/115200)
end process;
reset_gen: process --reset
begin --reset
RSTn <= '0'; --reset
wait for 20 ns; --reset
RSTn <= '1'; --reset
wait; --reset
end process; --reset
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_tope_rof512_uart of tope_tb is
for TB_ARCHITECTURE
for UUT : tope_rof512_uart
use entity work.tope_rof512_uart(comportamiento);
end for;
end for;
end TESTBENCH_FOR_tope_rof512_uart;
| gpl-3.0 | 512bb2333524f1ab79225e8d5f3fbc0a | 0.410778 | 4.246661 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/fifo_generator_v12_0_pkg.vhd | 6 | 138,388 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 100704)
`protect data_block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`protect end_protected
| gpl-3.0 | cf7cda304425fd40a48c95e5982dcdd5 | 0.953912 | 1.812856 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/servo_pwm_contador_clk64kHz_tb.vhd | 2 | 1,339 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY servo_pwm_contador_clk64kHz_tb IS
END servo_pwm_contador_clk64kHz_tb;
ARCHITECTURE behavior OF servo_pwm_contador_clk64kHz_tb IS
-- Unidad bajo prueba.
COMPONENT servo_pwm_contador_clk64kHz
PORT(
clk : IN std_logic;
reset : IN std_logic;
cnt_up: IN std_logic;
cnt_dn: IN std_logic;
servo : OUT std_logic
);
END COMPONENT;
-- Entradas.
signal clk : std_logic := '0';
signal reset : std_logic := '0';
signal cnt_up : std_logic := '0';
signal cnt_dn : std_logic := '0';
-- Salidas.
signal servo : std_logic;
-- Definición del reloj.
constant clk_period : time := 10 ns;
BEGIN
-- Instancia de la unidad bajo prueba.
uut: servo_pwm_contador_clk64kHz PORT MAP (
clk => clk,
reset => reset,
cnt_up => cnt_up,
cnt_dn => cnt_dn,
servo => servo
);
-- Definición del proceso de reloj.
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Procesamiento de estímulos.
proceso_estimulos: process begin
-- Crear condición de reset.
reset <= '1';
wait for 50 ns;
reset <= '0';
-- Esperar poco menos de dos ciclos.
wait for 39 ms;
-- Crear el estímulo.
cnt_up <= '1';
wait for 15 us; -- Tiempo a editar.
--cnt_up <= '0';
wait;
end process;
END; | lgpl-2.1 | 1c1b96d5c67e62f032a587d9c1556559 | 0.64003 | 2.738241 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenMAC_cmp.vhd | 5 | 4,720 | -------------------------------------------------------------------------------
--
-- Title : openMAC_cmp
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : OpenMAC_cmp.vhd
-- Generated : Wed Jul 27 10:52:27 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-26 V0.01 zelenkaj First version
-- 2012-01-11 V0.02 mairt moved registers to seperate cmp int and tog int
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity openMAC_cmp is
generic(
mac_time_width_g : integer := 32;
gen2ndCmpTimer_g : boolean := false
);
port(
clk : in std_logic;
rst : in std_logic;
wr : in std_logic;
addr : in std_logic_vector(1 downto 0);
din : in std_logic_vector(31 downto 0);
dout : out std_logic_vector(31 downto 0);
mac_time : in std_logic_vector(mac_time_width_g-1 downto 0);
irq : out std_logic;
toggle : out std_logic
);
end openMAC_cmp;
architecture rtl of openMAC_cmp is
signal cmp_enable, tog_enable : std_logic;
signal cmp_value, tog_value : std_logic_vector(mac_time'range);
signal irq_s, toggle_s : std_logic;
begin
irq <= irq_s;
toggle <= toggle_s;
process(clk, rst)
begin
if rst = '1' then
cmp_enable <= '0'; cmp_value <= (others => '0'); irq_s <= '0';
if gen2ndCmpTimer_g = TRUE then
tog_enable <= '0'; tog_value <= (others => '0'); toggle_s <= '0';
end if;
elsif clk = '1' and clk'event then
--cmp
if cmp_enable = '1' and mac_time = cmp_value then
irq_s <= '1';
end if;
--tog
if tog_enable = '1' and mac_time = tog_value and gen2ndCmpTimer_g = TRUE then
toggle_s <= not toggle_s;
end if;
--memory mapping
if wr = '1' then
case addr is
when "00" =>
cmp_value <= din;
irq_s <= '0';
when "01" =>
cmp_enable <= din(0);
when "10" =>
if gen2ndCmpTimer_g = TRUE then
tog_value <= din;
end if;
when "11" =>
if gen2ndCmpTimer_g = TRUE then
tog_enable <= din(0);
end if;
when others =>
--go and get a coffee...
end case;
end if;
end if;
end process;
dout <=
mac_time when addr = "00" else
x"000000" & "00" & "00" & "00" & irq_s & cmp_enable when addr = "01" else
tog_value when addr = "10" and gen2ndCmpTimer_g = TRUE else
x"000000" & "00" & "00" & "00" & toggle_s & tog_enable when addr = "11" and gen2ndCmpTimer_g = TRUE else
mac_time; --otherwise give me the current time...
end rtl;
| gpl-2.0 | c7b79ead42c97f6f622952765b7c7302 | 0.561653 | 3.567649 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/bram_fifo_rstlogic.vhd | 6 | 21,262 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000)
`protect data_block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`protect end_protected
| gpl-3.0 | 1e89c088e62d002f513595c67b3f4694 | 0.942762 | 1.838637 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/rd_pe_as.vhd | 6 | 25,238 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16944)
`protect data_block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`protect end_protected
| gpl-3.0 | 7bdcd2bd35d04c20e9fb6fbde9c54a47 | 0.944251 | 1.836292 | false | false | false | false |
rflamino/StellaBlue | core/A6500/src/A6502.vhd | 1 | 1,791 | -- A6500 - 6502 CPU and variants
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
use work.types.all;
entity A6502 is
port(clk: in std_logic;
rst: in std_logic;
irq: in std_logic;
nmi: in std_logic;
rdy: in std_logic;
d: inout std_logic_vector(7 downto 0);
ad: out std_logic_vector(15 downto 0);
r: out std_logic);
end A6502;
architecture arch of A6502 is
component A6500 is
port(clk: in std_logic;
rst: in std_logic;
irq: in std_logic;
nmi: in std_logic;
stop: in std_logic;
de: in std_logic;
d: inout std_logic_vector(7 downto 0);
ad: out std_logic_vector(15 downto 0);
r: out std_logic);
end component;
signal stop: std_logic;
signal r_i: std_logic;
signal de: std_logic;
begin
r <= r_i;
stop <= '1' when
(rdy = '0' and r_i = '1')
else '0';
de <= not r_i;
cpu_A6500: A6500
port map(clk, rst, irq, nmi, stop, de, d, ad, r_i);
end arch;
| mit | eeeb675cd1286638e0903d95794066b0 | 0.600782 | 3.491228 | false | false | false | false |
hgunicamp/Mips8B | src_test/tests/simulacoes/test_Mips_Processor-sh.vhdl | 1 | 5,440 | -- Teste geral para a estrutura do Processador Mips8B
Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Ieee.Numeric_Std.all;
Entity test_processor is
End Entity test_processor;
Architecture test_general of test_processor is
Component Mips8B is
Port(Reset_n: In Std_Logic;
Clock: In Std_Logic;
MAddr: Out Std_Logic_Vector(7 downto 0);
MCmd: Out Std_Logic_Vector(1 downto 0);
MData: Out Std_Logic_Vector(7 downto 0);
SData: In Std_Logic_Vector(7 downto 0);
SCmdAccept: In Std_Logic);
End Component Mips8B;
Type Memory_Array is Array(Natural Range <>) of Std_Logic_Vector(7 downto 0);
Use Work.MIPS8B_Base.ocpIDLE_little;
Use Work.MIPS8B_Base.ocpWR_little;
Use Work.MIPS8B_Base.ocpRD_little;
Use Work.MIPS8B_Base.ocpNULL_little;
Use Work.MIPS8B_Base.ocpDVA_little;
Signal Reset_n: Std_Logic;
Signal Clock: Std_Logic := '0';
Signal Clock_Mem: Std_Logic := '0';
Signal MAddr: Std_Logic_Vector(7 downto 0);
Signal MCmd: Std_Logic_Vector(1 downto 0);
Signal MData: Std_Logic_Vector(7 downto 0);
Signal SData: Std_Logic_Vector(7 downto 0);
Signal SCmdAccept: Std_Logic;
Begin
Reset_n <= '1', '0' after 20 ns, '1' after 40 ns;
Clock <= not Clock after 10 ns;
Clock_Mem <= not Clock_Mem after 15 ns;
Memory: Process
Variable int_SCmdAccept: Std_Logic;
Variable address: Unsigned(7 downto 0);
Variable mem_int: Memory_Array(0 to 255) := (
"00100000", "00000001", "00000000", "10000000",
"00100000", "00000010", "00000000", "10110100",
"00100000", "00000011", "00000000", "01111111",
"00100000", "00000100", "00000000", "11111111",
"00100000", "00000101", "00000000", "00110101",
"00100000", "00000110", "00000000", "01000000",
"00100000", "00000111", "00000000", "01001000",
"01000000", "00100111", "00000000", "01100010",
"01000000", "01100110", "00000000", "01000100",
"01000000", "11000101", "00000000", "00000111",
"01000000", "11100100", "00000000", "01100001",
"01000000", "11100100", "00000000", "01000001",
"01000000", "11000011", "00000000", "00000010",
"01000000", "10100010", "00000000", "01100011",
"01000000", "10000001", "00000000", "00000101",
"01000000", "00100000", "00000000", "01100010",
"01000000", "01100000", "00000000", "01000100",
"01000000", "10100000", "00000000", "00000110",
"01000000", "00000000", "00000000", "01100000",
"01000000", "00100000", "00000000", "01000001",
"01000000", "11100000", "00000000", "00000111",
"01000000", "00100111", "00000000", "01100000",
"01000000", "01000110", "00000000", "01000000",
"01000000", "01100101", "00000000", "00000000",
"01000000", "11100100", "00000000", "01100000",
"01000000", "10000011", "00000000", "01000000",
"01000000", "10100010", "00000000", "00000000",
"01000000", "11000001", "00000000", "01100000",
"01000000", "11100111", "00000000", "01100001",
"01000000", "11000110", "00000000", "01000010",
"01000000", "10100101", "00000000", "00000011",
"01000000", "10000100", "00000000", "01100111",
"01000000", "01100011", "00000000", "01000100",
"01000000", "01000010", "00000000", "00000101",
"01000000", "00100001", "00000000", "01100110",
"01000000", "11100111", "00000000", "01100000",
"01000000", "11000110", "00000000", "01000000",
"01000000", "10100101", "00000000", "00000000",
"01000000", "10000100", "00000000", "01100000",
"01000000", "01100011", "00000000", "01000000",
"01000000", "01000010", "00000000", "00000000",
"01000000", "00100001", "00000000", "01100000",
Others => "00000000");
Begin
Wait Until Clock_Mem'Event and Clock_Mem='1';
Case MCmd is
When ocpWR_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
mem_int(to_integer(address)) := MData;
Else
int_SCmdAccept := ocpNULL_little;
End If;
SData <= "ZZZZZZZZ";
When ocpRD_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
SData <= mem_int(to_integer(address));
Else
int_SCmdAccept := ocpNULL_little;
End If;
When Others =>
int_SCmdAccept := ocpNULL_little;
SData <= "ZZZZZZZZ";
End Case;
SCmdAccept <= int_SCmdAccept;
End Process Memory;
DUV: Mips8B
Port Map( Reset_n => Reset_n,
Clock => Clock,
MAddr => MAddr,
MCmd => MCmd,
MData => MData,
SData => SData,
SCmdAccept => SCmdAccept);
End Architecture test_general;
Configuration general_test of test_processor is
For test_general
For DUV: Mips8B Use Configuration Work.Mips8B_struct_conf;
End For;
End For;
End Configuration general_test;
| unlicense | 912a1d1ae15a89786337791ff9050c95 | 0.56636 | 3.869132 | false | true | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenMAC_DMAFifo_Xilinx.vhd | 2 | 6,786 | -------------------------------------------------------------------------------
--
-- Title : OpenMAC_DMAFifo_Xilinx
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : C:\git\VHDL_IP-Cores\active_hdl\compile\OpenMAC_DMAFifo_Xilinx.vhd
-- Generated : Thu Nov 24 15:08:50 2011
-- From : C:\git\VHDL_IP-Cores\active_hdl\src\OpenMAC_DMAFifo_Xilinx.bde
-- By : Bde2Vhdl ver. 2.6
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
-- Design unit header --
--
-- This is the toplevel file of the dual clocked DMA FIFO
-- for Xilinx FPGAs.
--
-------------------------------------------------------------------------------
--
-- 2011-10-13 V0.01 mairt First version
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity openMAC_DMAfifo is
generic(
fifo_data_width_g : NATURAL := 16;
fifo_word_size_g : NATURAL := 32;
fifo_word_size_log2_g : NATURAL := 5
);
port(
aclr : in std_logic;
rd_clk : in std_logic;
rd_req : in std_logic;
wr_clk : in std_logic;
wr_req : in std_logic;
wr_data : in std_logic_vector(fifo_data_width_g - 1 downto 0);
rd_empty : out std_logic;
rd_full : out std_logic;
wr_empty : out std_logic;
wr_full : out std_logic;
rd_data : out std_logic_vector(fifo_data_width_g - 1 downto 0);
rd_usedw : out std_logic_vector(fifo_word_size_log2_g - 1 downto 0);
wr_usedw : out std_logic_vector(fifo_word_size_log2_g - 1 downto 0)
);
end openMAC_DMAfifo;
architecture struct of openMAC_DMAfifo is
---- Component declarations -----
component async_fifo_ctrl
generic(
ADDR_WIDTH : natural := 5
);
port (
clkr : in std_logic;
clkw : in std_logic;
rd : in std_logic;
resetr : in std_logic;
resetw : in std_logic;
wr : in std_logic;
r_addr : out std_logic_vector(ADDR_WIDTH-1 downto 0);
r_empty : out std_logic;
r_full : out std_logic;
rd_used_w : out std_logic_vector(ADDR_WIDTH-1 downto 0);
w_addr : out std_logic_vector(ADDR_WIDTH-1 downto 0);
w_empty : out std_logic;
w_full : out std_logic;
wd_used_w : out std_logic_vector(ADDR_WIDTH-1 downto 0)
);
end component;
component dc_dpr
generic(
ADDRWIDTH : integer := 7;
SIZE : integer := 128;
WIDTH : integer := 16
);
port (
addrA : in std_logic_vector(ADDRWIDTH-1 downto 0);
addrB : in std_logic_vector(ADDRWIDTH-1 downto 0);
clkA : in std_logic;
clkB : in std_logic;
diA : in std_logic_vector(WIDTH-1 downto 0);
diB : in std_logic_vector(WIDTH-1 downto 0);
enA : in std_logic;
enB : in std_logic;
weA : in std_logic;
weB : in std_logic;
doA : out std_logic_vector(WIDTH-1 downto 0);
doB : out std_logic_vector(WIDTH-1 downto 0)
);
end component;
---- Signal declarations used on the diagram ----
signal enA : std_logic;
signal enB : std_logic;
signal wea : std_logic;
signal weB : std_logic;
signal wr_full_s : std_logic;
signal diB : std_logic_vector (fifo_data_width_g-1 downto 0);
signal rd_addr : std_logic_vector (fifo_word_size_log2_g-1 downto 0);
signal wr_addr : std_logic_vector (fifo_word_size_log2_g-1 downto 0);
begin
---- User Signal Assignments ----
--assignments
---port a writes only
enA <= wea;
---port b reads only
enB <= rd_req;
weB <= '0';
diB <= (others => '0');
---- Component instantiations ----
THE_FIFO_CONTROL : async_fifo_ctrl
generic map (
ADDR_WIDTH => fifo_word_size_log2_g
)
port map(
clkr => rd_clk,
clkw => wr_clk,
r_addr => rd_addr( fifo_word_size_log2_g-1 downto 0 ),
r_empty => rd_empty,
r_full => rd_full,
rd => rd_req,
rd_used_w => rd_usedw( fifo_word_size_log2_g - 1 downto 0 ),
resetr => aclr,
resetw => aclr,
w_addr => wr_addr( fifo_word_size_log2_g-1 downto 0 ),
w_empty => wr_empty,
w_full => wr_full_s,
wd_used_w => wr_usedw( fifo_word_size_log2_g - 1 downto 0 ),
wr => wr_req
);
THE_FIFO_DPR : dc_dpr
generic map (
ADDRWIDTH => fifo_word_size_log2_g,
SIZE => fifo_word_size_g,
WIDTH => fifo_data_width_g
)
port map(
addrA => wr_addr( fifo_word_size_log2_g-1 downto 0 ),
addrB => rd_addr( fifo_word_size_log2_g-1 downto 0 ),
clkA => wr_clk,
clkB => rd_clk,
diA => wr_data( fifo_data_width_g - 1 downto 0 ),
diB => diB( fifo_data_width_g-1 downto 0 ),
doB => rd_data( fifo_data_width_g - 1 downto 0 ),
enA => enA,
enB => enB,
weA => wea,
weB => weB
);
wea <= not(wr_full_s) and wr_req;
---- Terminal assignment ----
-- Output\buffer terminals
wr_full <= wr_full_s;
end struct;
| gpl-2.0 | c56a3163e3eb08f512ade41149b129ad | 0.578102 | 3.605739 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/FIFO 512x8/fifo_512x8x/fifo_512x8x_cambiado.vhd | 2 | 5,488 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_512x8x.vhd when simulating
-- the core, fifo_512x8x. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_512x8x IS
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
ainit: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_count: OUT std_logic_VECTOR(8 downto 0));
END fifo_512x8x;
ARCHITECTURE fifo_512x8x_a OF fifo_512x8x IS
-- synthesis translate_off
component wrapped_fifo_512x8x
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(8 downto 0));
end component;
-- Configuration specification
for all : wrapped_fifo_512x8x use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
generic map(
c_rd_freq => 100,
c_wr_response_latency => 1,
c_has_srst => 0,
c_has_rd_data_count => 0,
c_din_width => 8,
c_has_wr_data_count => 1,
c_implementation_type => 2,
c_family => "spartan3",
c_has_wr_rst => 0,
c_wr_freq => 100,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 8,
c_rd_depth => 512,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 9,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 9,
c_has_almost_empty => 0,
c_rd_data_count_width => 9,
c_enable_rlocs => 0,
c_wr_pntr_width => 9,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 9,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 509,
c_wr_depth => 512,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 510,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "2kx9",
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_512x8x
port map (
din => din,
rd_clk => rd_clk,
rd_en => rd_en,
rst => ainit,
wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
full => full,
wr_data_count => wr_count);
-- synthesis translate_on
END fifo_512x8x_a;
| gpl-3.0 | b528f8331ca31b63a7e4d1a024a3d5d9 | 0.563958 | 3.486658 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/rd_pe_ss.vhd | 6 | 47,373 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 33328)
`protect data_block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`protect end_protected
| gpl-3.0 | 8035195b71b03ad61baf39a2449a3be7 | 0.949929 | 1.832966 | false | false | false | false |
steveEECSrubin/usc_projects | ABB/check_sum.vhd | 1 | 4,956 | -- simplest check sum
-- receiver
signal have_seen_start_packet: std_logic := '0';
signal current_check_sum : std_logic_vector(7 downto 0);
signal check_sum_error : std_logic := '0';
signal rx_data_counter : std_logic_vector(7 downto 0) := x"00";
attribute keep : string;
attribute keep of check_sum_error : signal is "true";
attribute keep of rx_data_counter : signal is "true";
-- State registers
process (USER_CLK)
begin
--delayed_rx_data_r <= rx_data_r;
if (USER_CLK 'event and USER_CLK = '1') then
if (RESET = '1') then
begin_r <= '1' after DLY;
start_toggling_r <= '0' after DLY;
have_seen_start_packet <= '0';
--check_sum_error <= '0';
else
begin_r <= next_begin_c after DLY;
start_toggling_r <= start_toggling_c after DLY;
end if;
if (RX_CHAR_IS_K_IN = '0') then -- if it is not BC
--if (RX_CHAR_IS_K = '0') then-- if it is not BC
if (have_seen_start_packet = '0') then -- if we have not detected the start of the packet yet
if(RX_DATA = x"0A") then -- if the data is "0A" - it is start of the packet
rx_data_counter <= x"01";
--MGT_FRAME_CHECK_PACKET_RECEIVED <= '0';
have_seen_start_packet <= '1';
current_check_sum <= x"0A";
check_sum_error <= '0';
end if;
else -- if we have seen the start of the packet - we are currently receiving the packet
if (rx_data_counter >= x"01") and (rx_data_counter < x"07") then
rx_data_counter <= rx_data_counter + x"01";
have_seen_start_packet <= '1';
current_check_sum <= current_check_sum xor RX_DATA;
elsif (rx_data_counter = x"07") then -- when the counter reaches B3 - end of packet, calculate the checksum
rx_data_counter <= rx_data_counter + x"01";
if(check_sum_error = '0') and (RX_DATA /= current_check_sum) then
check_sum_error <= '1';
end if;
have_seen_start_packet <= '1';
--MGT_FRAME_CHECK_PACKET_RECEIVED <= '1';
elsif (rx_data_counter >= x"07") and (rx_data_counter < x"BA") then -- keep the current value for some time
rx_data_counter <= rx_data_counter + x"01";
have_seen_start_packet <= '1';
--MGT_FRAME_CHECK_PACKET_RECEIVED <= '1';
else
rx_data_counter <= x"00";
--MGT_FRAME_CHECK_PACKET_RECEIVED <= '0';
have_seen_start_packet <= '0';
end if;
end if;
end if;
end if;
end process;
-- transmitter
signal tx_d_r : std_logic_vector(7 downto 0);
signal counter : integer := 0;
signal current_check_sum : std_logic_vector(7 downto 0);
signal STATE_i : std_logic_vector(3 downto 0);
--____________________________ Data Generation __________________________________
--Transmit data when send_align_r is de-asserted. Data is right shifted every cycle.
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(RESET = '1') then
tx_d_r <= x"BC" after DLY;
counter <= 0;
STATE_i <= x"0";
elsif (send_align_r = '0') then
if (send_align_r='0') then -- if the data is not special character "BC"
case STATE_i is
when x"0" => --wait for about 2 seconds
if(counter < 500000000) then -- wait for 4 seconds
counter <= counter + 1;
tx_d_r <= shift_reg_r & shift_reg_r;
STATE_i <= x"0";
else -- 4 seconds past
counter <= 0;
STATE_i <= x"3";
tx_d_r <= shift_reg_r & shift_reg_r;
end if;
when x"3" =>
case counter is
when 0 to 9 => tx_d_r <= shift_reg_r & shift_reg_r; counter <= counter + 1; current_check_sum <= x"00";
when 10 => tx_d_r <= x"0A"; counter <= counter + 1; current_check_sum <= x"00";
--when 11 to 191 => tx_d_r <= tx_d_r + x"01"; counter <= counter + 1; tx_charisk_i <= '0'; current_check_sum <= current_check_sum xor tx_d_r;
when 11 to 16 => tx_d_r <= x"5D"; counter <= counter + 1; current_check_sum <= current_check_sum xor tx_d_r;
when 17 => current_check_sum <= current_check_sum xor tx_d_r; tx_d_r <= current_check_sum xor tx_d_r; counter <= counter + 1;
--when 192 => current_check_sum <= current_check_sum xor tx_d_r; tx_d_r <= x"00"; counter <= counter + 1; tx_charisk_i <= '0'; -- screwed up checsum
when 18 to 27 => tx_d_r <= shift_reg_r & shift_reg_r; counter <= counter + 1;
when others => tx_d_r <= shift_reg_r & shift_reg_r; STATE_i <= x"3"; counter <= 0;
end case;
when others => tx_d_r <= shift_reg_r & shift_reg_r; counter <= 0;
end case;
end if;
end if;
end if;
end process;
| mit | d31ed98cdff4104a28763be98ac0051e | 0.532082 | 3.156688 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_input_block.vhd | 8 | 45,404 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31872)
`protect data_block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`protect end_protected
| gpl-3.0 | 0b1aa2b7bbb3f27db7d646c3a44a4d31 | 0.948683 | 1.821113 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenHUB.vhd | 5 | 6,692 | ------------------------------------------------------------------------------------------------------------------------
-- OpenHUB
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: RxDv, RxDat0 and RxDat1 have to be synchron to CLK
-- ReceivePort return currently active Port
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2009-08-07 V0.01 Converted from V3.1 to first official version.
-- 2011-11-28 V0.02 zelenkaj Changed reset level to high-active
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
ENTITY OpenHUB IS
GENERIC ( Ports : integer := 3 );
PORT ( Rst : IN std_logic;
Clk : IN std_logic;
RxDv : IN std_logic_vector(Ports DOWNTO 1);
RxDat0, RxDat1 : IN std_logic_vector(Ports DOWNTO 1);
TxEn : OUT std_logic_vector(Ports DOWNTO 1);
TxDat0, TxDat1 : OUT std_logic_vector(Ports DOWNTO 1);
internPort : IN integer RANGE 1 TO Ports := 1;
TransmitMask : IN std_logic_vector(Ports DOWNTO 1) := (OTHERS => '1');
ReceivePort : OUT integer RANGE 0 TO Ports
);
END ENTITY OpenHUB;
ARCHITECTURE struct OF OpenHUB IS
SIGNAL RxDvI, RxDvL : std_logic_vector(Ports DOWNTO 0);
SIGNAL RxDatI0, RxDatL0 : std_logic_vector(Ports DOWNTO 0);
SIGNAL RxDatI1, RxDatL1 : std_logic_vector(Ports DOWNTO 0);
SIGNAL TxEnI : std_logic_vector(Ports DOWNTO 0);
SIGNAL TxDatI0 : std_logic_vector(Ports DOWNTO 0);
SIGNAL TxDatI1 : std_logic_vector(Ports DOWNTO 0);
SIGNAL MasterAtCollNumber : integer RANGE 0 TO Ports;
SIGNAL HubActive : boolean;
SIGNAL CollStatus : boolean;
SIGNAL TransmitMask_L : std_logic_vector(Ports DOWNTO 1);
BEGIN
RxDvI(Ports DOWNTO 0) <= RxDv(Ports DOWNTO 1) & '0';
RxDatI0(Ports DOWNTO 0) <= RxDat0(Ports DOWNTO 1) & '0';
RxDatI1(Ports DOWNTO 0) <= RxDat1(Ports DOWNTO 1) & '0';
TxEn(Ports DOWNTO 1) <= TxEnI(Ports DOWNTO 1);
TxDat0(Ports DOWNTO 1) <= TxDatI0(Ports DOWNTO 1);
TxDat1(Ports DOWNTO 1) <= TxDatI1(Ports DOWNTO 1);
do: PROCESS (Rst, Clk)
VARIABLE Active : boolean;
VARIABLE Master : integer RANGE 0 TO Ports;
VARIABLE Master_at_Coll : integer RANGE 0 TO Ports;
VARIABLE Coll : boolean;
VARIABLE RxDvM : std_logic_vector(Ports DOWNTO 0);
BEGIN
IF Rst = '1' THEN
RxDvL <= (OTHERS => '0'); RxDatL0 <= (OTHERS => '0'); RxDatL1 <= (OTHERS => '0');
TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0');
Active := false;
Master := 0;
Master_at_Coll := 0;
Coll := false;
TransmitMask_L <= (OTHERS => '1');
ELSIF rising_edge(Clk) THEN
RxDvL <= RxDvI; RxDatL0 <= RxDatI0; RxDatL1 <= RxDatI1;
IF Active = false THEN
IF RxDvL /= 0 THEN
FOR i IN 1 TO Ports LOOP
IF RxDvL(i) = '1' AND (RxDatL0(i) = '1' OR RxDatL1(i) = '1') THEN
Master := i;
Active := true;
EXIT;
END IF;
END LOOP;
END IF;
ELSE
IF RxDvL(Master) = '0' AND RxDvI(Master) = '0' THEN
Master := 0;
END IF;
IF RxDvL = 0 AND RxDvI = 0 THEN
Active := false;
END IF;
END IF;
IF Master = 0 THEN
TxEnI <= (OTHERS => '0'); TxDatI0 <= (OTHERS => '0'); TxDatI1 <= (OTHERS => '0');
-- Overtake new TransmitMask only, when there is no active frame.
TransmitMask_L <= TransmitMask;
ELSE
FOR i IN 1 TO Ports LOOP -- output received frame to every port
IF i /= Master THEN -- but not to the port where it is coming from - "eh kloar!"
-- only send data to active ports (=> TransmitMask is set to '1') or the internal Port (Mac)
IF TransmitMask_L(i) = '1' OR Master = internPort THEN
TxEnI(i) <= '1';
TxDatI0(i) <= RxDatL0(Master);
TxDatI1(i) <= RxDatL1(Master);
END IF;
-- If there is a frame received and another is sent => collision!
IF RxDvL(i) = '1' THEN
Coll := true;
Master_at_Coll := Master;
END IF;
END IF;
END LOOP;
END IF;
IF Coll = true THEN
TxEnI(Master_at_Coll) <= '1'; TxDatI0(Master_at_Coll) <= '1'; TxDatI1(Master_at_Coll) <= '0';
RxDvM := RxDvL;
RxDvM(Master_at_Coll) := '0';
IF RxDvM = 0 THEN
TxEnI(Master_at_Coll) <= '0'; TxDatI0(Master_at_Coll) <= '0'; TxDatI1(Master_at_Coll) <= '0';
Coll := false;
Master_at_Coll := 0;
END IF;
END IF;
END IF;
HubActive <= Active;
MasterAtCollNumber <= Master_at_Coll;
CollStatus <= Coll;
-- Output the Master Port - identifies the port (1...n) which has received the packet.
-- If Master is 0, the Hub is inactive.
ReceivePort <= Master;
END PROCESS do;
END struct;
| gpl-2.0 | dcb79cf2837902d6af7237237bf74cad | 0.592499 | 3.540741 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/openMAC_DMAFifo_Xilinx/fifo_read.vhd | 2 | 5,318 | ------------------------------------------------------------------------------------------------------------------------
-- read controller of the fifo
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: A general implementation of a asynchronous fifo which is
-- using a dual port ram. This file is the read controler.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-22 V0.01 mairt first version
-- 2011-10-14 V0.02 zelenkaj element calculation buggy
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_read_ctrl is
generic(N: natural:=4);
port(
clkr, resetr: in std_logic;
w_ptr_in: in std_logic_vector(N downto 0);
rd: in std_logic;
r_empty: out std_logic;
r_full: out std_logic;
r_ptr_out: out std_logic_vector(N downto 0);
r_addr: out std_logic_vector(N-1 downto 0);
r_elements: out std_logic_vector(N-1 downto 0)
);
end fifo_read_ctrl;
architecture gray_arch of fifo_read_ctrl is
signal r_ptr_reg, r_ptr_next: std_logic_vector(N downto 0);
signal w_ptr_reg, w_ptr_next : std_logic_vector(N downto 0) := (others => '0');
signal gray1, bin, bin1: std_logic_vector(N downto 0);
signal raddr_all: std_logic_vector(N-1 downto 0);
signal raddr_msb,waddr_msb: std_logic;
signal empty_flag, full_flag: std_logic;
signal r_elements_wr, r_elements_rd, r_elements_diff : std_logic_vector(N downto 0);
signal r_elements_reg, r_elements_next : std_logic_vector(N-1 downto 0);
begin
-- register
process(clkr,resetr)
begin
if (resetr='1') then
r_ptr_reg <= (others=>'0');
--w_ptr_reg <= (others => '0');
r_elements_reg <= (others => '0');
elsif (clkr'event and clkr='1') then
r_ptr_reg <= r_ptr_next;
--w_ptr_reg <= w_ptr_next;
r_elements_reg <= r_elements_next;
end if;
end process;
-- (N+1)-bit Gray counter
bin <= r_ptr_reg xor ('0' & bin(N downto 1));
bin1 <= std_logic_vector(unsigned(bin) + 1);
gray1 <= bin1 xor ('0' & bin1(N downto 1));
-- update read pointer
r_ptr_next <= gray1 when rd='1' and empty_flag='0' else
r_ptr_reg;
-- save write pointer
w_ptr_next <= w_ptr_in;
-- N-bit Gray counter
raddr_msb <= r_ptr_reg(N) xor r_ptr_reg(N-1);
raddr_all <= raddr_msb & r_ptr_reg(N-2 downto 0);
waddr_msb <= w_ptr_in(N) xor w_ptr_in(N-1);
-- check for FIFO read empty
empty_flag <=
'1' when w_ptr_in(N)=r_ptr_reg(N) and
w_ptr_in(N-2 downto 0)=r_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
-- check for FIFO read full
full_flag <=
'1' when w_ptr_in(N)/=r_ptr_reg(N) and
w_ptr_in(N-2 downto 0)=r_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
-- convert gray value to bin and obtain difference
r_elements_wr <= bin;
r_elements_rd <= w_ptr_in xor ('0' & r_elements_rd(N downto 1));
r_elements_diff <= std_logic_vector(unsigned(r_elements_rd) - unsigned(r_elements_wr));
r_elements_next <= r_elements_diff(r_elements_next'range);
-- output
r_addr <= raddr_all;
r_ptr_out <= r_ptr_reg;
r_elements <= r_elements_reg;
r_empty <= empty_flag;
r_full <= full_flag;
end gray_arch; | gpl-2.0 | bb574b12ccf692df4861c415e3990f39 | 0.581986 | 3.566734 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_generic_cstr.vhd | 8 | 136,876 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99584)
`protect data_block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`protect end_protected
| gpl-3.0 | 5a5ff5a8501005f54d26bdcbf5b8c134 | 0.953177 | 1.812255 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/auditoría_imagen_16x16/sort_3x3x.vhd | 1 | 21,250 | library IEEE;
use IEEE.std_logic_1164.all;
entity sort_3x3 is
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
w11 : in std_logic_vector((vwidth -1) downto 0);
w12 : in std_logic_vector((vwidth-1) downto 0);
w13 : in std_logic_vector((vwidth -1) downto 0);
w21 : in std_logic_vector((vwidth -1) downto 0);
w22 : in std_logic_vector((vwidth -1) downto 0);
w23 : in std_logic_vector((vwidth -1) downto 0);
w31 : in std_logic_vector((vwidth-1) downto 0);
w32 : in std_logic_vector((vwidth -1) downto 0);
w33 : in std_logic_vector((vwidth -1) downto 0);
DVw : in std_logic;
DVs : out std_logic;
s1 : out std_logic_vector(vwidth -1 downto 0);
s2 : out std_logic_vector(vwidth-1 downto 0);
s3 : out std_logic_vector(vwidth -1 downto 0);
s4 : out std_logic_vector(vwidth -1 downto 0);
s5 : out std_logic_vector(vwidth -1 downto 0);
s6 : out std_logic_vector(vwidth -1 downto 0);
s7 : out std_logic_vector(vwidth -1 downto 0);
s8 : out std_logic_vector(vwidth -1 downto 0);
s9 : out std_logic_vector(vwidth -1 downto 0)
);
end sort_3x3;
architecture sort_3x3 of sort_3x3 is
-- Nivel 1
signal c11_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 1
signal c11_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 1
signal c12_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 1
signal c12_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 1
signal c13_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 1
signal c13_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 1
signal c14_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 1
signal c14_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 1
signal r11: std_logic_vector((vwidth -1) downto 0);
-- Nivel 2
signal c21_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 2
signal c21_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 2
signal c22_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 2
signal c22_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 2
signal c23_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 2
signal c23_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 2
signal c24_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 2
signal c24_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 2
signal r21: std_logic_vector((vwidth -1) downto 0);
-- Nivel 3
signal c31_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 3
signal c31_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 3
signal c32_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 3
signal c32_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 3
signal c33_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 3
signal c33_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 3
signal c34_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 3
signal c34_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 3
signal r31: std_logic_vector((vwidth -1) downto 0);
-- Nivel 4
signal c41_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4
signal c41_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 4
signal c42_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4
signal c42_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4
signal r41: std_logic_vector((vwidth -1) downto 0);
signal r42: std_logic_vector((vwidth -1) downto 0);
signal r43: std_logic_vector((vwidth -1) downto 0);
signal r44: std_logic_vector((vwidth -1) downto 0);
signal r45: std_logic_vector((vwidth -1) downto 0);
-- Nivel 4a
signal c41a_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4a
signal c41a_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 4a
signal c42a_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4a
signal c42a_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4a
signal c43a_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4a
signal c43a_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4a
signal r41a: std_logic_vector((vwidth -1) downto 0);
signal r42a: std_logic_vector((vwidth -1) downto 0);
signal r43a: std_logic_vector((vwidth -1) downto 0);
-- Nivel 4b
signal c41b_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4b
signal c41b_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 4b
signal c42b_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4b
signal c42b_H: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 4b
signal r41b: std_logic_vector((vwidth -1) downto 0);
signal r42b: std_logic_vector((vwidth -1) downto 0);
signal r43b: std_logic_vector((vwidth -1) downto 0);
signal r44b: std_logic_vector((vwidth -1) downto 0);
signal r45b: std_logic_vector((vwidth -1) downto 0);
-- Nivel 5
signal c51_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 5
signal c51_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 5
signal c52_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 5
signal c52_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 5
signal r51: std_logic_vector((vwidth -1) downto 0);
signal r52: std_logic_vector((vwidth -1) downto 0);
signal r53: std_logic_vector((vwidth -1) downto 0);
signal r54: std_logic_vector((vwidth -1) downto 0);
signal r55: std_logic_vector((vwidth -1) downto 0);
-- Nivel 6
signal c61_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 6
signal c61_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 6
signal r61: std_logic_vector((vwidth -1) downto 0);
signal r62: std_logic_vector((vwidth -1) downto 0);
signal r63: std_logic_vector((vwidth -1) downto 0);
signal r64: std_logic_vector((vwidth -1) downto 0);
signal r65: std_logic_vector((vwidth -1) downto 0);
signal r66: std_logic_vector((vwidth -1) downto 0);
signal r67: std_logic_vector((vwidth -1) downto 0);
-- Nivel 7
signal c71_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 7
signal c71_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 7
signal r71: std_logic_vector((vwidth -1) downto 0);
signal r72: std_logic_vector((vwidth -1) downto 0);
signal r73: std_logic_vector((vwidth -1) downto 0);
signal r74: std_logic_vector((vwidth -1) downto 0);
signal r75: std_logic_vector((vwidth -1) downto 0);
signal r76: std_logic_vector((vwidth -1) downto 0);
signal r77: std_logic_vector((vwidth -1) downto 0);
-- Nivel 8
signal c81_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 8
signal c81_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 8
signal r81: std_logic_vector((vwidth -1) downto 0);
signal r82: std_logic_vector((vwidth -1) downto 0);
signal r83: std_logic_vector((vwidth -1) downto 0);
signal r84: std_logic_vector((vwidth -1) downto 0);
signal r85: std_logic_vector((vwidth -1) downto 0);
signal r86: std_logic_vector((vwidth -1) downto 0);
signal r87: std_logic_vector((vwidth -1) downto 0);
-- Nivel 9
signal c91_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 9
signal c91_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 9
signal r91: std_logic_vector((vwidth -1) downto 0);
signal r92: std_logic_vector((vwidth -1) downto 0);
signal r93: std_logic_vector((vwidth -1) downto 0);
signal r94: std_logic_vector((vwidth -1) downto 0);
signal r95: std_logic_vector((vwidth -1) downto 0);
signal r96: std_logic_vector((vwidth -1) downto 0);
signal r97: std_logic_vector((vwidth -1) downto 0);
-- Nivel 10
signal c101_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 10
signal c101_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 10
signal r101: std_logic_vector((vwidth -1) downto 0);
signal r102: std_logic_vector((vwidth -1) downto 0);
signal r103: std_logic_vector((vwidth -1) downto 0);
signal r104: std_logic_vector((vwidth -1) downto 0);
signal r105: std_logic_vector((vwidth -1) downto 0);
signal r106: std_logic_vector((vwidth -1) downto 0);
signal r107: std_logic_vector((vwidth -1) downto 0);
-- Nivel 11
signal c111_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 11
signal c111_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 11
signal r111: std_logic_vector((vwidth -1) downto 0);
signal r112: std_logic_vector((vwidth -1) downto 0);
signal r113: std_logic_vector((vwidth -1) downto 0);
signal r114: std_logic_vector((vwidth -1) downto 0);
signal r115: std_logic_vector((vwidth -1) downto 0);
signal r116: std_logic_vector((vwidth -1) downto 0);
signal r117: std_logic_vector((vwidth -1) downto 0);
-- Nivel 12
signal c121_L: std_logic_vector((vwidth -1) downto 0); -- Comp Nivel 12
signal c121_H: std_logic_vector((vwidth-1) downto 0); -- Comp Nivel 12
signal r121: std_logic_vector((vwidth -1) downto 0);
signal r122: std_logic_vector((vwidth -1) downto 0);
signal r123: std_logic_vector((vwidth -1) downto 0);
signal r124: std_logic_vector((vwidth -1) downto 0);
signal r125: std_logic_vector((vwidth -1) downto 0);
signal r126: std_logic_vector((vwidth -1) downto 0);
signal r127: std_logic_vector((vwidth -1) downto 0);
-- Nivel 13
signal r131: std_logic_vector((vwidth -1) downto 0);
signal r132: std_logic_vector((vwidth -1) downto 0);
signal r133: std_logic_vector((vwidth -1) downto 0);
signal r134: std_logic_vector((vwidth -1) downto 0);
signal r135: std_logic_vector((vwidth -1) downto 0);
signal r136: std_logic_vector((vwidth -1) downto 0);
signal r137: std_logic_vector((vwidth -1) downto 0);
signal r138: std_logic_vector((vwidth -1) downto 0);
signal r139: std_logic_vector((vwidth -1) downto 0);
-- signals for DV coordination
signal dddddddddddddddDV: std_logic:='0';--rst
signal ddddddddddddddDV: std_logic:='0';--rst
signal dddddddddddddDV: std_logic:='0';--este es el original --rst
signal ddddddddddddDV: std_logic:='0';
signal dddddddddddDV: std_logic:='0';
signal ddddddddddDV: std_logic:='0';
signal dddddddddDV: std_logic:='0';
signal ddddddddDV: std_logic:='0';
signal dddddddDV: std_logic:='0';
signal ddddddDV: std_logic:='0';
signal dddddDV: std_logic:='0';
signal ddddDV: std_logic:='0';
signal dddDV: std_logic:='0';
signal ddDV: std_logic:='0';
signal dDV: std_logic:='0';
begin
process(Clk,RSTn)
begin
if RSTn = '0' then
--Nivel 1
c11_L <= (others=>'0');
c11_H <= (others=>'0');
c12_L <= (others=>'0');
c12_H <= (others=>'0');
c13_L <= (others=>'0');
c13_H <= (others=>'0');
c14_L <= (others=>'0');
c14_H <= (others=>'0');
r11 <= (others=>'0');
-- Nivel 2
c21_L <= (others=>'0');
c21_H <= (others=>'0');
c22_L <= (others=>'0');
c22_H <= (others=>'0');
c23_L <= (others=>'0');
c23_H <= (others=>'0');
c24_L <= (others=>'0');
c24_H <= (others=>'0');
r21 <= (others=>'0');
-- Nivel 3
c31_L <= (others=>'0');
c31_H <= (others=>'0');
c32_L <= (others=>'0');
c32_H <= (others=>'0');
c33_L <= (others=>'0');
c33_H <= (others=>'0');
c34_L <= (others=>'0');
c34_H <= (others=>'0');
r31 <= (others=>'0');
-- Nivel 4
c41_L <= (others=>'0');
c41_H <= (others=>'0');
c42_L <= (others=>'0');
c42_H <= (others=>'0');
r41 <= (others=>'0');
r42 <= (others=>'0');
r43 <= (others=>'0');
r44 <= (others=>'0');
r45 <= (others=>'0');
-- Nivel 4a
c41a_L <= (others=>'0');
c41a_H <= (others=>'0');
c42a_L <= (others=>'0');
c42a_H <= (others=>'0');
c43a_L <= (others=>'0');
c43a_H <= (others=>'0');
r41a <= (others=>'0');
r42a <= (others=>'0');
r43a <= (others=>'0');
-- Nivel 4b
c41b_L <= (others=>'0');
c41b_H <= (others=>'0');
c42b_L <= (others=>'0');
c42b_H <= (others=>'0');
r41b <= (others=>'0');
r42b <= (others=>'0');
r43b <= (others=>'0');
r44b <= (others=>'0');
r45b <= (others=>'0');
-- Nivel 5
c51_L <= (others=>'0');
c51_H <= (others=>'0');
c52_L <= (others=>'0');
c52_H <= (others=>'0');
r51 <= (others=>'0');
r52 <= (others=>'0');
r53 <= (others=>'0');
r54 <= (others=>'0');
r55 <= (others=>'0');
-- Nivel 6
c61_L <= (others=>'0');
c61_H <= (others=>'0');
r61 <= (others=>'0');
r62 <= (others=>'0');
r63 <= (others=>'0');
r64 <= (others=>'0');
r65 <= (others=>'0');
r66 <= (others=>'0');
r67 <= (others=>'0');
-- Nivel 7
c71_L <= (others=>'0');
c71_H <= (others=>'0');
r71 <= (others=>'0');
r72 <= (others=>'0');
r73 <= (others=>'0');
r74 <= (others=>'0');
r75 <= (others=>'0');
r76 <= (others=>'0');
r77 <= (others=>'0');
-- Nivel 8
c81_L <= (others=>'0');
c81_H <= (others=>'0');
r81 <= (others=>'0');
r82 <= (others=>'0');
r83 <= (others=>'0');
r84 <= (others=>'0');
r85 <= (others=>'0');
r86 <= (others=>'0');
r87 <= (others=>'0');
-- Nivel 9
c91_L <= (others=>'0');
c91_H <= (others=>'0');
r91 <= (others=>'0');
r92 <= (others=>'0');
r93 <= (others=>'0');
r94 <= (others=>'0');
r95 <= (others=>'0');
r96 <= (others=>'0');
r97 <= (others=>'0');
-- Nivel 10
c101_L <= (others=>'0');
c101_H <= (others=>'0');
r101 <= (others=>'0');
r102 <= (others=>'0');
r103 <= (others=>'0');
r104 <= (others=>'0');
r105 <= (others=>'0');
r106 <= (others=>'0');
r107 <= (others=>'0');
-- Nivel 11
c111_L <= (others=>'0');
c111_H <= (others=>'0');
r111 <= (others=>'0');
r112 <= (others=>'0');
r113 <= (others=>'0');
r114 <= (others=>'0');
r115 <= (others=>'0');
r116 <= (others=>'0');
r117 <= (others=>'0');
-- Nivel 12
c121_L <= (others=>'0');
c121_H <= (others=>'0');
r121 <= (others=>'0');
r122 <= (others=>'0');
r123 <= (others=>'0');
r124 <= (others=>'0');
r125 <= (others=>'0');
r126 <= (others=>'0');
r127 <= (others=>'0');
s1 <= (others=>'0');
s2 <= (others=>'0');
s3 <= (others=>'0');
s4 <= (others=>'0');
s5 <= (others=>'0');
s6 <= (others=>'0');
s7 <= (others=>'0');
s8 <= (others=>'0');
s9 <= (others=>'0');
ddddddddddddDV <= '0';
dddddddddddDV <= '0';
ddddddddddDV <= '0';
dddddddddDV <= '0';
ddddddddDV <= '0';
dddddddDV <= '0';
ddddddDV <= '0';
dddddDV <= '0';
ddddDV <= '0';
dddDV <= '0';
ddDV <= '0';
dDV <= '0';
DVs <= '0';
elsif rising_edge(Clk) then
if DVw = '1' then
-- level 1
if w11 < w12 then
c11_L <= w11;
c11_H <= w12;
else
c11_L <= w12;
c11_H <= w11;
end if;
if w13 < w21 then
c12_L <= w13;
c12_H <= w21;
else
c12_L <= w21;
c12_H <= w13;
end if;
if w22 < w23 then
c13_L <= w22;
c13_H <= w23;
else
c13_L <= w23;
c13_H <= w22;
end if;
if w31 < w32 then
c14_L <= w31;
c14_H <= w32;
else
c14_L <= w32;
c14_H <= w31;
end if;
r11 <= w33;
-- level 2
if c11_L < c12_L then
c21_L <= c11_L;
c21_H <= c12_L;
else
c21_L <= c12_L;
c21_H <= c11_L;
end if;
if c11_H < c12_H then
c22_L <= c11_H;
c22_H <= c12_H;
else
c22_L <= c12_H;
c22_H <= c11_H;
end if;
if c13_L < c14_L then
c23_L <= c13_L;
c23_H <= c14_L;
else
c23_L <= c14_L;
c23_H <= c13_L;
end if;
if c13_H < c14_H then
c24_L <= c13_H;
c24_H <= c14_H;
else
c24_L <= c14_H;
c24_H <= c13_H;
end if;
r21 <= r11;
-- level 3
if c21_L < c23_L then
c31_L <= c21_L;
c31_H <= c23_L;
else
c31_L <= c23_L;
c31_H <= c21_L;
end if;
if c21_H < c22_L then
c32_L <= c21_H;
c32_H <= c22_L;
else
c32_L <= c22_L;
c32_H <= c21_H;
end if;
if c23_H < c24_L then
c33_L <= c23_H;
c33_H <= c24_L;
else
c33_L <= c24_L;
c33_H <= c23_H;
end if;
if c22_H < c24_H then
c34_L <= c22_H;
c34_H <= c24_H;
else
c34_L <= c24_H;
c34_H <= c22_H;
end if;
r31 <= r21;
-- level 4
if c32_L < c33_L then
c41_L <= c32_L;
c41_H <= c33_L;
else
c41_L <= c33_L;
c41_H <= c32_L;
end if;
if c32_H < c33_H then
c42_L <= c32_H;
c42_H <= c33_H;
else
c42_L <= c33_H;
c42_H <= c32_H;
end if;
r41 <= r31;
r42 <= c31_L;
r43 <= c31_H;
r44 <= c34_L;
r45 <= c34_H;
-- Nivel 4a
if r43 < c41_L then
c41a_L <= r43;
c41a_H <= c41_L;
else
c41a_L <= c41_L;
c41a_H <= r43;
end if;
if c41_H < c42_L then
c42a_L <= c41_H;
c42a_H <= c42_L;
else
c42a_L <= c42_L;
c42a_H <= c41_H;
end if;
if c42_H < r44 then
c43a_L <= c42_H;
c43a_H <= r44;
else
c43a_L <= r44;
c43a_H <= c42_H;
end if;
r41a <= r41;
r42a <= r42;
r43a <= r45;
-- Nivel 4b
if c41a_H < c42a_L then -- Nivel 4b
c41b_L <= c41a_H; -- Nivel 4b
c41b_H <= c42a_L; -- Nivel 4b
else -- Nivel 4b
c41b_L <= c42a_L; -- Nivel 4b
c41b_H <= c41a_H; -- Nivel 4b
end if; -- Nivel 4b
-- Nivel 4b
if c42a_H < c43a_L then -- Nivel 4b
c42b_L <= c42a_H; -- Nivel 4b
c42b_H <= c43a_L; -- Nivel 4b
else -- Nivel 4b
c42b_L <= c43a_L; -- Nivel 4b
c42b_H <= c42a_H; -- Nivel 4b
end if; -- Nivel 4b
-- Nivel 4b
r41b <= r41a; -- Nivel 4b
r42b <= r42a; -- Nivel 4b
r43b <= c41a_L; -- Nivel 4b
r44b <= c43a_H; -- Nivel 4b
r45b <= r43a; -- Nivel 4b
-- Nivel 5
if r41b < r42b then -- Nivel 5
c51_L <= r41b; -- Nivel 5;
c51_H <= r42b; -- Nivel 5
else -- Nivel 5
c51_L <= r42b; -- Nivel 5
c51_H <= r41b; -- Nivel 5
end if; -- Nivel 5
-- Nivel 5
if c41b_H < c42b_L then -- Nivel 5
c52_L <= c41b_H; -- Nivel 5
c52_H <= c42b_L; -- Nivel 5
else -- Nivel 5
c52_L <= c42b_L; -- Nivel 5
c52_H <= c41b_H; -- Nivel 5
end if; -- Nivel 5
-- Nivel 5
r51 <= r43b; -- Nivel 5
r52 <= c41b_L; -- Nivel 5
r53 <= c42b_H; -- Nivel 5
r54 <= r44b; -- Nivel 5
r55 <= r45b; -- Nivel 5
-- Nivel 6
if r51 < c51_H then
c61_L <= r51;
c61_H <= c51_H;
else
c61_L <= c51_H;
c61_H <= r51;
end if;
r61 <= c51_L;
r62 <= r52;
r63 <= c52_L;
r64 <= c52_H;
r65 <= r53;
r66 <= r54;
r67 <= r55;
-- level 7
if r62 < c61_H then
c71_L <= r62;
c71_H <= c61_H;
else
c71_L <= c61_H;
c71_H <= r62;
end if;
r71 <= r61;
r72 <= c61_L;
r73 <= r63;
r74 <= r64;
r75 <= r65;
r76 <= r66;
r77 <= r67;
-- level 8
if r73 < c71_H then
c81_L <= r73;
c81_H <= c71_H;
else
c81_L <= c71_H;
c81_H <= r73;
end if;
r81 <= r71;
r82 <= r72;
r83 <= c71_L;
r84 <= r74;
r85 <= r75;
r86 <= r76;
r87 <= r77;
-- level 9
if r84 < c81_H then
c91_L <= r84;
c91_H <= c81_H;
else
c91_L <= c81_H;
c91_H <= r84;
end if;
r91 <= r81; -- L
r92 <= r82; -- 2L
r93 <= r83; -- 3L
r94 <= c81_L; -- 4L
r95 <= r85;
r96 <= r86;
r97 <= r87;
-- level 10
if r95 < c91_H then
c101_L <= r95;
c101_H <= c91_H;
else
c101_L <= c91_H;
c101_H <= r95;
end if;
r101 <= r91; -- L
r102 <= r92; -- 2L
r103 <= r93; -- 3L
r104 <= r94; -- 4L
r105 <= c91_L; -- M
r106 <= r96;
r107 <= r97;
-- level 11
if r106 < c101_H then
c111_L <= r106;
c111_H <= c101_H;
else
c111_L <= c101_H;
c111_H <= r106;
end if;
r111 <= r101;
r112 <= r102;
r113 <= r103;
r114 <= r104;
r115 <= r105;
r116 <= c101_L;
r117 <= r107;
-- level 12
if r117 < c111_H then
c121_L <= r117;
c121_H <= c111_H;
else
c121_L <= c111_H;
c121_H <= r117;
end if;
r121 <= r111;
r122 <= r112;
r123 <= r113;
r124 <= r114;
r125 <= r115;
r126 <= r116;
r127 <= c111_L;
s1 <= r121;
s2 <= r122;
s3 <= r123;
s4 <= r124;
s5 <= r125;
s6 <= r126;
s7 <= r127;
s8 <= c121_L;
s9 <= c121_H;
--ddddddddddddddDV <= dddddddddddddddDV;
dddddddddddddDV <= ddddddddddddddDV;
ddddddddddddDV <= dddddddddddddDV;
dddddddddddDV <= ddddddddddddDV;
ddddddddddDV <= dddddddddddDV;
dddddddddDV <= ddddddddddDV;
ddddddddDV <= dddddddddDV;
dddddddDV <= ddddddddDV;
ddddddDV <= dddddddDV;
dddddDV <= ddddddDV;
ddddDV <= dddddDV;
dddDV <= ddddDV;
ddDV <= dddDV;
dDV <= ddDV;
DVs <= dDV;
end if;
if DVw = '1' then
ddddddddddddddDV <= '1';
end if;
end if;
end process;
end sort_3x3;
| gpl-3.0 | 5e8b97ede1f416383dd0b445fef46b6d | 0.541176 | 2.481317 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/clk_rom.vhd | 2 | 2,788 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/24 17:41:30
-- Nombre del módulo: clk_rom - Behavioral
-- Comentarios adicionales:
-- Este divisor de frecuencia toma sus valores de una memoria ROM que contiene
-- los valores de los contadores. Por lo tanto, el rango de frecuencias depende
-- de la ROM.
--
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/metronomo-en-vhdl-2/
--
-- Revisión:
-- Revisión 0.02 - El tiempo en alto es constante, y corresponde a un periodo de
-- 10Hz (el sonido del metrónomo debe tener la misma duración
-- para todas las diversas frecuencias).
-- Dicha frecuencia debe ser mayor a la frecuencia mayor del
-- sistema, F > 512 BPM (u 8.53 Hz).
-- En este caso, la frecuencia o tiempo en alto es de 10Hz.
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_1164.ALL;
entity clk_rom is
GENERIC (
NBITS : integer := 28 -- Cantidad de bits que tiene cada registro en la ROM.
);
PORT (
clk : in STD_LOGIC; -- Reloj de entrada de 3.125MHz.
reset : in STD_LOGIC;
escala : in STD_LOGIC_VECTOR(NBITS-1 downto 0);
clk_out : out STD_LOGIC -- Reloj de salida, dependiente del valor en memoria.
);
end clk_rom;
architecture Behavioral of clk_rom is
-- Señal utilizada para procesamiento interno de la señal de salida.
signal temporal : STD_LOGIC;
-- Señal que cubre el rango que puede alcanzar la ROM.
signal contador : integer range 0 to (2**(NBITS-4))-1 := 0;
-- Transformación de la escala de entrada a tipo numérico para el uso de operadores aritméticos.
signal escala_num : UNSIGNED(NBITS-1 downto 0) := (others => '0');
begin
-- Actualización de los datos de escala.
escala_num <= UNSIGNED(escala); -- Conversión de vector a número.
-- Procesamiento para el divisor de frecuencia.
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = escala_num) then
contador <= 0;
else
if (contador < 312500) then
-- Tiempo de 10Hz en alto.
temporal <= '1';
else
-- Todo lo demás en bajo.
temporal <= '0';
end if;
contador <= contador + 1;
end if;
end if;
end process;
-- Asignación de la señal de salida.
clk_out <= temporal;
end Behavioral; | lgpl-2.1 | ff1825fa54cf7a8e1f5ed8ce00448a29 | 0.601664 | 3.472362 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_bindec.vhd | 8 | 10,218 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5824)
`protect data_block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`protect end_protected
| gpl-3.0 | 0fd7b8087cb4f763b4c5c787f9af1372 | 0.924447 | 1.896789 | false | false | false | false |
hgunicamp/Mips8B | src_design/pc_system.vhdl | 1 | 1,677 | Library Ieee;
Use Ieee.Std_logic_1164.all;
Entity PC_System is
Generic(N: Natural := 8);
Port(clock: in Std_Logic;
Reset_n: in Std_Logic;
en_Out_PC: in Std_Logic;
load_PC: in Std_Logic;
inc_PC: in Std_Logic;
in_PC: in Std_Logic_Vector(N-3 downto 0);
out_PC: out Std_Logic_Vector(N-3 downto 0);
address_PC: out Std_Logic_Vector(N-3 downto 0));
End Entity PC_System;
Architecture behave of PC_System is
-- Usando Biblioteca Aritmetica.
Use Ieee.Numeric_Std.all;
Signal PC_Value, next_PC_Value: Unsigned(N-3 downto 0);
Begin
-- Endereco a ser acessado.
address_PC <= Std_Logic_Vector(PC_Value);
-- Processos para atualização do PC
UPDATE_PC_COMB: Process(PC_Value,in_PC,load_PC)
Begin
If load_PC = '1' then
next_PC_Value <= Unsigned(in_PC);
Else
next_PC_Value <= PC_Value + 1;
End If;
End Process UPDATE_PC_COMB;
UPDATE_PC_SYNC: Process
Begin
Wait Until clock'event and clock = '1';
If Reset_n = '0' then
PC_Value <= (Others => '0');
ElsIf load_PC = '1' or inc_PC = '1' then
PC_Value <= next_PC_Value;
End If;
End Process UPDATE_PC_SYNC;
OUT_PC_VALUE: Process(en_Out_PC,PC_Value)
Begin
If en_Out_PC = '1' then
out_PC <= Std_Logic_Vector(PC_Value);
Else
out_PC <= (Others => '0');
End If;
End Process OUT_PC_VALUE;
End Architecture behave;
Configuration PC_System_behave of PC_System is
For behave
End For;
End Configuration PC_System_behave;
| unlicense | 0c49aa02653bf97c92a2af0a5e35d35d | 0.571343 | 3.202677 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_getinit_pkg.vhd | 8 | 63,345 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45152)
`protect data_block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`protect end_protected
| gpl-3.0 | c2fb93a5ca34c7e70c23a3d4b1df9bf5 | 0.951519 | 1.821934 | false | false | false | false |
quicky2000/IP_register | my_register.vhd | 1 | 1,541 | --
-- This file is part of IP_register
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity my_register is
generic (
size : natural := 1);-- size
port (
clk : in std_logic; -- clock
rst : in std_logic; --rst
input : in std_logic_vector(size - 1 downto 0); -- input
output : out std_logic_vector(size -1 downto 0) -- output
-- input : in std_logic_vector; -- input
-- output : out std_logic_vector -- output
);
end my_register;
architecture behavourial of my_register is
begin -- behavourial
my_register: process(clk,rst)
begin
if rising_edge(clk) then
if rst = '1' then
output <= (others => '0');
else
output <= input;
end if;
end if;
end process;
end behavourial;
| gpl-3.0 | b24d435df644275bfcc03067132709d4 | 0.658014 | 3.704327 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/output_blk.vhd | 6 | 27,163 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18368)
`protect data_block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`protect end_protected
| gpl-3.0 | e9aa5bc0317f311aa3a7323207391e3b | 0.945551 | 1.840436 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/openMAC_DMAFifo_Xilinx/n_synchronizer.vhd | 2 | 3,577 | ------------------------------------------------------------------------------------------------------------------------
-- n sychronizer of the async fifo
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: A general implementation of a asynchronous fifo which is
-- using a dual port ram. This file is the n sychronizer.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-22 V0.01 mairt first version
-- 2011-10-14 V0.02 zelenkaj add an additional sync stage
-- 2011-11-25 V0.03 mairt omitted reset out
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity synchronizer_g is
generic(N: natural);
port(
clk, reset: in std_logic;
in_async: in std_logic_vector(N-1 downto 0);
out_sync: out std_logic_vector(N-1 downto 0)
);
end synchronizer_g;
architecture two_ff_arch of synchronizer_g is
signal meta_reg, sync_reg, sync_reg1 : std_logic_vector(N-1 downto 0) := (others => '0');
signal meta_next, sync_next, sync_next1 : std_logic_vector(N-1 downto 0) := (others => '0');
begin
-- two registers
process(clk)--,reset)
begin
-- if (reset='1') then
-- meta_reg <= (others=>'0');
-- sync_reg <= (others=>'0');
-- sync_reg1 <= (others => '0');
if (clk'event and clk='1') then
meta_reg <= meta_next;
sync_reg <= sync_next;
sync_reg1 <= sync_next1;
end if;
end process;
-- next-state logic
meta_next <= in_async;
sync_next <= meta_reg;
sync_next1 <= sync_reg;
-- output
out_sync <= sync_reg1;
end two_ff_arch; | gpl-2.0 | 8c9d1fe2269e1c36dcde883c1dd29f95 | 0.573945 | 4.130485 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/Adicionales/Programador_StrataFlash_modificado/kcpsm3.vhd | 1 | 65,863 | -- PicoBlaze
--
-- Constant (K) Coded Programmable State Machine for Spartan-3 Devices.
-- Also suitable for use with Virtex-II and Virtex-IIPRO devices.
--
-- Includes additional code for enhanced VHDL simulation.
--
-- Version : 1.30
-- Version Date : 14th June 2004
-- Reasons : Avoid issue caused when ENABLE INTERRUPT is used when interrupts are
-- already enabled when an an interrupt input is applied.
-- Improved design for faster ZERO and CARRY flag logic
--
--
-- Previous Version : 1.20
-- Version Date : 9th July 2003
--
-- Start of design entry : 19th May 2003
--
-- Ken Chapman
-- Xilinx Ltd
-- Benchmark House
-- 203 Brooklands Road
-- Weybridge
-- Surrey KT13 ORH
-- United Kingdom
--
-- [email protected]
--
-- Instruction disassembly concept inspired by the work of Prof. Dr.-Ing. Bernhard Lang.
-- University of Applied Sciences, Osnabrueck, Germany.
--
------------------------------------------------------------------------------------
--
-- NOTICE:
--
-- Copyright Xilinx, Inc. 2003. This code may be contain portions patented by other
-- third parties. By providing this core as one possible implementation of a standard,
-- Xilinx is making no representation that the provided implementation of this standard
-- is free from any claims of infringement by any third party. Xilinx expressly
-- disclaims any warranty with respect to the adequacy of the implementation, including
-- but not limited to any warranty or representation that the implementation is free
-- from claims of any third party. Furthermore, Xilinx is providing this core as a
-- courtesy to you and suggests that you contact all third parties to obtain the
-- necessary rights to use this implementation.
--
------------------------------------------------------------------------------------
--
-- Format of this file.
--
-- This file contains the definition of KCPSM3 as one complete module with sections
-- created using generate loops. This 'flat' approach has been adopted to decrease
-- the time taken to load the module into simulators and the synthesis process.
--
-- The module defines the implementation of the logic using Xilinx primitives.
-- These ensure predictable synthesis results and maximise the density of the implementation.
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
------------------------------------------------------------------------------------
--
-- Library declarations
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
--
------------------------------------------------------------------------------------
--
-- Main Entity for KCPSM3
--
entity kcpsm3 is
Port ( address : out std_logic_vector(9 downto 0);
instruction : in std_logic_vector(17 downto 0);
port_id : out std_logic_vector(7 downto 0);
write_strobe : out std_logic;
out_port : out std_logic_vector(7 downto 0);
read_strobe : out std_logic;
in_port : in std_logic_vector(7 downto 0);
interrupt : in std_logic;
interrupt_ack : out std_logic;
reset : in std_logic;
clk : in std_logic);
end kcpsm3;
--
------------------------------------------------------------------------------------
--
-- Start of Main Architecture for KCPSM3
--
architecture low_level_definition of kcpsm3 is
--
------------------------------------------------------------------------------------
--
-- Signals used in KCPSM3
--
------------------------------------------------------------------------------------
--
-- Fundamental control and decode signals
--
signal t_state : std_logic;
signal not_t_state : std_logic;
signal internal_reset : std_logic;
signal reset_delay : std_logic;
signal move_group : std_logic;
signal condition_met : std_logic;
signal normal_count : std_logic;
signal call_type : std_logic;
signal push_or_pop_type : std_logic;
signal valid_to_move : std_logic;
--
-- Flag signals
--
signal flag_type : std_logic;
signal flag_write : std_logic;
signal flag_enable : std_logic;
signal zero_flag : std_logic;
signal sel_shadow_zero : std_logic;
signal low_zero : std_logic;
signal high_zero : std_logic;
signal low_zero_carry : std_logic;
signal high_zero_carry : std_logic;
signal zero_carry : std_logic;
signal zero_fast_route : std_logic;
signal low_parity : std_logic;
signal high_parity : std_logic;
signal parity_carry : std_logic;
signal parity : std_logic;
signal carry_flag : std_logic;
signal sel_parity : std_logic;
signal sel_arith_carry : std_logic;
signal sel_shift_carry : std_logic;
signal sel_shadow_carry : std_logic;
signal sel_carry : std_logic_vector(3 downto 0);
signal carry_fast_route : std_logic;
--
-- Interrupt signals
--
signal active_interrupt : std_logic;
signal int_pulse : std_logic;
signal clean_int : std_logic;
signal shadow_carry : std_logic;
signal shadow_zero : std_logic;
signal int_enable : std_logic;
signal int_update_enable : std_logic;
signal int_enable_value : std_logic;
signal interrupt_ack_internal : std_logic;
--
-- Program Counter signals
--
signal pc : std_logic_vector(9 downto 0);
signal pc_vector : std_logic_vector(9 downto 0);
signal pc_vector_carry : std_logic_vector(8 downto 0);
signal inc_pc_vector : std_logic_vector(9 downto 0);
signal pc_value : std_logic_vector(9 downto 0);
signal pc_value_carry : std_logic_vector(8 downto 0);
signal inc_pc_value : std_logic_vector(9 downto 0);
signal pc_enable : std_logic;
--
-- Data Register signals
--
signal sx : std_logic_vector(7 downto 0);
signal sy : std_logic_vector(7 downto 0);
signal register_type : std_logic;
signal register_write : std_logic;
signal register_enable : std_logic;
signal second_operand : std_logic_vector(7 downto 0);
--
-- Scratch Pad Memory signals
--
signal memory_data : std_logic_vector(7 downto 0);
signal store_data : std_logic_vector(7 downto 0);
signal memory_type : std_logic;
signal memory_write : std_logic;
signal memory_enable : std_logic;
--
-- Stack signals
--
signal stack_pop_data : std_logic_vector(9 downto 0);
signal stack_ram_data : std_logic_vector(9 downto 0);
signal stack_address : std_logic_vector(4 downto 0);
signal half_stack_address : std_logic_vector(4 downto 0);
signal stack_address_carry : std_logic_vector(3 downto 0);
signal next_stack_address : std_logic_vector(4 downto 0);
signal stack_write_enable : std_logic;
signal not_active_interrupt : std_logic;
--
-- ALU signals
--
signal logical_result : std_logic_vector(7 downto 0);
signal logical_value : std_logic_vector(7 downto 0);
signal sel_logical : std_logic;
signal shift_result : std_logic_vector(7 downto 0);
signal shift_value : std_logic_vector(7 downto 0);
signal sel_shift : std_logic;
signal high_shift_in : std_logic;
signal low_shift_in : std_logic;
signal shift_in : std_logic;
signal shift_carry : std_logic;
signal shift_carry_value : std_logic;
signal arith_result : std_logic_vector(7 downto 0);
signal arith_value : std_logic_vector(7 downto 0);
signal half_arith : std_logic_vector(7 downto 0);
signal arith_internal_carry : std_logic_vector(7 downto 0);
signal sel_arith_carry_in : std_logic;
signal arith_carry_in : std_logic;
signal invert_arith_carry : std_logic;
signal arith_carry_out : std_logic;
signal sel_arith : std_logic;
signal arith_carry : std_logic;
--
-- ALU multiplexer signals
--
signal input_fetch_type : std_logic;
signal sel_group : std_logic;
signal alu_group : std_logic_vector(7 downto 0);
signal input_group : std_logic_vector(7 downto 0);
signal alu_result : std_logic_vector(7 downto 0);
--
-- read and write strobes
--
signal io_initial_decode : std_logic;
signal write_active : std_logic;
signal read_active : std_logic;
--
--
------------------------------------------------------------------------------------
--
-- Attributes to define LUT contents during implementation for primitives not
-- contained within generate loops. In each case the information is repeated
-- in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of t_state_lut : label is "1";
attribute INIT of int_pulse_lut : label is "0080";
attribute INIT of int_update_lut : label is "EAAA";
attribute INIT of int_value_lut : label is "04";
attribute INIT of move_group_lut : label is "7400";
attribute INIT of condition_met_lut : label is "5A3C";
attribute INIT of normal_count_lut : label is "2F";
attribute INIT of call_type_lut : label is "1000";
attribute INIT of push_pop_lut : label is "5400";
attribute INIT of valid_move_lut : label is "D";
attribute INIT of flag_type_lut : label is "41FC";
attribute INIT of flag_enable_lut : label is "8";
attribute INIT of low_zero_lut : label is "0001";
attribute INIT of high_zero_lut : label is "0001";
attribute INIT of sel_shadow_zero_lut : label is "3F";
attribute INIT of low_parity_lut : label is "6996";
attribute INIT of high_parity_lut : label is "6996";
attribute INIT of sel_parity_lut : label is "F3FF";
attribute INIT of sel_arith_carry_lut : label is "F3";
attribute INIT of sel_shift_carry_lut : label is "C";
attribute INIT of sel_shadow_carry_lut : label is "3";
attribute INIT of register_type_lut : label is "0145";
attribute INIT of register_enable_lut : label is "8";
attribute INIT of memory_type_lut : label is "0400";
attribute INIT of memory_enable_lut : label is "8000";
attribute INIT of sel_logical_lut : label is "FFE2";
attribute INIT of low_shift_in_lut : label is "E4";
attribute INIT of high_shift_in_lut : label is "E4";
attribute INIT of shift_carry_lut : label is "E4";
attribute INIT of sel_arith_lut : label is "1F";
attribute INIT of input_fetch_type_lut : label is "0002";
attribute INIT of io_decode_lut : label is "0010";
attribute INIT of write_active_lut : label is "4000";
attribute INIT of read_active_lut : label is "0100";
--
------------------------------------------------------------------------------------
--
-- Start of KCPSM3 circuit description
--
------------------------------------------------------------------------------------
--
begin
--
------------------------------------------------------------------------------------
--
-- Fundamental Control
--
-- Definition of T-state and internal reset
--
------------------------------------------------------------------------------------
--
t_state_lut: LUT1
--synthesis translate_off
generic map (INIT => X"1")
--synthesis translate_on
port map( I0 => t_state,
O => not_t_state );
toggle_flop: FDR
port map ( D => not_t_state,
Q => t_state,
R => internal_reset,
C => clk);
reset_flop1: FDS
port map ( D => '0',
Q => reset_delay,
S => reset,
C => clk);
reset_flop2: FDS
port map ( D => reset_delay,
Q => internal_reset,
S => reset,
C => clk);
--
------------------------------------------------------------------------------------
--
-- Interrupt input logic, Interrupt enable and shadow Flags.
--
-- Captures interrupt input and enables the shadow flags.
-- Decodes instructions which set and reset the interrupt enable flip-flop.
--
------------------------------------------------------------------------------------
--
-- Interrupt capture
int_capture_flop: FDR
port map ( D => interrupt,
Q => clean_int,
R => internal_reset,
C => clk);
int_pulse_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0080")
--synthesis translate_on
port map( I0 => t_state,
I1 => clean_int,
I2 => int_enable,
I3 => active_interrupt,
O => int_pulse );
int_flop: FDR
port map ( D => int_pulse,
Q => active_interrupt,
R => internal_reset,
C => clk);
ack_flop: FD
port map ( D => active_interrupt,
Q => interrupt_ack_internal,
C => clk);
interrupt_ack <= interrupt_ack_internal;
-- Shadow flags
shadow_carry_flop: FDE
port map ( D => carry_flag,
Q => shadow_carry,
CE => active_interrupt,
C => clk);
shadow_zero_flop: FDE
port map ( D => zero_flag,
Q => shadow_zero,
CE => active_interrupt,
C => clk);
-- Decode instructions that set or reset interrupt enable
int_update_lut: LUT4
--synthesis translate_off
generic map (INIT => X"EAAA")
--synthesis translate_on
port map( I0 => active_interrupt,
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => int_update_enable );
int_value_lut: LUT3
--synthesis translate_off
generic map (INIT => X"04")
--synthesis translate_on
port map( I0 => active_interrupt,
I1 => instruction(0),
I2 => interrupt_ack_internal,
O => int_enable_value );
int_enable_flop: FDRE
port map ( D => int_enable_value,
Q => int_enable,
CE => int_update_enable,
R => internal_reset,
C => clk);
--
------------------------------------------------------------------------------------
--
-- Decodes for the control of the program counter and CALL/RETURN stack
--
------------------------------------------------------------------------------------
--
move_group_lut: LUT4
--synthesis translate_off
generic map (INIT => X"7400")
--synthesis translate_on
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => move_group );
condition_met_lut: LUT4
--synthesis translate_off
generic map (INIT => X"5A3C")
--synthesis translate_on
port map( I0 => carry_flag,
I1 => zero_flag,
I2 => instruction(10),
I3 => instruction(11),
O => condition_met );
normal_count_lut: LUT3
--synthesis translate_off
generic map (INIT => X"2F")
--synthesis translate_on
port map( I0 => instruction(12),
I1 => condition_met,
I2 => move_group,
O => normal_count );
call_type_lut: LUT4
--synthesis translate_off
generic map (INIT => X"1000")
--synthesis translate_on
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => call_type );
push_pop_lut: LUT4
--synthesis translate_off
generic map (INIT => X"5400")
--synthesis translate_on
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => push_or_pop_type );
valid_move_lut: LUT2
--synthesis translate_off
generic map (INIT => X"D")
--synthesis translate_on
port map( I0 => instruction(12),
I1 => condition_met,
O => valid_to_move );
--
------------------------------------------------------------------------------------
--
-- The ZERO and CARRY Flags
--
------------------------------------------------------------------------------------
--
-- Enable for flags
flag_type_lut: LUT4
--synthesis translate_off
generic map (INIT => X"41FC")
--synthesis translate_on
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => flag_type );
flag_write_flop: FD
port map ( D => flag_type,
Q => flag_write,
C => clk);
flag_enable_lut: LUT2
--synthesis translate_off
generic map (INIT => X"8")
--synthesis translate_on
port map( I0 => t_state,
I1 => flag_write,
O => flag_enable );
-- Zero Flag
low_zero_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0001")
--synthesis translate_on
port map( I0 => alu_result(0),
I1 => alu_result(1),
I2 => alu_result(2),
I3 => alu_result(3),
O => low_zero );
high_zero_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0001")
--synthesis translate_on
port map( I0 => alu_result(4),
I1 => alu_result(5),
I2 => alu_result(6),
I3 => alu_result(7),
O => high_zero );
low_zero_muxcy: MUXCY
port map( DI => '0',
CI => '1',
S => low_zero,
O => low_zero_carry );
high_zero_cymux: MUXCY
port map( DI => '0',
CI => low_zero_carry,
S => high_zero,
O => high_zero_carry );
sel_shadow_zero_lut: LUT3
--synthesis translate_off
generic map (INIT => X"3F")
--synthesis translate_on
port map( I0 => shadow_zero,
I1 => instruction(16),
I2 => instruction(17),
O => sel_shadow_zero );
zero_cymux: MUXCY
port map( DI => shadow_zero,
CI => high_zero_carry,
S => sel_shadow_zero,
O => zero_carry );
zero_xor: XORCY
port map( LI => '0',
CI => zero_carry,
O => zero_fast_route);
zero_flag_flop: FDRE
port map ( D => zero_fast_route,
Q => zero_flag,
CE => flag_enable,
R => internal_reset,
C => clk);
-- Parity detection
low_parity_lut: LUT4
--synthesis translate_off
generic map (INIT => X"6996")
--synthesis translate_on
port map( I0 => logical_result(0),
I1 => logical_result(1),
I2 => logical_result(2),
I3 => logical_result(3),
O => low_parity );
high_parity_lut: LUT4
--synthesis translate_off
generic map (INIT => X"6996")
--synthesis translate_on
port map( I0 => logical_result(4),
I1 => logical_result(5),
I2 => logical_result(6),
I3 => logical_result(7),
O => high_parity );
parity_muxcy: MUXCY
port map( DI => '0',
CI => '1',
S => low_parity,
O => parity_carry );
parity_xor: XORCY
port map( LI => high_parity,
CI => parity_carry,
O => parity);
-- CARRY flag selection
sel_parity_lut: LUT4
--synthesis translate_off
generic map (INIT => X"F3FF")
--synthesis translate_on
port map( I0 => parity,
I1 => instruction(13),
I2 => instruction(15),
I3 => instruction(16),
O => sel_parity );
sel_arith_carry_lut: LUT3
--synthesis translate_off
generic map (INIT => X"F3")
--synthesis translate_on
port map( I0 => arith_carry,
I1 => instruction(16),
I2 => instruction(17),
O => sel_arith_carry );
sel_shift_carry_lut: LUT2
--synthesis translate_off
generic map (INIT => X"C")
--synthesis translate_on
port map( I0 => shift_carry,
I1 => instruction(15),
O => sel_shift_carry );
sel_shadow_carry_lut: LUT2
--synthesis translate_off
generic map (INIT => X"3")
--synthesis translate_on
port map( I0 => shadow_carry,
I1 => instruction(17),
O => sel_shadow_carry );
sel_shadow_muxcy: MUXCY
port map( DI => shadow_carry,
CI => '0',
S => sel_shadow_carry,
O => sel_carry(0) );
sel_shift_muxcy: MUXCY
port map( DI => shift_carry,
CI => sel_carry(0),
S => sel_shift_carry,
O => sel_carry(1) );
sel_arith_muxcy: MUXCY
port map( DI => arith_carry,
CI => sel_carry(1),
S => sel_arith_carry,
O => sel_carry(2) );
sel_parity_muxcy: MUXCY
port map( DI => parity,
CI => sel_carry(2),
S => sel_parity,
O => sel_carry(3) );
carry_xor: XORCY
port map( LI => '0',
CI => sel_carry(3),
O => carry_fast_route);
carry_flag_flop: FDRE
port map ( D => carry_fast_route,
Q => carry_flag,
CE => flag_enable,
R => internal_reset,
C => clk);
--
------------------------------------------------------------------------------------
--
-- The Program Counter
--
-- Definition of a 10-bit counter which can be loaded from two sources
--
------------------------------------------------------------------------------------
--
invert_enable: INV -- Inverter should be implemented in the CE to flip flops
port map( I => t_state,
O => pc_enable);
pc_loop: for i in 0 to 9 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of vector_select_mux : label is "E4";
attribute INIT of value_select_mux : label is "E4";
--
begin
vector_select_mux: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(15),
I1 => instruction(i),
I2 => stack_pop_data(i),
O => pc_vector(i) );
value_select_mux: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => normal_count,
I1 => inc_pc_vector(i),
I2 => pc(i),
O => pc_value(i) );
register_bit: FDRSE
port map ( D => inc_pc_value(i),
Q => pc(i),
R => internal_reset,
S => active_interrupt,
CE => pc_enable,
C => clk);
pc_lsb_carry: if i=0 generate
begin
pc_vector_muxcy: MUXCY
port map( DI => '0',
CI => instruction(13),
S => pc_vector(i),
O => pc_vector_carry(i));
pc_vector_xor: XORCY
port map( LI => pc_vector(i),
CI => instruction(13),
O => inc_pc_vector(i));
pc_value_muxcy: MUXCY
port map( DI => '0',
CI => normal_count,
S => pc_value(i),
O => pc_value_carry(i));
pc_value_xor: XORCY
port map( LI => pc_value(i),
CI => normal_count,
O => inc_pc_value(i));
end generate pc_lsb_carry;
pc_mid_carry: if i>0 and i<9 generate
begin
pc_vector_muxcy: MUXCY
port map( DI => '0',
CI => pc_vector_carry(i-1),
S => pc_vector(i),
O => pc_vector_carry(i));
pc_vector_xor: XORCY
port map( LI => pc_vector(i),
CI => pc_vector_carry(i-1),
O => inc_pc_vector(i));
pc_value_muxcy: MUXCY
port map( DI => '0',
CI => pc_value_carry(i-1),
S => pc_value(i),
O => pc_value_carry(i));
pc_value_xor: XORCY
port map( LI => pc_value(i),
CI => pc_value_carry(i-1),
O => inc_pc_value(i));
end generate pc_mid_carry;
pc_msb_carry: if i=9 generate
begin
pc_vector_xor: XORCY
port map( LI => pc_vector(i),
CI => pc_vector_carry(i-1),
O => inc_pc_vector(i));
pc_value_xor: XORCY
port map( LI => pc_value(i),
CI => pc_value_carry(i-1),
O => inc_pc_value(i));
end generate pc_msb_carry;
end generate pc_loop;
address <= pc;
--
------------------------------------------------------------------------------------
--
-- Register Bank and second operand selection.
--
-- Definition of an 8-bit dual port RAM with 16 locations
-- including write enable decode.
--
-- Outputs are assigned to PORT_ID and OUT_PORT.
--
------------------------------------------------------------------------------------
--
-- Forming decode signal
register_type_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0145")
--synthesis translate_on
port map( I0 => active_interrupt,
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => register_type );
register_write_flop: FD
port map ( D => register_type,
Q => register_write,
C => clk);
register_enable_lut: LUT2
--synthesis translate_off
generic map (INIT => X"8")
--synthesis translate_on
port map( I0 => t_state,
I1 => register_write,
O => register_enable );
reg_loop: for i in 0 to 7 generate
--
-- Attribute to define RAM contents during implementation
-- The information is repeated in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of register_bit : label is "0000";
attribute INIT of operand_select_mux : label is "E4";
--
begin
register_bit: RAM16X1D
--synthesis translate_off
generic map(INIT => X"0000")
--synthesis translate_on
port map ( D => alu_result(i),
WE => register_enable,
WCLK => clk,
A0 => instruction(8),
A1 => instruction(9),
A2 => instruction(10),
A3 => instruction(11),
DPRA0 => instruction(4),
DPRA1 => instruction(5),
DPRA2 => instruction(6),
DPRA3 => instruction(7),
SPO => sx(i),
DPO => sy(i));
operand_select_mux: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(12),
I1 => instruction(i),
I2 => sy(i),
O => second_operand(i) );
end generate reg_loop;
out_port <= sx;
port_id <= second_operand;
--
------------------------------------------------------------------------------------
--
-- Store Memory
--
-- Definition of an 8-bit single port RAM with 64 locations
-- including write enable decode.
--
------------------------------------------------------------------------------------
--
-- Forming decode signal
memory_type_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0400")
--synthesis translate_on
port map( I0 => active_interrupt,
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => memory_type );
memory_write_flop: FD
port map ( D => memory_type,
Q => memory_write,
C => clk);
memory_enable_lut: LUT4
--synthesis translate_off
generic map (INIT => X"8000")
--synthesis translate_on
port map( I0 => t_state,
I1 => instruction(13),
I2 => instruction(14),
I3 => memory_write,
O => memory_enable );
store_loop: for i in 0 to 7 generate
--
-- Attribute to define RAM contents during implementation
-- The information is repeated in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of memory_bit : label is "0000000000000000";
--
begin
memory_bit: RAM64X1S
--synthesis translate_off
generic map(INIT => X"0000000000000000")
--synthesis translate_on
port map ( D => sx(i),
WE => memory_enable,
WCLK => clk,
A0 => second_operand(0),
A1 => second_operand(1),
A2 => second_operand(2),
A3 => second_operand(3),
A4 => second_operand(4),
A5 => second_operand(5),
O => memory_data(i));
store_flop: FD
port map ( D => memory_data(i),
Q => store_data(i),
C => clk);
end generate store_loop;
--
------------------------------------------------------------------------------------
--
-- Logical operations
--
-- Definition of AND, OR, XOR and LOAD functions which also provides TEST.
-- Includes pipeline stage used to form ALU multiplexer including decode.
--
------------------------------------------------------------------------------------
--
sel_logical_lut: LUT4
--synthesis translate_off
generic map (INIT => X"FFE2")
--synthesis translate_on
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => sel_logical );
logical_loop: for i in 0 to 7 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of logical_lut : label is "6E8A";
--
begin
logical_lut: LUT4
--synthesis translate_off
generic map (INIT => X"6E8A")
--synthesis translate_on
port map( I0 => second_operand(i),
I1 => sx(i),
I2 => instruction(13),
I3 => instruction(14),
O => logical_value(i));
logical_flop: FDR
port map ( D => logical_value(i),
Q => logical_result(i),
R => sel_logical,
C => clk);
end generate logical_loop;
--
--
------------------------------------------------------------------------------------
--
-- Shift and Rotate operations
--
-- Includes pipeline stage used to form ALU multiplexer including decode.
--
------------------------------------------------------------------------------------
--
sel_shift_inv: INV -- Inverter should be implemented in the reset to flip flops
port map( I => instruction(17),
O => sel_shift);
-- Bit to input to shift register
high_shift_in_lut: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(1),
I1 => sx(0),
I2 => instruction(0),
O => high_shift_in );
low_shift_in_lut: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(1),
I1 => carry_flag,
I2 => sx(7),
O => low_shift_in );
shift_in_muxf5: MUXF5
port map( I1 => high_shift_in,
I0 => low_shift_in,
S => instruction(2),
O => shift_in );
-- Forming shift carry signal
shift_carry_lut: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(3),
I1 => sx(7),
I2 => sx(0),
O => shift_carry_value );
pipeline_bit: FD
port map ( D => shift_carry_value,
Q => shift_carry,
C => clk);
shift_loop: for i in 0 to 7 generate
begin
lsb_shift: if i=0 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of shift_mux_lut : label is "E4";
--
begin
shift_mux_lut: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(3),
I1 => shift_in,
I2 => sx(i+1),
O => shift_value(i) );
end generate lsb_shift;
mid_shift: if i>0 and i<7 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of shift_mux_lut : label is "E4";
--
begin
shift_mux_lut: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(3),
I1 => sx(i-1),
I2 => sx(i+1),
O => shift_value(i) );
end generate mid_shift;
msb_shift: if i=7 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of shift_mux_lut : label is "E4";
--
begin
shift_mux_lut: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(3),
I1 => sx(i-1),
I2 => shift_in,
O => shift_value(i) );
end generate msb_shift;
shift_flop: FDR
port map ( D => shift_value(i),
Q => shift_result(i),
R => sel_shift,
C => clk);
end generate shift_loop;
--
------------------------------------------------------------------------------------
--
-- Arithmetic operations
--
-- Definition of ADD, ADDCY, SUB and SUBCY functions which also provides COMPARE.
-- Includes pipeline stage used to form ALU multiplexer including decode.
--
------------------------------------------------------------------------------------
--
sel_arith_lut: LUT3
--synthesis translate_off
generic map (INIT => X"1F")
--synthesis translate_on
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
O => sel_arith );
arith_loop: for i in 0 to 7 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of arith_lut : label is "96";
--
begin
lsb_arith: if i=0 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of arith_carry_in_lut : label is "6C";
--
begin
arith_carry_in_lut: LUT3
--synthesis translate_off
generic map (INIT => X"6C")
--synthesis translate_on
port map( I0 => instruction(13),
I1 => instruction(14),
I2 => carry_flag,
O => sel_arith_carry_in );
arith_carry_in_muxcy: MUXCY
port map( DI => '0',
CI => '1',
S => sel_arith_carry_in,
O => arith_carry_in);
arith_muxcy: MUXCY
port map( DI => sx(i),
CI => arith_carry_in,
S => half_arith(i),
O => arith_internal_carry(i));
arith_xor: XORCY
port map( LI => half_arith(i),
CI => arith_carry_in,
O => arith_value(i));
end generate lsb_arith;
mid_arith: if i>0 and i<7 generate
begin
arith_muxcy: MUXCY
port map( DI => sx(i),
CI => arith_internal_carry(i-1),
S => half_arith(i),
O => arith_internal_carry(i));
arith_xor: XORCY
port map( LI => half_arith(i),
CI => arith_internal_carry(i-1),
O => arith_value(i));
end generate mid_arith;
msb_arith: if i=7 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of arith_carry_out_lut : label is "2";
--
begin
arith_muxcy: MUXCY
port map( DI => sx(i),
CI => arith_internal_carry(i-1),
S => half_arith(i),
O => arith_internal_carry(i));
arith_xor: XORCY
port map( LI => half_arith(i),
CI => arith_internal_carry(i-1),
O => arith_value(i));
arith_carry_out_lut: LUT1
--synthesis translate_off
generic map (INIT => X"2")
--synthesis translate_on
port map( I0 => instruction(14),
O => invert_arith_carry );
arith_carry_out_xor: XORCY
port map( LI => invert_arith_carry,
CI => arith_internal_carry(i),
O => arith_carry_out);
arith_carry_flop: FDR
port map ( D => arith_carry_out,
Q => arith_carry,
R => sel_arith,
C => clk);
end generate msb_arith;
arith_lut: LUT3
--synthesis translate_off
generic map (INIT => X"96")
--synthesis translate_on
port map( I0 => sx(i),
I1 => second_operand(i),
I2 => instruction(14),
O => half_arith(i));
arith_flop: FDR
port map ( D => arith_value(i),
Q => arith_result(i),
R => sel_arith,
C => clk);
end generate arith_loop;
--
--
------------------------------------------------------------------------------------
--
-- ALU multiplexer
--
------------------------------------------------------------------------------------
--
input_fetch_type_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0002")
--synthesis translate_on
port map( I0 => instruction(14),
I1 => instruction(15),
I2 => instruction(16),
I3 => instruction(17),
O => input_fetch_type );
sel_group_flop: FD
port map ( D => input_fetch_type,
Q => sel_group,
C => clk);
alu_mux_loop: for i in 0 to 7 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
attribute INIT : string;
attribute INIT of or_lut : label is "FE";
attribute INIT of mux_lut : label is "E4";
--
begin
or_lut: LUT3
--synthesis translate_off
generic map (INIT => X"FE")
--synthesis translate_on
port map( I0 => logical_result(i),
I1 => arith_result(i),
I2 => shift_result(i),
O => alu_group(i));
mux_lut: LUT3
--synthesis translate_off
generic map (INIT => X"E4")
--synthesis translate_on
port map( I0 => instruction(13),
I1 => in_port(i),
I2 => store_data(i),
O => input_group(i));
shift_in_muxf5: MUXF5
port map( I1 => input_group(i),
I0 => alu_group(i),
S => sel_group,
O => alu_result(i) );
end generate alu_mux_loop;
--
------------------------------------------------------------------------------------
--
-- Read and Write Strobes
--
------------------------------------------------------------------------------------
--
io_decode_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0010")
--synthesis translate_on
port map( I0 => active_interrupt,
I1 => instruction(13),
I2 => instruction(14),
I3 => instruction(16),
O => io_initial_decode );
write_active_lut: LUT4
--synthesis translate_off
generic map (INIT => X"4000")
--synthesis translate_on
port map( I0 => t_state,
I1 => instruction(15),
I2 => instruction(17),
I3 => io_initial_decode,
O => write_active );
write_strobe_flop: FDR
port map ( D => write_active,
Q => write_strobe,
R => internal_reset,
C => clk);
read_active_lut: LUT4
--synthesis translate_off
generic map (INIT => X"0100")
--synthesis translate_on
port map( I0 => t_state,
I1 => instruction(15),
I2 => instruction(17),
I3 => io_initial_decode,
O => read_active );
read_strobe_flop: FDR
port map ( D => read_active,
Q => read_strobe,
R => internal_reset,
C => clk);
--
------------------------------------------------------------------------------------
--
-- Program CALL/RETURN stack
--
-- Provided the counter and memory for a 32 deep stack supporting nested
-- subroutine calls to a depth of 31 levels.
--
------------------------------------------------------------------------------------
--
-- Stack memory is 32 locations of 10-bit single port.
stack_ram_inv: INV -- Inverter should be implemented in the WE to RAM
port map( I => t_state,
O => stack_write_enable);
stack_ram_loop: for i in 0 to 9 generate
--
-- Attribute to define RAM contents during implementation
-- The information is repeated in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of stack_bit : label is "00000000";
--
begin
stack_bit: RAM32X1S
--synthesis translate_off
generic map(INIT => X"00000000")
--synthesis translate_on
port map ( D => pc(i),
WE => stack_write_enable,
WCLK => clk,
A0 => stack_address(0),
A1 => stack_address(1),
A2 => stack_address(2),
A3 => stack_address(3),
A4 => stack_address(4),
O => stack_ram_data(i));
stack_flop: FD
port map ( D => stack_ram_data(i),
Q => stack_pop_data(i),
C => clk);
end generate stack_ram_loop;
-- Stack address pointer is a 5-bit counter
stack_count_inv: INV -- Inverter should be implemented in the CE to the flip-flops
port map( I => active_interrupt,
O => not_active_interrupt);
stack_count_loop: for i in 0 to 4 generate
begin
register_bit: FDRE
port map ( D => next_stack_address(i),
Q => stack_address(i),
R => internal_reset,
CE => not_active_interrupt,
C => clk);
lsb_stack_count: if i=0 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of count_lut : label is "6555";
--
begin
count_lut: LUT4
--synthesis translate_off
generic map (INIT => X"6555")
--synthesis translate_on
port map( I0 => stack_address(i),
I1 => t_state,
I2 => valid_to_move,
I3 => push_or_pop_type,
O => half_stack_address(i) );
count_muxcy: MUXCY
port map( DI => stack_address(i),
CI => '0',
S => half_stack_address(i),
O => stack_address_carry(i));
count_xor: XORCY
port map( LI => half_stack_address(i),
CI => '0',
O => next_stack_address(i));
end generate lsb_stack_count;
mid_stack_count: if i>0 and i<4 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of count_lut : label is "A999";
--
begin
count_lut: LUT4
--synthesis translate_off
generic map (INIT => X"A999")
--synthesis translate_on
port map( I0 => stack_address(i),
I1 => t_state,
I2 => valid_to_move,
I3 => call_type,
O => half_stack_address(i) );
count_muxcy: MUXCY
port map( DI => stack_address(i),
CI => stack_address_carry(i-1),
S => half_stack_address(i),
O => stack_address_carry(i));
count_xor: XORCY
port map( LI => half_stack_address(i),
CI => stack_address_carry(i-1),
O => next_stack_address(i));
end generate mid_stack_count;
msb_stack_count: if i=4 generate
--
-- Attribute to define LUT contents during implementation
-- The information is repeated in the generic map for functional simulation
--
attribute INIT : string;
attribute INIT of count_lut : label is "A999";
--
begin
count_lut: LUT4
--synthesis translate_off
generic map (INIT => X"A999")
--synthesis translate_on
port map( I0 => stack_address(i),
I1 => t_state,
I2 => valid_to_move,
I3 => call_type,
O => half_stack_address(i) );
count_xor: XORCY
port map( LI => half_stack_address(i),
CI => stack_address_carry(i-1),
O => next_stack_address(i));
end generate msb_stack_count;
end generate stack_count_loop;
--
------------------------------------------------------------------------------------
--
-- End of description for KCPSM3 macro.
--
------------------------------------------------------------------------------------
--
--**********************************************************************************
-- Code for simulation purposes only after this line
--**********************************************************************************
--
------------------------------------------------------------------------------------
--
-- Code for simulation.
--
-- Disassemble the instruction codes to form a text string variable for display.
-- Determine status of reset and flags and present in the form of a text string.
-- Provide a local variables to simulate the contents of each register and scratch
-- pad memory location.
--
------------------------------------------------------------------------------------
--
--All of this section is ignored during synthesis.
--synthesis translate off
simulation: process (clk, instruction)
--
--complete instruction decode
--
variable kcpsm3_opcode : string(1 to 19);
--
--Status of flags and processor
--
variable kcpsm3_status : string(1 to 13):= "NZ, NC, Reset";
--
--contents of each register
--
variable s0_contents : std_logic_vector(7 downto 0):=X"00";
variable s1_contents : std_logic_vector(7 downto 0):=X"00";
variable s2_contents : std_logic_vector(7 downto 0):=X"00";
variable s3_contents : std_logic_vector(7 downto 0):=X"00";
variable s4_contents : std_logic_vector(7 downto 0):=X"00";
variable s5_contents : std_logic_vector(7 downto 0):=X"00";
variable s6_contents : std_logic_vector(7 downto 0):=X"00";
variable s7_contents : std_logic_vector(7 downto 0):=X"00";
variable s8_contents : std_logic_vector(7 downto 0):=X"00";
variable s9_contents : std_logic_vector(7 downto 0):=X"00";
variable sa_contents : std_logic_vector(7 downto 0):=X"00";
variable sb_contents : std_logic_vector(7 downto 0):=X"00";
variable sc_contents : std_logic_vector(7 downto 0):=X"00";
variable sd_contents : std_logic_vector(7 downto 0):=X"00";
variable se_contents : std_logic_vector(7 downto 0):=X"00";
variable sf_contents : std_logic_vector(7 downto 0):=X"00";
--
--contents of each scratch pad memory location
--
variable spm00_contents : std_logic_vector(7 downto 0):=X"00";
variable spm01_contents : std_logic_vector(7 downto 0):=X"00";
variable spm02_contents : std_logic_vector(7 downto 0):=X"00";
variable spm03_contents : std_logic_vector(7 downto 0):=X"00";
variable spm04_contents : std_logic_vector(7 downto 0):=X"00";
variable spm05_contents : std_logic_vector(7 downto 0):=X"00";
variable spm06_contents : std_logic_vector(7 downto 0):=X"00";
variable spm07_contents : std_logic_vector(7 downto 0):=X"00";
variable spm08_contents : std_logic_vector(7 downto 0):=X"00";
variable spm09_contents : std_logic_vector(7 downto 0):=X"00";
variable spm0a_contents : std_logic_vector(7 downto 0):=X"00";
variable spm0b_contents : std_logic_vector(7 downto 0):=X"00";
variable spm0c_contents : std_logic_vector(7 downto 0):=X"00";
variable spm0d_contents : std_logic_vector(7 downto 0):=X"00";
variable spm0e_contents : std_logic_vector(7 downto 0):=X"00";
variable spm0f_contents : std_logic_vector(7 downto 0):=X"00";
variable spm10_contents : std_logic_vector(7 downto 0):=X"00";
variable spm11_contents : std_logic_vector(7 downto 0):=X"00";
variable spm12_contents : std_logic_vector(7 downto 0):=X"00";
variable spm13_contents : std_logic_vector(7 downto 0):=X"00";
variable spm14_contents : std_logic_vector(7 downto 0):=X"00";
variable spm15_contents : std_logic_vector(7 downto 0):=X"00";
variable spm16_contents : std_logic_vector(7 downto 0):=X"00";
variable spm17_contents : std_logic_vector(7 downto 0):=X"00";
variable spm18_contents : std_logic_vector(7 downto 0):=X"00";
variable spm19_contents : std_logic_vector(7 downto 0):=X"00";
variable spm1a_contents : std_logic_vector(7 downto 0):=X"00";
variable spm1b_contents : std_logic_vector(7 downto 0):=X"00";
variable spm1c_contents : std_logic_vector(7 downto 0):=X"00";
variable spm1d_contents : std_logic_vector(7 downto 0):=X"00";
variable spm1e_contents : std_logic_vector(7 downto 0):=X"00";
variable spm1f_contents : std_logic_vector(7 downto 0):=X"00";
variable spm20_contents : std_logic_vector(7 downto 0):=X"00";
variable spm21_contents : std_logic_vector(7 downto 0):=X"00";
variable spm22_contents : std_logic_vector(7 downto 0):=X"00";
variable spm23_contents : std_logic_vector(7 downto 0):=X"00";
variable spm24_contents : std_logic_vector(7 downto 0):=X"00";
variable spm25_contents : std_logic_vector(7 downto 0):=X"00";
variable spm26_contents : std_logic_vector(7 downto 0):=X"00";
variable spm27_contents : std_logic_vector(7 downto 0):=X"00";
variable spm28_contents : std_logic_vector(7 downto 0):=X"00";
variable spm29_contents : std_logic_vector(7 downto 0):=X"00";
variable spm2a_contents : std_logic_vector(7 downto 0):=X"00";
variable spm2b_contents : std_logic_vector(7 downto 0):=X"00";
variable spm2c_contents : std_logic_vector(7 downto 0):=X"00";
variable spm2d_contents : std_logic_vector(7 downto 0):=X"00";
variable spm2e_contents : std_logic_vector(7 downto 0):=X"00";
variable spm2f_contents : std_logic_vector(7 downto 0):=X"00";
variable spm30_contents : std_logic_vector(7 downto 0):=X"00";
variable spm31_contents : std_logic_vector(7 downto 0):=X"00";
variable spm32_contents : std_logic_vector(7 downto 0):=X"00";
variable spm33_contents : std_logic_vector(7 downto 0):=X"00";
variable spm34_contents : std_logic_vector(7 downto 0):=X"00";
variable spm35_contents : std_logic_vector(7 downto 0):=X"00";
variable spm36_contents : std_logic_vector(7 downto 0):=X"00";
variable spm37_contents : std_logic_vector(7 downto 0):=X"00";
variable spm38_contents : std_logic_vector(7 downto 0):=X"00";
variable spm39_contents : std_logic_vector(7 downto 0):=X"00";
variable spm3a_contents : std_logic_vector(7 downto 0):=X"00";
variable spm3b_contents : std_logic_vector(7 downto 0):=X"00";
variable spm3c_contents : std_logic_vector(7 downto 0):=X"00";
variable spm3d_contents : std_logic_vector(7 downto 0):=X"00";
variable spm3e_contents : std_logic_vector(7 downto 0):=X"00";
variable spm3f_contents : std_logic_vector(7 downto 0):=X"00";
--
--temporary variables
--
variable sx_decode : string(1 to 2); --sX register specification
variable sy_decode : string(1 to 2); --sY register specification
variable kk_decode : string(1 to 2); --constant value specification
variable aaa_decode : string(1 to 3); --address specification
--
--------------------------------------------------------------------------------
--
-- Function to convert 4-bit binary nibble to hexadecimal character
--
--------------------------------------------------------------------------------
--
function hexcharacter (nibble: std_logic_vector(3 downto 0))
return character is
variable hex: character;
begin
case nibble is
when "0000" => hex := '0';
when "0001" => hex := '1';
when "0010" => hex := '2';
when "0011" => hex := '3';
when "0100" => hex := '4';
when "0101" => hex := '5';
when "0110" => hex := '6';
when "0111" => hex := '7';
when "1000" => hex := '8';
when "1001" => hex := '9';
when "1010" => hex := 'A';
when "1011" => hex := 'B';
when "1100" => hex := 'C';
when "1101" => hex := 'D';
when "1110" => hex := 'E';
when "1111" => hex := 'F';
when others => hex := 'x';
end case;
return hex;
end hexcharacter;
--
--------------------------------------------------------------------------------
--
begin
-- decode first register
sx_decode(1) := 's';
sx_decode(2) := hexcharacter(instruction(11 downto 8));
-- decode second register
sy_decode(1) := 's';
sy_decode(2) := hexcharacter(instruction(7 downto 4));
-- decode constant value
kk_decode(1) := hexcharacter(instruction(7 downto 4));
kk_decode(2) := hexcharacter(instruction(3 downto 0));
-- address value
aaa_decode(1) := hexcharacter("00" & instruction(9 downto 8));
aaa_decode(2) := hexcharacter(instruction(7 downto 4));
aaa_decode(3) := hexcharacter(instruction(3 downto 0));
-- decode instruction
case instruction(17 downto 12) is
when "000000" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & kk_decode & " ";
when "000001" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & sy_decode & " ";
when "001010" => kcpsm3_opcode := "AND " & sx_decode & ',' & kk_decode & " ";
when "001011" => kcpsm3_opcode := "AND " & sx_decode & ',' & sy_decode & " ";
when "001100" => kcpsm3_opcode := "OR " & sx_decode & ',' & kk_decode & " ";
when "001101" => kcpsm3_opcode := "OR " & sx_decode & ',' & sy_decode & " ";
when "001110" => kcpsm3_opcode := "XOR " & sx_decode & ',' & kk_decode & " ";
when "001111" => kcpsm3_opcode := "XOR " & sx_decode & ',' & sy_decode & " ";
when "010010" => kcpsm3_opcode := "TEST " & sx_decode & ',' & kk_decode & " ";
when "010011" => kcpsm3_opcode := "TEST " & sx_decode & ',' & sy_decode & " ";
when "011000" => kcpsm3_opcode := "ADD " & sx_decode & ',' & kk_decode & " ";
when "011001" => kcpsm3_opcode := "ADD " & sx_decode & ',' & sy_decode & " ";
when "011010" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & kk_decode & " ";
when "011011" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & sy_decode & " ";
when "011100" => kcpsm3_opcode := "SUB " & sx_decode & ',' & kk_decode & " ";
when "011101" => kcpsm3_opcode := "SUB " & sx_decode & ',' & sy_decode & " ";
when "011110" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & kk_decode & " ";
when "011111" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & sy_decode & " ";
when "010100" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & kk_decode & " ";
when "010101" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & sy_decode & " ";
when "100000" =>
case instruction(3 downto 0) is
when "0110" => kcpsm3_opcode := "SL0 " & sx_decode & " ";
when "0111" => kcpsm3_opcode := "SL1 " & sx_decode & " ";
when "0100" => kcpsm3_opcode := "SLX " & sx_decode & " ";
when "0000" => kcpsm3_opcode := "SLA " & sx_decode & " ";
when "0010" => kcpsm3_opcode := "RL " & sx_decode & " ";
when "1110" => kcpsm3_opcode := "SR0 " & sx_decode & " ";
when "1111" => kcpsm3_opcode := "SR1 " & sx_decode & " ";
when "1010" => kcpsm3_opcode := "SRX " & sx_decode & " ";
when "1000" => kcpsm3_opcode := "SRA " & sx_decode & " ";
when "1100" => kcpsm3_opcode := "RR " & sx_decode & " ";
when others => kcpsm3_opcode := "Invalid Instruction";
end case;
when "101100" => kcpsm3_opcode := "OUTPUT " & sx_decode & ',' & kk_decode & " ";
when "101101" => kcpsm3_opcode := "OUTPUT " & sx_decode & ",(" & sy_decode & ") ";
when "000100" => kcpsm3_opcode := "INPUT " & sx_decode & ',' & kk_decode & " ";
when "000101" => kcpsm3_opcode := "INPUT " & sx_decode & ",(" & sy_decode & ") ";
when "101110" => kcpsm3_opcode := "STORE " & sx_decode & ',' & kk_decode & " ";
when "101111" => kcpsm3_opcode := "STORE " & sx_decode & ",(" & sy_decode & ") ";
when "000110" => kcpsm3_opcode := "FETCH " & sx_decode & ',' & kk_decode & " ";
when "000111" => kcpsm3_opcode := "FETCH " & sx_decode & ",(" & sy_decode & ") ";
when "110100" => kcpsm3_opcode := "JUMP " & aaa_decode & " ";
when "110101" =>
case instruction(11 downto 10) is
when "00" => kcpsm3_opcode := "JUMP Z," & aaa_decode & " ";
when "01" => kcpsm3_opcode := "JUMP NZ," & aaa_decode & " ";
when "10" => kcpsm3_opcode := "JUMP C," & aaa_decode & " ";
when "11" => kcpsm3_opcode := "JUMP NC," & aaa_decode & " ";
when others => kcpsm3_opcode := "Invalid Instruction";
end case;
when "110000" => kcpsm3_opcode := "CALL " & aaa_decode & " ";
when "110001" =>
case instruction(11 downto 10) is
when "00" => kcpsm3_opcode := "CALL Z," & aaa_decode & " ";
when "01" => kcpsm3_opcode := "CALL NZ," & aaa_decode & " ";
when "10" => kcpsm3_opcode := "CALL C," & aaa_decode & " ";
when "11" => kcpsm3_opcode := "CALL NC," & aaa_decode & " ";
when others => kcpsm3_opcode := "Invalid Instruction";
end case;
when "101010" => kcpsm3_opcode := "RETURN ";
when "101011" =>
case instruction(11 downto 10) is
when "00" => kcpsm3_opcode := "RETURN Z ";
when "01" => kcpsm3_opcode := "RETURN NZ ";
when "10" => kcpsm3_opcode := "RETURN C ";
when "11" => kcpsm3_opcode := "RETURN NC ";
when others => kcpsm3_opcode := "Invalid Instruction";
end case;
when "111000" =>
case instruction(0) is
when '0' => kcpsm3_opcode := "RETURNI DISABLE ";
when '1' => kcpsm3_opcode := "RETURNI ENABLE ";
when others => kcpsm3_opcode := "Invalid Instruction";
end case;
when "111100" =>
case instruction(0) is
when '0' => kcpsm3_opcode := "DISABLE INTERRUPT ";
when '1' => kcpsm3_opcode := "ENABLE INTERRUPT ";
when others => kcpsm3_opcode := "Invalid Instruction";
end case;
when others => kcpsm3_opcode := "Invalid Instruction";
end case;
if clk'event and clk='1' then
--reset and flag status information
if reset='1' or reset_delay='1' then
kcpsm3_status := "NZ, NC, Reset";
else
kcpsm3_status(7 to 13) := " ";
if flag_enable='1' then
if zero_carry='1' then
kcpsm3_status(1 to 4) := " Z, ";
else
kcpsm3_status(1 to 4) := "NZ, ";
end if;
if sel_carry(3)='1' then
kcpsm3_status(5 to 6) := " C";
else
kcpsm3_status(5 to 6) := "NC";
end if;
end if;
end if;
--simulation of register contents
if register_enable='1' then
case instruction(11 downto 8) is
when "0000" => s0_contents := alu_result;
when "0001" => s1_contents := alu_result;
when "0010" => s2_contents := alu_result;
when "0011" => s3_contents := alu_result;
when "0100" => s4_contents := alu_result;
when "0101" => s5_contents := alu_result;
when "0110" => s6_contents := alu_result;
when "0111" => s7_contents := alu_result;
when "1000" => s8_contents := alu_result;
when "1001" => s9_contents := alu_result;
when "1010" => sa_contents := alu_result;
when "1011" => sb_contents := alu_result;
when "1100" => sc_contents := alu_result;
when "1101" => sd_contents := alu_result;
when "1110" => se_contents := alu_result;
when "1111" => sf_contents := alu_result;
when others => null;
end case;
end if;
--simulation of scratch pad memory contents
if memory_enable='1' then
case second_operand(5 downto 0) is
when "000000" => spm00_contents := sx;
when "000001" => spm01_contents := sx;
when "000010" => spm02_contents := sx;
when "000011" => spm03_contents := sx;
when "000100" => spm04_contents := sx;
when "000101" => spm05_contents := sx;
when "000110" => spm06_contents := sx;
when "000111" => spm07_contents := sx;
when "001000" => spm08_contents := sx;
when "001001" => spm09_contents := sx;
when "001010" => spm0a_contents := sx;
when "001011" => spm0b_contents := sx;
when "001100" => spm0c_contents := sx;
when "001101" => spm0d_contents := sx;
when "001110" => spm0e_contents := sx;
when "001111" => spm0f_contents := sx;
when "010000" => spm10_contents := sx;
when "010001" => spm11_contents := sx;
when "010010" => spm12_contents := sx;
when "010011" => spm13_contents := sx;
when "010100" => spm14_contents := sx;
when "010101" => spm15_contents := sx;
when "010110" => spm16_contents := sx;
when "010111" => spm17_contents := sx;
when "011000" => spm18_contents := sx;
when "011001" => spm19_contents := sx;
when "011010" => spm1a_contents := sx;
when "011011" => spm1b_contents := sx;
when "011100" => spm1c_contents := sx;
when "011101" => spm1d_contents := sx;
when "011110" => spm1e_contents := sx;
when "011111" => spm1f_contents := sx;
when "100000" => spm20_contents := sx;
when "100001" => spm21_contents := sx;
when "100010" => spm22_contents := sx;
when "100011" => spm23_contents := sx;
when "100100" => spm24_contents := sx;
when "100101" => spm25_contents := sx;
when "100110" => spm26_contents := sx;
when "100111" => spm27_contents := sx;
when "101000" => spm28_contents := sx;
when "101001" => spm29_contents := sx;
when "101010" => spm2a_contents := sx;
when "101011" => spm2b_contents := sx;
when "101100" => spm2c_contents := sx;
when "101101" => spm2d_contents := sx;
when "101110" => spm2e_contents := sx;
when "101111" => spm2f_contents := sx;
when "110000" => spm30_contents := sx;
when "110001" => spm31_contents := sx;
when "110010" => spm32_contents := sx;
when "110011" => spm33_contents := sx;
when "110100" => spm34_contents := sx;
when "110101" => spm35_contents := sx;
when "110110" => spm36_contents := sx;
when "110111" => spm37_contents := sx;
when "111000" => spm38_contents := sx;
when "111001" => spm39_contents := sx;
when "111010" => spm3a_contents := sx;
when "111011" => spm3b_contents := sx;
when "111100" => spm3c_contents := sx;
when "111101" => spm3d_contents := sx;
when "111110" => spm3e_contents := sx;
when "111111" => spm3f_contents := sx;
when others => null;
end case;
end if;
end if;
end process simulation;
--synthesis translate on
--
--**********************************************************************************
-- End of simulation code.
--**********************************************************************************
--
--
end low_level_definition;
--
------------------------------------------------------------------------------------
--
-- END OF FILE KCPSM3.VHD
--
------------------------------------------------------------------------------------
| gpl-3.0 | d791f013fd071756d1ec17d0eb4b7531 | 0.519244 | 3.955973 | false | false | false | false |
foresterre/mal | vhdl/core.vhdl | 7 | 25,762 | library STD;
use STD.textio.all;
library WORK;
use WORK.types.all;
use WORK.env.all;
use WORK.reader.all;
use WORK.printer.all;
use WORK.pkg_readline.all;
package core is
procedure eval_native_func(func_sym: inout mal_val_ptr; args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr);
procedure define_core_functions(e: inout env_ptr);
end package core;
package body core is
procedure fn_equal(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable is_equal: boolean;
begin
equal_q(args.seq_val(0), args.seq_val(1), is_equal);
new_boolean(is_equal, result);
end procedure fn_equal;
procedure fn_throw(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
err := args.seq_val(0);
end procedure fn_throw;
procedure fn_nil_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_nil, result);
end procedure fn_nil_q;
procedure fn_true_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_true, result);
end procedure fn_true_q;
procedure fn_false_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_false, result);
end procedure fn_false_q;
procedure fn_string_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_string, result);
end procedure fn_string_q;
procedure fn_symbol(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_symbol(args.seq_val(0).string_val, result);
end procedure fn_symbol;
procedure fn_symbol_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_symbol, result);
end procedure fn_symbol_q;
procedure fn_keyword(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_keyword(args.seq_val(0).string_val, result);
end procedure fn_keyword;
procedure fn_keyword_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_keyword, result);
end procedure fn_keyword_q;
procedure fn_number_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_number, result);
end procedure fn_number_q;
procedure fn_function_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean((args.seq_val(0).val_type = mal_fn and not args.seq_val(0).func_val.f_is_macro) or args.seq_val(0).val_type = mal_nativefn, result);
end procedure fn_function_q;
procedure fn_macro_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_fn and args.seq_val(0).func_val.f_is_macro, result);
end procedure fn_macro_q;
procedure fn_pr_str(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable s: line;
begin
pr_seq("", "", " ", args.seq_val, true, s);
new_string(s, result);
end procedure fn_pr_str;
procedure fn_str(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable s: line;
begin
pr_seq("", "", "", args.seq_val, false, s);
new_string(s, result);
end procedure fn_str;
procedure fn_prn(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable s: line;
begin
pr_seq("", "", " ", args.seq_val, true, s);
mal_printline(s.all);
new_nil(result);
end procedure fn_prn;
procedure fn_println(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable s: line;
begin
pr_seq("", "", " ", args.seq_val, false, s);
mal_printline(s.all);
new_nil(result);
end procedure fn_println;
procedure fn_read_string(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable ast: mal_val_ptr;
begin
read_str(args.seq_val(0).string_val.all, ast, err);
if ast = null then
new_nil(result);
else
result := ast;
end if;
end procedure fn_read_string;
procedure fn_readline(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable input_line: line;
variable is_eof: boolean;
begin
mal_readline(args.seq_val(0).string_val.all, is_eof, input_line);
if is_eof then
new_nil(result);
else
new_string(input_line, result);
end if;
end procedure fn_readline;
procedure fn_slurp(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
file f: text;
variable status: file_open_status;
variable save_content, content, one_line: line;
begin
file_open(status, f, external_name => args.seq_val(0).string_val.all, open_kind => read_mode);
if status = open_ok then
content := new string'("");
while not endfile(f) loop
readline(f, one_line);
save_content := content;
content := new string'(save_content.all & one_line.all & LF);
deallocate(save_content);
end loop;
file_close(f);
new_string(content, result);
else
new_string("Error opening file", err);
end if;
end procedure fn_slurp;
procedure fn_lt(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).number_val < args.seq_val(1).number_val, result);
end procedure fn_lt;
procedure fn_lte(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).number_val <= args.seq_val(1).number_val, result);
end procedure fn_lte;
procedure fn_gt(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).number_val > args.seq_val(1).number_val, result);
end procedure fn_gt;
procedure fn_gte(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).number_val >= args.seq_val(1).number_val, result);
end procedure fn_gte;
procedure fn_add(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_number(args.seq_val(0).number_val + args.seq_val(1).number_val, result);
end procedure fn_add;
procedure fn_sub(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_number(args.seq_val(0).number_val - args.seq_val(1).number_val, result);
end procedure fn_sub;
procedure fn_mul(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_number(args.seq_val(0).number_val * args.seq_val(1).number_val, result);
end procedure fn_mul;
procedure fn_div(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_number(args.seq_val(0).number_val / args.seq_val(1).number_val, result);
end procedure fn_div;
-- Define physical types (c_seconds64, c_microseconds64) because these are
-- represented as 64-bit words when passed to C functions
type c_seconds64 is range 0 to 1E16
units
c_sec;
end units c_seconds64;
type c_microseconds64 is range 0 to 1E6
units
c_usec;
end units c_microseconds64;
type c_timeval is record
tv_sec: c_seconds64;
tv_usec: c_microseconds64;
end record c_timeval;
-- Leave enough room for two 64-bit words
type c_timezone is record
dummy_1: c_seconds64;
dummy_2: c_seconds64;
end record c_timezone;
function gettimeofday(tv: c_timeval; tz: c_timezone) return integer;
attribute foreign of gettimeofday: function is "VHPIDIRECT gettimeofday";
function gettimeofday(tv: c_timeval; tz: c_timezone) return integer is
begin
assert false severity failure;
end function gettimeofday;
-- Returns the number of milliseconds since last midnight UTC because a
-- standard VHDL integer is 32-bit and therefore cannot hold the number of
-- milliseconds since 1970-01-01.
procedure fn_time_ms(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable tv: c_timeval;
variable dummy: c_timezone;
variable rc: integer;
begin
rc := gettimeofday(tv, dummy);
new_number(((tv.tv_sec / 1 c_sec) mod 86400) * 1000 + (tv.tv_usec / 1000 c_usec), result);
end procedure fn_time_ms;
procedure fn_list(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
result := args;
end procedure fn_list;
procedure fn_list_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_list, result);
end procedure fn_list_q;
procedure fn_vector(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
args.val_type := mal_vector;
result := args;
end procedure fn_vector;
procedure fn_vector_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_vector, result);
end procedure fn_vector_q;
procedure fn_hash_map(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
args.val_type := mal_hashmap;
result := args;
end procedure fn_hash_map;
procedure fn_map_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(args.seq_val(0).val_type = mal_hashmap, result);
end procedure fn_map_q;
procedure fn_assoc(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable new_hashmap: mal_val_ptr;
variable i: integer;
begin
hashmap_copy(args.seq_val(0), new_hashmap);
i := 1;
while i < args.seq_val'length loop
hashmap_put(new_hashmap, args.seq_val(i), args.seq_val(i + 1));
i := i + 2;
end loop;
result := new_hashmap;
end procedure fn_assoc;
procedure fn_dissoc(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable new_hashmap: mal_val_ptr;
variable i: integer;
begin
hashmap_copy(args.seq_val(0), new_hashmap);
for i in 1 to args.seq_val'high loop
hashmap_delete(new_hashmap, args.seq_val(i));
end loop;
result := new_hashmap;
end procedure fn_dissoc;
procedure fn_get(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable a1: mal_val_ptr := args.seq_val(1);
variable val: mal_val_ptr;
begin
if a0.val_type = mal_nil then
new_nil(result);
else
hashmap_get(a0, a1, val);
if val = null then
new_nil(result);
else
result := val;
end if;
end if;
end procedure fn_get;
procedure fn_contains_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable a1: mal_val_ptr := args.seq_val(1);
variable found: boolean;
begin
hashmap_contains(a0, a1, found);
new_boolean(found, result);
end procedure fn_contains_q;
procedure fn_keys(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable seq: mal_seq_ptr;
begin
seq := new mal_seq(0 to a0.seq_val'length / 2 - 1);
for i in seq'range loop
seq(i) := a0.seq_val(i * 2);
end loop;
new_seq_obj(mal_list, seq, result);
end procedure fn_keys;
procedure fn_vals(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable seq: mal_seq_ptr;
begin
seq := new mal_seq(0 to a0.seq_val'length / 2 - 1);
for i in seq'range loop
seq(i) := a0.seq_val(i * 2 + 1);
end loop;
new_seq_obj(mal_list, seq, result);
end procedure fn_vals;
procedure cons_helper(a0: inout mal_val_ptr; a1: inout mal_val_ptr; result: out mal_val_ptr) is
variable seq: mal_seq_ptr;
begin
seq := new mal_seq(0 to a1.seq_val'length);
seq(0) := a0;
seq(1 to seq'length - 1) := a1.seq_val(0 to a1.seq_val'length - 1);
new_seq_obj(mal_list, seq, result);
end procedure cons_helper;
procedure fn_cons(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable a1: mal_val_ptr := args.seq_val(1);
variable seq: mal_seq_ptr;
begin
cons_helper(a0, a1, result);
end procedure fn_cons;
procedure fn_sequential_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_boolean(is_sequential_type(args.seq_val(0).val_type), result);
end procedure fn_sequential_q;
procedure fn_concat(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable seq: mal_seq_ptr;
variable i: integer;
begin
seq := new mal_seq(0 to -1);
for i in args.seq_val'range loop
seq := new mal_seq'(seq.all & args.seq_val(i).seq_val.all);
end loop;
new_seq_obj(mal_list, seq, result);
end procedure fn_concat;
procedure fn_nth(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable lst_seq: mal_seq_ptr := args.seq_val(0).seq_val;
variable index: integer := args.seq_val(1).number_val;
begin
if index >= lst_seq'length then
new_string("nth: index out of range", err);
else
result := lst_seq(index);
end if;
end procedure fn_nth;
procedure fn_first(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
begin
if a0.val_type = mal_nil or a0.seq_val'length = 0 then
new_nil(result);
else
result := a0.seq_val(0);
end if;
end procedure fn_first;
procedure fn_rest(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable seq: mal_seq_ptr;
variable new_list: mal_val_ptr;
begin
if a0.val_type = mal_nil or a0.seq_val'length = 0 then
seq := new mal_seq(0 to -1);
new_seq_obj(mal_list, seq, result);
else
seq_drop_prefix(a0, 1, new_list);
new_list.val_type := mal_list;
result := new_list;
end if;
end procedure fn_rest;
procedure fn_empty_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable is_empty: boolean;
begin
case args.seq_val(0).val_type is
when mal_nil => new_boolean(true, result);
when mal_list | mal_vector => new_boolean(args.seq_val(0).seq_val'length = 0, result);
when others => new_string("empty?: invalid argument type", err);
end case;
end procedure fn_empty_q;
procedure fn_count(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable count: integer;
begin
case args.seq_val(0).val_type is
when mal_nil => new_number(0, result);
when mal_list | mal_vector => new_number(args.seq_val(0).seq_val'length, result);
when others => new_string("count: invalid argument type", err);
end case;
end procedure fn_count;
procedure fn_conj(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable r: mal_val_ptr;
variable seq: mal_seq_ptr;
begin
case a0.val_type is
when mal_list =>
r := a0;
for i in 1 to args.seq_val'high loop
cons_helper(args.seq_val(i), r, r);
end loop;
result := r;
when mal_vector =>
seq := new mal_seq(0 to a0.seq_val'length + args.seq_val'length - 2);
seq(0 to a0.seq_val'high) := a0.seq_val(a0.seq_val'range);
seq(a0.seq_val'high + 1 to seq'high) := args.seq_val(1 to args.seq_val'high);
new_seq_obj(mal_vector, seq, result);
when others =>
new_string("conj requires list or vector", err);
end case;
end procedure fn_conj;
procedure fn_seq(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable new_seq: mal_seq_ptr;
begin
case a0.val_type is
when mal_string =>
if a0.string_val'length = 0 then
new_nil(result);
else
new_seq := new mal_seq(0 to a0.string_val'length - 1);
for i in new_seq'range loop
new_string("" & a0.string_val(i + 1), new_seq(i));
end loop;
new_seq_obj(mal_list, new_seq, result);
end if;
when mal_list =>
if a0.seq_val'length = 0 then
new_nil(result);
else
result := a0;
end if;
when mal_vector =>
if a0.seq_val'length = 0 then
new_nil(result);
else
new_seq_obj(mal_list, a0.seq_val, result);
end if;
when mal_nil =>
new_nil(result);
when others =>
new_string("seq requires string or list or vector or nil", err);
end case;
end procedure fn_seq;
procedure fn_meta(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable meta_val: mal_val_ptr;
begin
meta_val := args.seq_val(0).meta_val;
if meta_val = null then
new_nil(result);
else
result := meta_val;
end if;
end procedure fn_meta;
procedure fn_with_meta(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
begin
result := new mal_val'(val_type => a0.val_type, number_val => a0.number_val, string_val => a0.string_val, seq_val => a0.seq_val, func_val => a0.func_val, meta_val => args.seq_val(1));
end procedure fn_with_meta;
procedure fn_atom(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
begin
new_atom(args.seq_val(0), result);
end procedure fn_atom;
procedure fn_atom_q(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
begin
new_boolean(a0.val_type = mal_atom, result);
end procedure fn_atom_q;
procedure fn_deref(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
begin
result := a0.seq_val(0);
end procedure fn_deref;
procedure fn_reset(args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable a0: mal_val_ptr := args.seq_val(0);
variable a1: mal_val_ptr := args.seq_val(1);
begin
a0.seq_val(0) := a1;
result := a1;
end procedure fn_reset;
procedure eval_native_func(func_sym: inout mal_val_ptr; args: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable f: line;
begin
if func_sym.val_type /= mal_nativefn then
new_string("not a native function!", err);
return;
end if;
f := func_sym.string_val;
if f.all = "=" then fn_equal(args, result, err);
elsif f.all = "throw" then fn_throw(args, result, err);
elsif f.all = "nil?" then fn_nil_q(args, result, err);
elsif f.all = "true?" then fn_true_q(args, result, err);
elsif f.all = "false?" then fn_false_q(args, result, err);
elsif f.all = "string?" then fn_string_q(args, result, err);
elsif f.all = "symbol" then fn_symbol(args, result, err);
elsif f.all = "symbol?" then fn_symbol_q(args, result, err);
elsif f.all = "keyword" then fn_keyword(args, result, err);
elsif f.all = "keyword?" then fn_keyword_q(args, result, err);
elsif f.all = "number?" then fn_number_q(args, result, err);
elsif f.all = "fn?" then fn_function_q(args, result, err);
elsif f.all = "macro?" then fn_macro_q(args, result, err);
elsif f.all = "pr-str" then fn_pr_str(args, result, err);
elsif f.all = "str" then fn_str(args, result, err);
elsif f.all = "prn" then fn_prn(args, result, err);
elsif f.all = "println" then fn_println(args, result, err);
elsif f.all = "read-string" then fn_read_string(args, result, err);
elsif f.all = "readline" then fn_readline(args, result, err);
elsif f.all = "slurp" then fn_slurp(args, result, err);
elsif f.all = "<" then fn_lt(args, result, err);
elsif f.all = "<=" then fn_lte(args, result, err);
elsif f.all = ">" then fn_gt(args, result, err);
elsif f.all = ">=" then fn_gte(args, result, err);
elsif f.all = "+" then fn_add(args, result, err);
elsif f.all = "-" then fn_sub(args, result, err);
elsif f.all = "*" then fn_mul(args, result, err);
elsif f.all = "/" then fn_div(args, result, err);
elsif f.all = "time-ms" then fn_time_ms(args, result, err);
elsif f.all = "list" then fn_list(args, result, err);
elsif f.all = "list?" then fn_list_q(args, result, err);
elsif f.all = "vector" then fn_vector(args, result, err);
elsif f.all = "vector?" then fn_vector_q(args, result, err);
elsif f.all = "hash-map" then fn_hash_map(args, result, err);
elsif f.all = "map?" then fn_map_q(args, result, err);
elsif f.all = "assoc" then fn_assoc(args, result, err);
elsif f.all = "dissoc" then fn_dissoc(args, result, err);
elsif f.all = "get" then fn_get(args, result, err);
elsif f.all = "contains?" then fn_contains_q(args, result, err);
elsif f.all = "keys" then fn_keys(args, result, err);
elsif f.all = "vals" then fn_vals(args, result, err);
elsif f.all = "sequential?" then fn_sequential_q(args, result, err);
elsif f.all = "cons" then fn_cons(args, result, err);
elsif f.all = "concat" then fn_concat(args, result, err);
elsif f.all = "nth" then fn_nth(args, result, err);
elsif f.all = "first" then fn_first(args, result, err);
elsif f.all = "rest" then fn_rest(args, result, err);
elsif f.all = "empty?" then fn_empty_q(args, result, err);
elsif f.all = "count" then fn_count(args, result, err);
elsif f.all = "conj" then fn_conj(args, result, err);
elsif f.all = "seq" then fn_seq(args, result, err);
elsif f.all = "meta" then fn_meta(args, result, err);
elsif f.all = "with-meta" then fn_with_meta(args, result, err);
elsif f.all = "atom" then fn_atom(args, result, err);
elsif f.all = "atom?" then fn_atom_q(args, result, err);
elsif f.all = "deref" then fn_deref(args, result, err);
elsif f.all = "reset!" then fn_reset(args, result, err);
else
result := null;
end if;
end procedure eval_native_func;
procedure define_core_function(e: inout env_ptr; func_name: in string) is
variable sym: mal_val_ptr;
variable fn: mal_val_ptr;
begin
new_symbol(func_name, sym);
new_nativefn(func_name, fn);
env_set(e, sym, fn);
end procedure define_core_function;
procedure define_core_functions(e: inout env_ptr) is
begin
define_core_function(e, "=");
define_core_function(e, "throw");
define_core_function(e, "nil?");
define_core_function(e, "true?");
define_core_function(e, "false?");
define_core_function(e, "string?");
define_core_function(e, "symbol");
define_core_function(e, "symbol?");
define_core_function(e, "keyword");
define_core_function(e, "keyword?");
define_core_function(e, "number?");
define_core_function(e, "fn?");
define_core_function(e, "macro?");
define_core_function(e, "pr-str");
define_core_function(e, "str");
define_core_function(e, "prn");
define_core_function(e, "println");
define_core_function(e, "read-string");
define_core_function(e, "readline");
define_core_function(e, "slurp");
define_core_function(e, "<");
define_core_function(e, "<=");
define_core_function(e, ">");
define_core_function(e, ">=");
define_core_function(e, "+");
define_core_function(e, "-");
define_core_function(e, "*");
define_core_function(e, "/");
define_core_function(e, "time-ms");
define_core_function(e, "list");
define_core_function(e, "list?");
define_core_function(e, "vector");
define_core_function(e, "vector?");
define_core_function(e, "hash-map");
define_core_function(e, "map?");
define_core_function(e, "assoc");
define_core_function(e, "dissoc");
define_core_function(e, "get");
define_core_function(e, "contains?");
define_core_function(e, "keys");
define_core_function(e, "vals");
define_core_function(e, "sequential?");
define_core_function(e, "cons");
define_core_function(e, "concat");
define_core_function(e, "nth");
define_core_function(e, "first");
define_core_function(e, "rest");
define_core_function(e, "empty?");
define_core_function(e, "count");
define_core_function(e, "apply"); -- implemented in the stepN_XXX files
define_core_function(e, "map"); -- implemented in the stepN_XXX files
define_core_function(e, "conj");
define_core_function(e, "seq");
define_core_function(e, "meta");
define_core_function(e, "with-meta");
define_core_function(e, "atom");
define_core_function(e, "atom?");
define_core_function(e, "deref");
define_core_function(e, "reset!");
define_core_function(e, "swap!"); -- implemented in the stepN_XXX files
end procedure define_core_functions;
end package body core;
| mpl-2.0 | 62fa87a0ab1a257f67a5d96af8e496d5 | 0.637062 | 3.012395 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/plb_powerlink.vhd | 2 | 92,839 | -------------------------------------------------------------------------------
-- Entity : plb_powerlink
-------------------------------------------------------------------------------
--
-- (c) B&R, 2012
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
-- Design unit header --
--
-- This is the toplevel file for using the POWERLINK IP-Core
-- with Xilinx PLB V4.6.
--
-------------------------------------------------------------------------------
--
-- 2011-09-13 V0.01 zelenkaj First version
-- 2011-11-24 V0.02 mairt added slave interface for pdi pcp and pdi ap
-- 2011-11-26 V0.03 mairt added slave interface for simpleIO
-- 2011-12-02 V0.04 zelenkaj Exchanged IOs with _I, _O and _T
-- 2011-12-06 V0.05 zelenkaj Changed instance names
-- 2011-12-07 V0.06 zelenkaj Fixed address assignments for PDI PCP/AP
-- 2011-12-16 V0.07 mairt added TX/RX burst size feature
-- 2012-01-19 V0.08 zelenkaj Added bus to core clock ration feature
-- 2012-01-26 V0.09 zelenkaj Added number of SMI generic feature
-- 2012-01-16 V0.10 zelenkaj Replace plb_* with ipif_master_handler
-- 2012-01-27 V0.20 zelenkaj Incremented PdiRev
-- 2012-02-01 V0.21 zelenkaj Added attributes and RMII clk out
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.math_real.log2;
use ieee.math_real.ceil;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library plbv46_slave_single_v1_01_a;
use plbv46_slave_single_v1_01_a.plbv46_slave_single;
-- other libraries declarations
library PLBV46_MASTER_BURST_V1_01_A;
library PLBV46_SLAVE_SINGLE_V1_01_A;
entity plb_powerlink is
generic(
-- general
C_GEN_PDI : boolean := false;
C_GEN_PAR_IF : boolean := false;
C_GEN_SPI_IF : boolean := false;
C_GEN_PLB_BUS_IF : boolean := false;
C_GEN_SIMPLE_IO : boolean := false;
-- openMAC
C_MAC_PKT_SIZE : integer := 1024;
C_MAC_PKT_SIZE_LOG2 : integer := 10;
C_MAC_RX_BUFFERS : integer := 16;
C_USE_RMII : boolean := false;
C_TX_INT_PKT : boolean := false;
C_RX_INT_PKT : boolean := false;
C_USE_2ND_PHY : boolean := true;
C_NUM_SMI : integer range 1 to 2 := 2;
--pdi
C_PDI_GEN_ASYNC_BUF_0 : boolean := true;
C_PDI_ASYNC_BUF_0 : integer := 50;
C_PDI_GEN_ASYNC_BUF_1 : boolean := true;
C_PDI_ASYNC_BUF_1 : integer := 50;
C_PDI_GEN_LED : boolean := false;
C_PDI_GEN_TIME_SYNC : boolean := true;
C_PDI_GEN_SECOND_TIMER : boolean := false;
C_PDI_GEN_EVENT : boolean := true;
--global pdi and mac
C_NUM_RPDO : integer := 3;
C_RPDO_0_BUF_SIZE : integer := 100;
C_RPDO_1_BUF_SIZE : integer := 100;
C_RPDO_2_BUF_SIZE : integer := 100;
C_NUM_TPDO : integer := 1;
C_TPDO_BUF_SIZE : integer := 100;
-- pap
C_PAP_DATA_WIDTH : integer := 16;
--C_PAP_BIG_END : boolean := false;
C_PAP_LOW_ACT : boolean := false;
-- spi
C_SPI_CPOL : boolean := false;
C_SPI_CPHA : boolean := false;
--C_SPI_BIG_END : boolean := false;
-- simpleIO
C_PIO_VAL_LENGTH : integer := 50;
-- debug
C_OBSERVER_ENABLE : boolean := false;
-- PDI AP PLB Slave
C_PDI_AP_BASEADDR : std_logic_vector := X"00000000";
C_PDI_AP_HIGHADDR : std_logic_vector := X"000FFFFF";
C_PDI_AP_NUM_MASTERS : INTEGER := 1;
C_PDI_AP_PLB_AWIDTH : INTEGER := 32;
C_PDI_AP_PLB_DWIDTH : INTEGER := 32;
C_PDI_AP_PLB_MID_WIDTH : INTEGER := 1;
C_PDI_AP_PLB_P2P : INTEGER := 0;
C_PDI_AP_PLB_NUM_MASTERS : INTEGER := 1;
C_PDI_AP_PLB_NATIVE_DWIDTH : INTEGER := 32;
C_PDI_AP_PLB_SUPPORT_BURSTS : INTEGER := 0;
-- PDI AP PLB Slave
C_SMP_PCP_BASEADDR : std_logic_vector := X"00000000";
C_SMP_PCP_HIGHADDR : std_logic_vector := X"000FFFFF";
C_SMP_PCP_NUM_MASTERS : INTEGER := 1;
C_SMP_PCP_PLB_AWIDTH : INTEGER := 32;
C_SMP_PCP_PLB_DWIDTH : INTEGER := 32;
C_SMP_PCP_PLB_MID_WIDTH : INTEGER := 1;
C_SMP_PCP_PLB_P2P : INTEGER := 0;
C_SMP_PCP_PLB_NUM_MASTERS : INTEGER := 1;
C_SMP_PCP_PLB_NATIVE_DWIDTH : INTEGER := 32;
C_SMP_PCP_PLB_SUPPORT_BURSTS : INTEGER := 0;
-- PDI PCP PLB Slave
C_PDI_PCP_BASEADDR : std_logic_vector := X"00000000";
C_PDI_PCP_HIGHADDR : std_logic_vector := X"000FFFFF";
C_PDI_PCP_NUM_MASTERS : INTEGER := 1;
C_PDI_PCP_PLB_AWIDTH : INTEGER := 32;
C_PDI_PCP_PLB_DWIDTH : INTEGER := 32;
C_PDI_PCP_PLB_MID_WIDTH : INTEGER := 1;
C_PDI_PCP_PLB_P2P : INTEGER := 0;
C_PDI_PCP_PLB_NUM_MASTERS : INTEGER := 1;
C_PDI_PCP_PLB_NATIVE_DWIDTH : INTEGER := 32;
C_PDI_PCP_PLB_SUPPORT_BURSTS : INTEGER := 0;
-- openMAC CMP PLB Slave
C_MAC_PKT_BASEADDR : std_logic_vector := X"00000000";
C_MAC_PKT_HIGHADDR : std_logic_vector := X"000FFFFF";
C_MAC_PKT_NUM_MASTERS : INTEGER := 1;
C_MAC_PKT_PLB_AWIDTH : INTEGER := 32;
C_MAC_PKT_PLB_DWIDTH : INTEGER := 32;
C_MAC_PKT_PLB_MID_WIDTH : INTEGER := 1;
C_MAC_PKT_PLB_P2P : INTEGER := 0;
C_MAC_PKT_PLB_NUM_MASTERS : INTEGER := 1;
C_MAC_PKT_PLB_NATIVE_DWIDTH : INTEGER := 32;
C_MAC_PKT_PLB_SUPPORT_BURSTS : INTEGER := 0;
-- openMAC DMA PLB Master
C_MAC_DMA_PLB_AWIDTH : INTEGER := 32;
C_MAC_DMA_PLB_DWIDTH : INTEGER := 32;
C_MAC_DMA_PLB_NATIVE_DWIDTH : INTEGER := 32;
C_MAC_DMA_BURST_SIZE_RX : INTEGER := 8; --in bytes
C_MAC_DMA_BURST_SIZE_TX : INTEGER := 8; --in bytes
C_MAC_DMA_FIFO_SIZE_RX : INTEGER := 32; --in bytes
C_MAC_DMA_FIFO_SIZE_TX : INTEGER := 32; --in bytes
-- openMAC REG PLB Slave
C_MAC_REG_BASEADDR : std_logic_vector := X"00000000";
C_MAC_REG_HIGHADDR : std_logic_vector := X"0000FFFF";
C_MAC_CMP_BASEADDR : std_logic_vector := X"00000000";
C_MAC_CMP_HIGHADDR : std_logic_vector := X"0000FFFF";
C_MAC_REG_BUS2CORE_CLK_RATIO : integer := 2;
C_MAC_REG_NUM_MASTERS : INTEGER := 1;
C_MAC_REG_PLB_AWIDTH : INTEGER := 32;
C_MAC_REG_PLB_DWIDTH : INTEGER := 32;
C_MAC_REG_PLB_MID_WIDTH : INTEGER := 1;
C_MAC_REG_PLB_P2P : INTEGER := 0;
C_MAC_REG_PLB_NUM_MASTERS : INTEGER := 1;
C_MAC_REG_PLB_NATIVE_DWIDTH : INTEGER := 32;
C_MAC_REG_PLB_SUPPORT_BURSTS : INTEGER := 0
);
port(
MAC_DMA_Clk : in std_logic;
MAC_DMA_MAddrAck : in std_logic;
MAC_DMA_MBusy : in std_logic;
MAC_DMA_MIRQ : in std_logic;
MAC_DMA_MRdBTerm : in std_logic;
MAC_DMA_MRdDAck : in std_logic;
MAC_DMA_MRdErr : in std_logic;
MAC_DMA_MRearbitrate : in std_logic;
MAC_DMA_MTimeout : in std_logic;
MAC_DMA_MWrBTerm : in std_logic;
MAC_DMA_MWrDAck : in std_logic;
MAC_DMA_MWrErr : in std_logic;
MAC_DMA_Rst : in std_logic;
MAC_PKT_Clk : in std_logic;
MAC_PKT_PAValid : in std_logic;
MAC_PKT_RNW : in std_logic;
MAC_PKT_Rst : in std_logic;
MAC_PKT_SAValid : in std_logic;
MAC_PKT_abort : in std_logic;
MAC_PKT_busLock : in std_logic;
MAC_PKT_lockErr : in std_logic;
MAC_PKT_rdBurst : in std_logic;
MAC_PKT_rdPendReq : in std_logic;
MAC_PKT_rdPrim : in std_logic;
MAC_PKT_wrBurst : in std_logic;
MAC_PKT_wrPendReq : in std_logic;
MAC_PKT_wrPrim : in std_logic;
MAC_REG_Clk : in std_logic;
MAC_REG_PAValid : in std_logic;
MAC_REG_RNW : in std_logic;
MAC_REG_Rst : in std_logic;
MAC_REG_SAValid : in std_logic;
MAC_REG_abort : in std_logic;
MAC_REG_busLock : in std_logic;
MAC_REG_lockErr : in std_logic;
MAC_REG_rdBurst : in std_logic;
MAC_REG_rdPendReq : in std_logic;
MAC_REG_rdPrim : in std_logic;
MAC_REG_wrBurst : in std_logic;
MAC_REG_wrPendReq : in std_logic;
MAC_REG_wrPrim : in std_logic;
PDI_AP_Clk : in std_logic;
PDI_AP_PAValid : in std_logic;
PDI_AP_RNW : in std_logic;
PDI_AP_Rst : in std_logic;
PDI_AP_SAValid : in std_logic;
PDI_AP_abort : in std_logic;
PDI_AP_busLock : in std_logic;
PDI_AP_lockErr : in std_logic;
PDI_AP_rdBurst : in std_logic;
PDI_AP_rdPendReq : in std_logic;
PDI_AP_rdPrim : in std_logic;
PDI_AP_wrBurst : in std_logic;
PDI_AP_wrPendReq : in std_logic;
PDI_AP_wrPrim : in std_logic;
PDI_PCP_Clk : in std_logic;
PDI_PCP_PAValid : in std_logic;
PDI_PCP_RNW : in std_logic;
PDI_PCP_Rst : in std_logic;
PDI_PCP_SAValid : in std_logic;
PDI_PCP_abort : in std_logic;
PDI_PCP_busLock : in std_logic;
PDI_PCP_lockErr : in std_logic;
PDI_PCP_rdBurst : in std_logic;
PDI_PCP_rdPendReq : in std_logic;
PDI_PCP_rdPrim : in std_logic;
PDI_PCP_wrBurst : in std_logic;
PDI_PCP_wrPendReq : in std_logic;
PDI_PCP_wrPrim : in std_logic;
SMP_PCP_Clk : in std_logic;
SMP_PCP_PAValid : in std_logic;
SMP_PCP_RNW : in std_logic;
SMP_PCP_Rst : in std_logic;
SMP_PCP_SAValid : in std_logic;
SMP_PCP_abort : in std_logic;
SMP_PCP_busLock : in std_logic;
SMP_PCP_lockErr : in std_logic;
SMP_PCP_rdBurst : in std_logic;
SMP_PCP_rdPendReq : in std_logic;
SMP_PCP_rdPrim : in std_logic;
SMP_PCP_wrBurst : in std_logic;
SMP_PCP_wrPendReq : in std_logic;
SMP_PCP_wrPrim : in std_logic;
clk100 : in std_logic;
clk50 : in std_logic;
pap_cs : in std_logic;
pap_cs_n : in std_logic;
pap_rd : in std_logic;
pap_rd_n : in std_logic;
pap_wr : in std_logic;
pap_wr_n : in std_logic;
phy0_RxDv : in std_logic;
phy0_RxErr : in std_logic;
phy0_SMIDat_I : in std_logic;
phy0_link : in std_logic;
phy1_RxDv : in std_logic;
phy1_RxErr : in std_logic;
phy1_SMIDat_I : in std_logic;
phy1_link : in std_logic;
phyMii0_RxClk : in std_logic;
phyMii0_RxDv : in std_logic;
phyMii0_RxEr : in std_logic;
phyMii0_TxClk : in std_logic;
phyMii1_RxClk : in std_logic;
phyMii1_RxDv : in std_logic;
phyMii1_RxEr : in std_logic;
phyMii1_TxClk : in std_logic;
phy_SMIDat_I : in std_logic;
spi_clk : in std_logic;
spi_mosi : in std_logic;
spi_sel_n : in std_logic;
MAC_DMA_MRdDBus : in std_logic_vector(0 to C_MAC_DMA_PLB_DWIDTH-1);
MAC_DMA_MRdWdAddr : in std_logic_vector(0 to 3);
MAC_DMA_MSSize : in std_logic_vector(0 to 1);
MAC_PKT_ABus : in std_logic_vector(0 to 31);
MAC_PKT_BE : in std_logic_vector(0 to (C_MAC_PKT_PLB_DWIDTH/8)-1);
MAC_PKT_MSize : in std_logic_vector(0 to 1);
MAC_PKT_TAttribute : in std_logic_vector(0 to 15);
MAC_PKT_UABus : in std_logic_vector(0 to 31);
MAC_PKT_masterID : in std_logic_vector(0 to C_MAC_PKT_PLB_MID_WIDTH-1);
MAC_PKT_rdPendPri : in std_logic_vector(0 to 1);
MAC_PKT_reqPri : in std_logic_vector(0 to 1);
MAC_PKT_size : in std_logic_vector(0 to 3);
MAC_PKT_type : in std_logic_vector(0 to 2);
MAC_PKT_wrDBus : in std_logic_vector(0 to C_MAC_PKT_PLB_DWIDTH-1);
MAC_PKT_wrPendPri : in std_logic_vector(0 to 1);
MAC_REG_ABus : in std_logic_vector(0 to 31);
MAC_REG_BE : in std_logic_vector(0 to (C_MAC_REG_PLB_DWIDTH / 8) - 1);
MAC_REG_MSize : in std_logic_vector(0 to 1);
MAC_REG_TAttribute : in std_logic_vector(0 to 15);
MAC_REG_UABus : in std_logic_vector(0 to 31);
MAC_REG_masterID : in std_logic_vector(0 to C_MAC_REG_PLB_MID_WIDTH - 1);
MAC_REG_rdPendPri : in std_logic_vector(0 to 1);
MAC_REG_reqPri : in std_logic_vector(0 to 1);
MAC_REG_size : in std_logic_vector(0 to 3);
MAC_REG_type : in std_logic_vector(0 to 2);
MAC_REG_wrDBus : in std_logic_vector(0 to C_MAC_REG_PLB_DWIDTH - 1);
MAC_REG_wrPendPri : in std_logic_vector(0 to 1);
PDI_AP_ABus : in std_logic_vector(0 to 31);
PDI_AP_BE : in std_logic_vector(0 to (C_PDI_AP_PLB_DWIDTH/8)-1);
PDI_AP_MSize : in std_logic_vector(0 to 1);
PDI_AP_TAttribute : in std_logic_vector(0 to 15);
PDI_AP_UABus : in std_logic_vector(0 to 31);
PDI_AP_masterID : in std_logic_vector(0 to C_PDI_AP_PLB_MID_WIDTH-1);
PDI_AP_rdPendPri : in std_logic_vector(0 to 1);
PDI_AP_reqPri : in std_logic_vector(0 to 1);
PDI_AP_size : in std_logic_vector(0 to 3);
PDI_AP_type : in std_logic_vector(0 to 2);
PDI_AP_wrDBus : in std_logic_vector(0 to C_PDI_AP_PLB_DWIDTH-1);
PDI_AP_wrPendPri : in std_logic_vector(0 to 1);
PDI_PCP_ABus : in std_logic_vector(0 to 31);
PDI_PCP_BE : in std_logic_vector(0 to (C_PDI_PCP_PLB_DWIDTH/8)-1);
PDI_PCP_MSize : in std_logic_vector(0 to 1);
PDI_PCP_TAttribute : in std_logic_vector(0 to 15);
PDI_PCP_UABus : in std_logic_vector(0 to 31);
PDI_PCP_masterID : in std_logic_vector(0 to C_PDI_PCP_PLB_MID_WIDTH-1);
PDI_PCP_rdPendPri : in std_logic_vector(0 to 1);
PDI_PCP_reqPri : in std_logic_vector(0 to 1);
PDI_PCP_size : in std_logic_vector(0 to 3);
PDI_PCP_type : in std_logic_vector(0 to 2);
PDI_PCP_wrDBus : in std_logic_vector(0 to C_PDI_PCP_PLB_DWIDTH-1);
PDI_PCP_wrPendPri : in std_logic_vector(0 to 1);
SMP_PCP_ABus : in std_logic_vector(0 to 31);
SMP_PCP_BE : in std_logic_vector(0 to (C_SMP_PCP_PLB_DWIDTH/8)-1);
SMP_PCP_MSize : in std_logic_vector(0 to 1);
SMP_PCP_TAttribute : in std_logic_vector(0 to 15);
SMP_PCP_UABus : in std_logic_vector(0 to 31);
SMP_PCP_masterID : in std_logic_vector(0 to C_SMP_PCP_PLB_MID_WIDTH-1);
SMP_PCP_rdPendPri : in std_logic_vector(0 to 1);
SMP_PCP_reqPri : in std_logic_vector(0 to 1);
SMP_PCP_size : in std_logic_vector(0 to 3);
SMP_PCP_type : in std_logic_vector(0 to 2);
SMP_PCP_wrDBus : in std_logic_vector(0 to C_SMP_PCP_PLB_DWIDTH-1);
SMP_PCP_wrPendPri : in std_logic_vector(0 to 1);
pap_addr : in std_logic_vector(15 downto 0);
pap_be : in std_logic_vector(C_PAP_DATA_WIDTH/8-1 downto 0);
pap_be_n : in std_logic_vector(C_PAP_DATA_WIDTH/8-1 downto 0);
pap_data_I : in std_logic_vector(C_PAP_DATA_WIDTH-1 downto 0);
pap_gpio_I : in std_logic_vector(1 downto 0);
phy0_RxDat : in std_logic_vector(1 downto 0);
phy1_RxDat : in std_logic_vector(1 downto 0);
phyMii0_RxDat : in std_logic_vector(3 downto 0);
phyMii1_RxDat : in std_logic_vector(3 downto 0);
pio_pconfig : in std_logic_vector(3 downto 0);
pio_portInLatch : in std_logic_vector(3 downto 0);
pio_portio_I : in std_logic_vector(31 downto 0);
MAC_DMA_RNW : out std_logic;
MAC_DMA_abort : out std_logic;
MAC_DMA_busLock : out std_logic;
MAC_DMA_error : out std_logic;
MAC_DMA_lockErr : out std_logic;
MAC_DMA_rdBurst : out std_logic;
MAC_DMA_request : out std_logic;
MAC_DMA_wrBurst : out std_logic;
MAC_PKT_addrAck : out std_logic;
MAC_PKT_rdBTerm : out std_logic;
MAC_PKT_rdComp : out std_logic;
MAC_PKT_rdDAck : out std_logic;
MAC_PKT_rearbitrate : out std_logic;
MAC_PKT_wait : out std_logic;
MAC_PKT_wrBTerm : out std_logic;
MAC_PKT_wrComp : out std_logic;
MAC_PKT_wrDAck : out std_logic;
MAC_REG_addrAck : out std_logic;
MAC_REG_rdBTerm : out std_logic;
MAC_REG_rdComp : out std_logic;
MAC_REG_rdDAck : out std_logic;
MAC_REG_rearbitrate : out std_logic;
MAC_REG_wait : out std_logic;
MAC_REG_wrBTerm : out std_logic;
MAC_REG_wrComp : out std_logic;
MAC_REG_wrDAck : out std_logic;
PDI_AP_addrAck : out std_logic;
PDI_AP_rdBTerm : out std_logic;
PDI_AP_rdComp : out std_logic;
PDI_AP_rdDAck : out std_logic;
PDI_AP_rearbitrate : out std_logic;
PDI_AP_wait : out std_logic;
PDI_AP_wrBTerm : out std_logic;
PDI_AP_wrComp : out std_logic;
PDI_AP_wrDAck : out std_logic;
PDI_PCP_addrAck : out std_logic;
PDI_PCP_rdBTerm : out std_logic;
PDI_PCP_rdComp : out std_logic;
PDI_PCP_rdDAck : out std_logic;
PDI_PCP_rearbitrate : out std_logic;
PDI_PCP_wait : out std_logic;
PDI_PCP_wrBTerm : out std_logic;
PDI_PCP_wrComp : out std_logic;
PDI_PCP_wrDAck : out std_logic;
SMP_PCP_addrAck : out std_logic;
SMP_PCP_rdBTerm : out std_logic;
SMP_PCP_rdComp : out std_logic;
SMP_PCP_rdDAck : out std_logic;
SMP_PCP_rearbitrate : out std_logic;
SMP_PCP_wait : out std_logic;
SMP_PCP_wrBTerm : out std_logic;
SMP_PCP_wrComp : out std_logic;
SMP_PCP_wrDAck : out std_logic;
ap_asyncIrq : out std_logic;
ap_asyncIrq_n : out std_logic;
ap_syncIrq : out std_logic;
ap_syncIrq_n : out std_logic;
led_error : out std_logic;
led_status : out std_logic;
mac_irq : out std_logic;
pap_ack : out std_logic;
pap_ack_n : out std_logic;
pap_data_T : out std_logic;
phy0_Rst_n : out std_logic;
phy0_SMIClk : out std_logic;
phy0_SMIDat_O : out std_logic;
phy0_SMIDat_T : out std_logic;
phy0_TxEn : out std_logic;
phy0_clk : out std_logic;
phy1_Rst_n : out std_logic;
phy1_SMIClk : out std_logic;
phy1_SMIDat_O : out std_logic;
phy1_SMIDat_T : out std_logic;
phy1_TxEn : out std_logic;
phy1_clk : out std_logic;
phyMii0_TxEn : out std_logic;
phyMii0_TxEr : out std_logic;
phyMii1_TxEn : out std_logic;
phyMii1_TxEr : out std_logic;
phy_Rst_n : out std_logic;
phy_SMIClk : out std_logic;
phy_SMIDat_O : out std_logic;
phy_SMIDat_T : out std_logic;
pio_operational : out std_logic;
spi_miso : out std_logic;
tcp_irq : out std_logic;
MAC_DMA_ABus : out std_logic_vector(0 to 31);
MAC_DMA_BE : out std_logic_vector(0 to (C_MAC_DMA_PLB_DWIDTH/8)-1);
MAC_DMA_MSize : out std_logic_vector(0 to 1);
MAC_DMA_TAttribute : out std_logic_vector(0 to 15);
MAC_DMA_UABus : out std_logic_vector(0 to 31);
MAC_DMA_priority : out std_logic_vector(0 to 1);
MAC_DMA_size : out std_logic_vector(0 to 3);
MAC_DMA_type : out std_logic_vector(0 to 2);
MAC_DMA_wrDBus : out std_logic_vector(0 to C_MAC_DMA_PLB_DWIDTH-1);
MAC_PKT_MBusy : out std_logic_vector(0 to C_MAC_PKT_NUM_MASTERS-1);
MAC_PKT_MIRQ : out std_logic_vector(0 to C_MAC_PKT_NUM_MASTERS-1);
MAC_PKT_MRdErr : out std_logic_vector(0 to C_MAC_PKT_NUM_MASTERS-1);
MAC_PKT_MWrErr : out std_logic_vector(0 to C_MAC_PKT_NUM_MASTERS-1);
MAC_PKT_SSize : out std_logic_vector(0 to 1);
MAC_PKT_rdDBus : out std_logic_vector(0 to C_MAC_PKT_PLB_DWIDTH-1);
MAC_PKT_rdWdAddr : out std_logic_vector(0 to 3);
MAC_REG_MBusy : out std_logic_vector(0 to C_MAC_REG_NUM_MASTERS-1);
MAC_REG_MIRQ : out std_logic_vector(0 to C_MAC_REG_NUM_MASTERS-1);
MAC_REG_MRdErr : out std_logic_vector(0 to C_MAC_REG_NUM_MASTERS-1);
MAC_REG_MWrErr : out std_logic_vector(0 to C_MAC_REG_NUM_MASTERS-1);
MAC_REG_SSize : out std_logic_vector(0 to 1);
MAC_REG_rdDBus : out std_logic_vector(0 to C_MAC_REG_PLB_DWIDTH-1);
MAC_REG_rdWdAddr : out std_logic_vector(0 to 3);
PDI_AP_MBusy : out std_logic_vector(0 to C_PDI_AP_PLB_NUM_MASTERS-1);
PDI_AP_MIRQ : out std_logic_vector(0 to C_PDI_AP_PLB_NUM_MASTERS-1);
PDI_AP_MRdErr : out std_logic_vector(0 to C_PDI_AP_PLB_NUM_MASTERS-1);
PDI_AP_MWrErr : out std_logic_vector(0 to C_PDI_AP_PLB_NUM_MASTERS-1);
PDI_AP_SSize : out std_logic_vector(0 to 1);
PDI_AP_rdDBus : out std_logic_vector(0 to C_PDI_AP_PLB_DWIDTH-1);
PDI_AP_rdWdAddr : out std_logic_vector(0 to 3);
PDI_PCP_MBusy : out std_logic_vector(0 to C_PDI_PCP_NUM_MASTERS-1);
PDI_PCP_MIRQ : out std_logic_vector(0 to C_PDI_PCP_NUM_MASTERS-1);
PDI_PCP_MRdErr : out std_logic_vector(0 to C_PDI_PCP_NUM_MASTERS-1);
PDI_PCP_MWrErr : out std_logic_vector(0 to C_PDI_PCP_NUM_MASTERS-1);
PDI_PCP_SSize : out std_logic_vector(0 to 1);
PDI_PCP_rdDBus : out std_logic_vector(0 to C_PDI_PCP_PLB_DWIDTH-1);
PDI_PCP_rdWdAddr : out std_logic_vector(0 to 3);
SMP_PCP_MBusy : out std_logic_vector(0 to C_SMP_PCP_PLB_NUM_MASTERS-1);
SMP_PCP_MIRQ : out std_logic_vector(0 to C_SMP_PCP_PLB_NUM_MASTERS-1);
SMP_PCP_MRdErr : out std_logic_vector(0 to C_SMP_PCP_PLB_NUM_MASTERS-1);
SMP_PCP_MWrErr : out std_logic_vector(0 to C_SMP_PCP_PLB_NUM_MASTERS-1);
SMP_PCP_SSize : out std_logic_vector(0 to 1);
SMP_PCP_rdDBus : out std_logic_vector(0 to C_SMP_PCP_PLB_DWIDTH-1);
SMP_PCP_rdWdAddr : out std_logic_vector(0 to 3);
led_gpo : out std_logic_vector(7 downto 0);
led_opt : out std_logic_vector(1 downto 0);
led_phyAct : out std_logic_vector(1 downto 0);
led_phyLink : out std_logic_vector(1 downto 0);
pap_data_O : out std_logic_vector(C_PAP_DATA_WIDTH-1 downto 0);
pap_gpio_O : out std_logic_vector(1 downto 0);
pap_gpio_T : out std_logic_vector(1 downto 0);
phy0_TxDat : out std_logic_vector(1 downto 0);
phy1_TxDat : out std_logic_vector(1 downto 0);
phyMii0_TxDat : out std_logic_vector(3 downto 0);
phyMii1_TxDat : out std_logic_vector(3 downto 0);
pio_portOutValid : out std_logic_vector(3 downto 0);
pio_portio_O : out std_logic_vector(31 downto 0);
pio_portio_T : out std_logic_vector(31 downto 0);
test_port : out std_logic_vector(255 downto 0) := (others => '0')
);
-- Entity declarations --
-- Click here to add additional declarations --
attribute SIGIS : string;
-- Entity attributes --
attribute SIGIS of MAC_DMA_Clk : signal is "Clk";
attribute SIGIS of MAC_DMA_Rst : signal is "Rst";
attribute SIGIS of MAC_PKT_Clk : signal is "Clk";
attribute SIGIS of MAC_PKT_Rst : signal is "Rst";
attribute SIGIS of MAC_REG_Clk : signal is "Clk";
attribute SIGIS of MAC_REG_Rst : signal is "Rst";
attribute SIGIS of PDI_AP_Clk : signal is "Clk";
attribute SIGIS of PDI_AP_Rst : signal is "Rst";
attribute SIGIS of PDI_PCP_Clk : signal is "Clk";
attribute SIGIS of PDI_PCP_Rst : signal is "Rst";
attribute SIGIS of SMP_PCP_Clk : signal is "Clk";
attribute SIGIS of SMP_PCP_Rst : signal is "Rst";
attribute SIGIS of clk100 : signal is "Clk";
attribute SIGIS of clk50 : signal is "Clk";
attribute SIGIS of phy0_clk : signal is "Clk";
attribute SIGIS of phy1_clk : signal is "Clk";
end plb_powerlink;
architecture struct of plb_powerlink is
---- Architecture declarations -----
function get_max( a, b : integer) return integer is
begin
if a < b then
return b;
else
return a;
end if;
end get_max;
---- Component declarations -----
component ipif_master_handler
generic(
C_MAC_DMA_IPIF_AWIDTH : integer := 32;
C_MAC_DMA_IPIF_NATIVE_DWIDTH : integer := 32;
dma_highadr_g : integer := 31;
gen_rx_fifo_g : boolean := true;
gen_tx_fifo_g : boolean := true;
m_burstcount_width_g : integer := 4
);
port (
Bus2MAC_DMA_MstRd_d : in std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH-1 downto 0);
Bus2MAC_DMA_MstRd_eof_n : in std_logic := '1';
Bus2MAC_DMA_MstRd_rem : in std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0);
Bus2MAC_DMA_MstRd_sof_n : in std_logic := '1';
Bus2MAC_DMA_MstRd_src_dsc_n : in std_logic := '1';
Bus2MAC_DMA_MstRd_src_rdy_n : in std_logic := '1';
Bus2MAC_DMA_MstWr_dst_dsc_n : in std_logic := '1';
Bus2MAC_DMA_MstWr_dst_rdy_n : in std_logic := '1';
Bus2MAC_DMA_Mst_CmdAck : in std_logic := '0';
Bus2MAC_DMA_Mst_Cmd_Timeout : in std_logic := '0';
Bus2MAC_DMA_Mst_Cmplt : in std_logic := '0';
Bus2MAC_DMA_Mst_Error : in std_logic := '0';
Bus2MAC_DMA_Mst_Rearbitrate : in std_logic := '0';
MAC_DMA_CLK : in std_logic;
MAC_DMA_Rst : in std_logic;
m_address : in std_logic_vector(dma_highadr_g downto 0);
m_burstcount : in std_logic_vector(m_burstcount_width_g-1 downto 0);
m_burstcounter : in std_logic_vector(m_burstcount_width_g-1 downto 0);
m_byteenable : in std_logic_vector(3 downto 0);
m_read : in std_logic := '0';
m_write : in std_logic := '0';
m_writedata : in std_logic_vector(31 downto 0);
MAC_DMA2Bus_MstRd_Req : out std_logic := '0';
MAC_DMA2Bus_MstRd_dst_dsc_n : out std_logic := '1';
MAC_DMA2Bus_MstRd_dst_rdy_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_Req : out std_logic := '0';
MAC_DMA2Bus_MstWr_d : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH-1 downto 0);
MAC_DMA2Bus_MstWr_eof_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_rem : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0);
MAC_DMA2Bus_MstWr_sof_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_src_dsc_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_src_rdy_n : out std_logic := '1';
MAC_DMA2Bus_Mst_Addr : out std_logic_vector(C_MAC_DMA_IPIF_AWIDTH-1 downto 0);
MAC_DMA2Bus_Mst_BE : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0);
MAC_DMA2Bus_Mst_Length : out std_logic_vector(11 downto 0);
MAC_DMA2Bus_Mst_Lock : out std_logic := '0';
MAC_DMA2Bus_Mst_Reset : out std_logic := '0';
MAC_DMA2Bus_Mst_Type : out std_logic := '0';
m_clk : out std_logic;
m_readdata : out std_logic_vector(31 downto 0);
m_readdatavalid : out std_logic := '0';
m_waitrequest : out std_logic := '1'
);
end component;
component openMAC_16to32conv
generic(
bus_address_width : integer := 10
);
port (
bus_address : in std_logic_vector(bus_address_width-1 downto 0);
bus_byteenable : in std_logic_vector(3 downto 0);
bus_read : in std_logic;
bus_select : in std_logic;
bus_write : in std_logic;
bus_writedata : in std_logic_vector(31 downto 0);
clk : in std_logic;
rst : in std_logic;
s_readdata : in std_logic_vector(15 downto 0);
s_waitrequest : in std_logic;
bus_ack_rd : out std_logic;
bus_ack_wr : out std_logic;
bus_readdata : out std_logic_vector(31 downto 0);
s_address : out std_logic_vector(bus_address_width-1 downto 0);
s_byteenable : out std_logic_vector(1 downto 0);
s_chipselect : out std_logic;
s_read : out std_logic;
s_write : out std_logic;
s_writedata : out std_logic_vector(15 downto 0)
);
end component;
component powerlink
generic(
Simulate : boolean := false;
endian_g : string := "little";
gNumSmi : integer range 1 to 2 := 2;
genABuf1_g : boolean := true;
genABuf2_g : boolean := true;
genEvent_g : boolean := false;
genInternalAp_g : boolean := true;
genIoBuf_g : boolean := true;
genLedGadget_g : boolean := false;
genOnePdiClkDomain_g : boolean := false;
genPdi_g : boolean := true;
genSimpleIO_g : boolean := false;
genSmiIO : boolean := true;
genSpiAp_g : boolean := false;
genTimeSync_g : boolean := false;
gen_dma_observer_g : boolean := true;
iAsyBuf1Size_g : integer := 100;
iAsyBuf2Size_g : integer := 100;
iBufSizeLOG2_g : integer := 10;
iBufSize_g : integer := 1024;
iPdiRev_g : integer := 21930;
iRpdo0BufSize_g : integer := 100;
iRpdo1BufSize_g : integer := 100;
iRpdo2BufSize_g : integer := 100;
iRpdos_g : integer := 3;
iTpdoBufSize_g : integer := 100;
iTpdos_g : integer := 1;
m_burstcount_const_g : boolean := true;
m_burstcount_width_g : integer := 4;
m_data_width_g : integer := 16;
m_rx_burst_size_g : integer := 16;
m_rx_fifo_size_g : integer := 16;
m_tx_burst_size_g : integer := 16;
m_tx_fifo_size_g : integer := 16;
papBigEnd_g : boolean := false;
papDataWidth_g : integer := 8;
papLowAct_g : boolean := false;
pioValLen_g : integer := 50;
spiBigEnd_g : boolean := false;
spiCPHA_g : boolean := false;
spiCPOL_g : boolean := false;
use2ndCmpTimer_g : boolean := true;
use2ndPhy_g : boolean := true;
useIntPacketBuf_g : boolean := true;
useRmii_g : boolean := true;
useRxIntPacketBuf_g : boolean := true
);
port (
ap_address : in std_logic_vector(12 downto 0);
ap_byteenable : in std_logic_vector(3 downto 0);
ap_chipselect : in std_logic;
ap_read : in std_logic;
ap_write : in std_logic;
ap_writedata : in std_logic_vector(31 downto 0);
clk50 : in std_logic;
clkAp : in std_logic;
clkEth : in std_logic;
clkPcp : in std_logic;
m_clk : in std_logic;
m_readdata : in std_logic_vector(m_data_width_g-1 downto 0) := (others => '0');
m_readdatavalid : in std_logic := '0';
m_waitrequest : in std_logic;
mac_address : in std_logic_vector(11 downto 0);
mac_byteenable : in std_logic_vector(1 downto 0);
mac_chipselect : in std_logic;
mac_read : in std_logic;
mac_write : in std_logic;
mac_writedata : in std_logic_vector(15 downto 0);
mbf_address : in std_logic_vector(ibufsizelog2_g-3 downto 0);
mbf_byteenable : in std_logic_vector(3 downto 0);
mbf_chipselect : in std_logic;
mbf_read : in std_logic;
mbf_write : in std_logic;
mbf_writedata : in std_logic_vector(31 downto 0);
pap_addr : in std_logic_vector(15 downto 0);
pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0);
pap_be_n : in std_logic_vector(papDataWidth_g/8-1 downto 0);
pap_cs : in std_logic;
pap_cs_n : in std_logic;
pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0');
pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0');
pap_rd : in std_logic;
pap_rd_n : in std_logic;
pap_wr : in std_logic;
pap_wr_n : in std_logic;
pcp_address : in std_logic_vector(12 downto 0);
pcp_byteenable : in std_logic_vector(3 downto 0);
pcp_chipselect : in std_logic;
pcp_read : in std_logic;
pcp_write : in std_logic;
pcp_writedata : in std_logic_vector(31 downto 0);
phy0_RxDat : in std_logic_vector(1 downto 0);
phy0_RxDv : in std_logic;
phy0_RxErr : in std_logic;
phy0_SMIDat_I : in std_logic := '1';
phy0_link : in std_logic := '0';
phy1_RxDat : in std_logic_vector(1 downto 0) := (others => '0');
phy1_RxDv : in std_logic;
phy1_RxErr : in std_logic;
phy1_SMIDat_I : in std_logic := '1';
phy1_link : in std_logic := '0';
phyMii0_RxClk : in std_logic;
phyMii0_RxDat : in std_logic_vector(3 downto 0) := (others => '0');
phyMii0_RxDv : in std_logic;
phyMii0_RxEr : in std_logic;
phyMii0_TxClk : in std_logic;
phyMii1_RxClk : in std_logic;
phyMii1_RxDat : in std_logic_vector(3 downto 0) := (others => '0');
phyMii1_RxDv : in std_logic;
phyMii1_RxEr : in std_logic;
phyMii1_TxClk : in std_logic;
phy_SMIDat_I : in std_logic := '1';
pio_pconfig : in std_logic_vector(3 downto 0);
pio_portInLatch : in std_logic_vector(3 downto 0);
pio_portio_I : in std_logic_vector(31 downto 0) := (others => '0');
pkt_clk : in std_logic;
rst : in std_logic;
rstAp : in std_logic;
rstPcp : in std_logic;
smp_address : in std_logic;
smp_byteenable : in std_logic_vector(3 downto 0);
smp_read : in std_logic;
smp_write : in std_logic;
smp_writedata : in std_logic_vector(31 downto 0);
spi_clk : in std_logic;
spi_mosi : in std_logic;
spi_sel_n : in std_logic;
tcp_address : in std_logic_vector(1 downto 0);
tcp_byteenable : in std_logic_vector(3 downto 0);
tcp_chipselect : in std_logic;
tcp_read : in std_logic;
tcp_write : in std_logic;
tcp_writedata : in std_logic_vector(31 downto 0);
ap_asyncIrq : out std_logic := '0';
ap_asyncIrq_n : out std_logic := '1';
ap_irq : out std_logic := '0';
ap_irq_n : out std_logic := '1';
ap_readdata : out std_logic_vector(31 downto 0) := (others => '0');
ap_syncIrq : out std_logic := '0';
ap_syncIrq_n : out std_logic := '1';
ap_waitrequest : out std_logic;
led_error : out std_logic := '0';
led_gpo : out std_logic_vector(7 downto 0) := (others => '0');
led_opt : out std_logic_vector(1 downto 0) := (others => '0');
led_phyAct : out std_logic_vector(1 downto 0) := (others => '0');
led_phyLink : out std_logic_vector(1 downto 0) := (others => '0');
led_status : out std_logic := '0';
m_address : out std_logic_vector(29 downto 0) := (others => '0');
m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0);
m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0);
m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0) := (others => '0');
m_read : out std_logic := '0';
m_write : out std_logic := '0';
m_writedata : out std_logic_vector(m_data_width_g-1 downto 0) := (others => '0');
mac_irq : out std_logic := '0';
mac_readdata : out std_logic_vector(15 downto 0) := (others => '0');
mac_waitrequest : out std_logic;
mbf_readdata : out std_logic_vector(31 downto 0) := (others => '0');
mbf_waitrequest : out std_logic;
pap_ack : out std_logic := '0';
pap_ack_n : out std_logic := '1';
pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0);
pap_data_T : out std_logic;
pap_gpio_O : out std_logic_vector(1 downto 0);
pap_gpio_T : out std_logic_vector(1 downto 0);
pcp_readdata : out std_logic_vector(31 downto 0) := (others => '0');
pcp_waitrequest : out std_logic;
phy0_Rst_n : out std_logic := '1';
phy0_SMIClk : out std_logic := '0';
phy0_SMIDat_O : out std_logic;
phy0_SMIDat_T : out std_logic;
phy0_TxDat : out std_logic_vector(1 downto 0) := (others => '0');
phy0_TxEn : out std_logic := '0';
phy1_Rst_n : out std_logic := '1';
phy1_SMIClk : out std_logic := '0';
phy1_SMIDat_O : out std_logic;
phy1_SMIDat_T : out std_logic;
phy1_TxDat : out std_logic_vector(1 downto 0) := (others => '0');
phy1_TxEn : out std_logic := '0';
phyMii0_TxDat : out std_logic_vector(3 downto 0) := (others => '0');
phyMii0_TxEn : out std_logic := '0';
phyMii0_TxEr : out std_logic := '0';
phyMii1_TxDat : out std_logic_vector(3 downto 0) := (others => '0');
phyMii1_TxEn : out std_logic := '0';
phyMii1_TxEr : out std_logic := '0';
phy_Rst_n : out std_logic := '1';
phy_SMIClk : out std_logic := '0';
phy_SMIDat_O : out std_logic;
phy_SMIDat_T : out std_logic;
pio_operational : out std_logic := '0';
pio_portOutValid : out std_logic_vector(3 downto 0) := (others => '0');
pio_portio_O : out std_logic_vector(31 downto 0);
pio_portio_T : out std_logic_vector(31 downto 0);
smp_readdata : out std_logic_vector(31 downto 0) := (others => '0');
smp_waitrequest : out std_logic;
spi_miso : out std_logic := '0';
tcp_irq : out std_logic := '0';
tcp_readdata : out std_logic_vector(31 downto 0) := (others => '0');
tcp_waitrequest : out std_logic;
pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0');
pap_gpio : inout std_logic_vector(1 downto 0) := (others => '0');
phy0_SMIDat : inout std_logic := '1';
phy1_SMIDat : inout std_logic := '1';
phy_SMIDat : inout std_logic := '1';
pio_portio : inout std_logic_vector(31 downto 0) := (others => '0')
);
end component;
component plbv46_master_burst
generic(
C_FAMILY : string := "virtex5";
C_INHIBIT_CC_BLE_INCLUSION : integer range 0 to 1 := 0;
C_MPLB_AWIDTH : integer range 32 to 36 := 32;
C_MPLB_DWIDTH : integer range 32 to 128 := 32;
C_MPLB_NATIVE_DWIDTH : integer range 32 to 128 := 32;
C_MPLB_SMALLEST_SLAVE : integer range 32 to 128 := 32
);
port (
IP2Bus_MstRd_Req : in std_logic;
IP2Bus_MstRd_dst_dsc_n : in std_logic;
IP2Bus_MstRd_dst_rdy_n : in std_logic;
IP2Bus_MstWr_Req : in std_logic;
IP2Bus_MstWr_d : in std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
IP2Bus_MstWr_eof_n : in std_logic;
IP2Bus_MstWr_rem : in std_logic_vector(0 to (C_MPLB_NATIVE_DWIDTH/8)-1);
IP2Bus_MstWr_sof_n : in std_logic;
IP2Bus_MstWr_src_dsc_n : in std_logic;
IP2Bus_MstWr_src_rdy_n : in std_logic;
IP2Bus_Mst_Addr : in std_logic_vector(0 to C_MPLB_AWIDTH-1);
IP2Bus_Mst_BE : in std_logic_vector(0 to (C_MPLB_NATIVE_DWIDTH/8)-1);
IP2Bus_Mst_Length : in std_logic_vector(0 to 11);
IP2Bus_Mst_Lock : in std_logic;
IP2Bus_Mst_Reset : in std_logic;
IP2Bus_Mst_Type : in std_logic;
MPLB_Clk : in std_logic;
MPLB_Rst : in std_logic;
PLB_MAddrAck : in std_logic;
PLB_MBusy : in std_logic;
PLB_MIRQ : in std_logic;
PLB_MRdBTerm : in std_logic;
PLB_MRdDAck : in std_logic;
PLB_MRdDBus : in std_logic_vector(0 to C_MPLB_DWIDTH-1);
PLB_MRdErr : in std_logic;
PLB_MRdWdAddr : in std_logic_vector(0 to 3);
PLB_MRearbitrate : in std_logic;
PLB_MSSize : in std_logic_vector(0 to 1);
PLB_MTimeout : in std_logic;
PLB_MWrBTerm : in std_logic;
PLB_MWrDAck : in std_logic;
PLB_MWrErr : in std_logic;
Bus2IP_MstRd_d : out std_logic_vector(0 to C_MPLB_NATIVE_DWIDTH-1);
Bus2IP_MstRd_eof_n : out std_logic;
Bus2IP_MstRd_rem : out std_logic_vector(0 to (C_MPLB_NATIVE_DWIDTH/8)-1);
Bus2IP_MstRd_sof_n : out std_logic;
Bus2IP_MstRd_src_dsc_n : out std_logic;
Bus2IP_MstRd_src_rdy_n : out std_logic;
Bus2IP_MstWr_dst_dsc_n : out std_logic;
Bus2IP_MstWr_dst_rdy_n : out std_logic;
Bus2IP_Mst_CmdAck : out std_logic;
Bus2IP_Mst_Cmd_Timeout : out std_logic;
Bus2IP_Mst_Cmplt : out std_logic;
Bus2IP_Mst_Error : out std_logic;
Bus2IP_Mst_Rearbitrate : out std_logic;
MD_Error : out std_logic;
M_ABus : out std_logic_vector(0 to 31);
M_BE : out std_logic_vector(0 to (C_MPLB_DWIDTH/8)-1);
M_MSize : out std_logic_vector(0 to 1);
M_RNW : out std_logic;
M_TAttribute : out std_logic_vector(0 to 15);
M_UABus : out std_logic_vector(0 to 31);
M_abort : out std_logic;
M_busLock : out std_logic;
M_lockErr : out std_logic;
M_priority : out std_logic_vector(0 to 1);
M_rdBurst : out std_logic;
M_request : out std_logic;
M_size : out std_logic_vector(0 to 3);
M_type : out std_logic_vector(0 to 2);
M_wrBurst : out std_logic;
M_wrDBus : out std_logic_vector(0 to C_MPLB_DWIDTH-1)
);
end component;
component plbv46_slave_single
generic(
C_ARD_ADDR_RANGE_ARRAY : slv64_array_type := (X"0000_0000_7000_0000",X"0000_0000_7000_00FF",X"0000_0000_7000_0100",X"0000_0000_7000_01FF");
C_ARD_NUM_CE_ARRAY : integer_array_type := (1,8);
C_BUS2CORE_CLK_RATIO : integer range 1 to 2 := 1;
C_FAMILY : string := "virtex4";
C_INCLUDE_DPHASE_TIMER : integer range 0 to 1 := 1;
C_SIPIF_DWIDTH : integer range 32 to 32 := 32;
C_SPLB_AWIDTH : integer range 32 to 32 := 32;
C_SPLB_DWIDTH : integer range 32 to 128 := 32;
C_SPLB_MID_WIDTH : integer range 1 to 4 := 2;
C_SPLB_NUM_MASTERS : integer range 1 to 16 := 8;
C_SPLB_P2P : integer range 0 to 1 := 0
);
port (
IP2Bus_Data : in std_logic_vector(0 to C_SIPIF_DWIDTH-1);
IP2Bus_Error : in std_logic;
IP2Bus_RdAck : in std_logic;
IP2Bus_WrAck : in std_logic;
PLB_ABus : in std_logic_vector(0 to 31);
PLB_BE : in std_logic_vector(0 to (C_SPLB_DWIDTH/8)-1);
PLB_MSize : in std_logic_vector(0 to 1);
PLB_PAValid : in std_logic;
PLB_RNW : in std_logic;
PLB_SAValid : in std_logic;
PLB_TAttribute : in std_logic_vector(0 to 15);
PLB_UABus : in std_logic_vector(0 to 31);
PLB_abort : in std_logic;
PLB_busLock : in std_logic;
PLB_lockErr : in std_logic;
PLB_masterID : in std_logic_vector(0 to C_SPLB_MID_WIDTH-1);
PLB_rdBurst : in std_logic;
PLB_rdPendPri : in std_logic_vector(0 to 1);
PLB_rdPendReq : in std_logic;
PLB_rdPrim : in std_logic;
PLB_reqPri : in std_logic_vector(0 to 1);
PLB_size : in std_logic_vector(0 to 3);
PLB_type : in std_logic_vector(0 to 2);
PLB_wrBurst : in std_logic;
PLB_wrDBus : in std_logic_vector(0 to C_SPLB_DWIDTH-1);
PLB_wrPendPri : in std_logic_vector(0 to 1);
PLB_wrPendReq : in std_logic;
PLB_wrPrim : in std_logic;
SPLB_Clk : in std_logic;
SPLB_Rst : in std_logic;
Bus2IP_Addr : out std_logic_vector(0 to C_SPLB_AWIDTH-1);
Bus2IP_BE : out std_logic_vector(0 to (C_SIPIF_DWIDTH/8)-1);
Bus2IP_CS : out std_logic_vector(0 to ((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2)-1);
Bus2IP_Clk : out std_logic;
Bus2IP_Data : out std_logic_vector(0 to C_SIPIF_DWIDTH-1);
Bus2IP_RNW : out std_logic;
Bus2IP_RdCE : out std_logic_vector(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
Bus2IP_Reset : out std_logic;
Bus2IP_WrCE : out std_logic_vector(0 to calc_num_ce(C_ARD_NUM_CE_ARRAY)-1);
Sl_MBusy : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MIRQ : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MRdErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_MWrErr : out std_logic_vector(0 to C_SPLB_NUM_MASTERS-1);
Sl_SSize : out std_logic_vector(0 to 1);
Sl_addrAck : out std_logic;
Sl_rdBTerm : out std_logic;
Sl_rdComp : out std_logic;
Sl_rdDAck : out std_logic;
Sl_rdDBus : out std_logic_vector(0 to C_SPLB_DWIDTH-1);
Sl_rdWdAddr : out std_logic_vector(0 to 3);
Sl_rearbitrate : out std_logic;
Sl_wait : out std_logic;
Sl_wrBTerm : out std_logic;
Sl_wrComp : out std_logic;
Sl_wrDAck : out std_logic
);
end component;
---- Architecture declarations -----
constant C_FAMILY : string := "spartan6";
constant C_ADDR_PAD_ZERO : std_logic_vector(31 downto 0) := (others => '0');
-- openMAC REG PLB Slave
constant C_MAC_REG_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_MAC_REG_BASEADDR;
constant C_MAC_REG_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_MAC_REG_HIGHADDR;
-- openMAC CMP PLB Slave
constant C_MAC_CMP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_MAC_CMP_BASEADDR;
constant C_MAC_CMP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_MAC_CMP_HIGHADDR;
-- openMAC PKT PLB Slave
constant C_MAC_PKT_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_MAC_PKT_BASEADDR;
constant C_MAC_PKT_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_MAC_PKT_HIGHADDR;
-- SimpleIO Slave
constant C_SMP_PCP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_SMP_PCP_BASEADDR;
constant C_SMP_PCP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_SMP_PCP_HIGHADDR;
-- PDI PCP Slave
constant C_PDI_PCP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_PDI_PCP_BASEADDR;
constant C_PDI_PCP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_PDI_PCP_HIGHADDR;
-- AP PCP Slave
constant C_PDI_AP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_PDI_AP_BASEADDR;
constant C_PDI_AP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_PDI_AP_HIGHADDR;
-- POWERLINK IP-core
constant C_MAC_PKT_EN : boolean := C_TX_INT_PKT or C_RX_INT_PKT;
constant C_MAC_PKT_RX_EN : boolean := C_RX_INT_PKT;
constant C_DMA_EN : boolean := not C_TX_INT_PKT or not C_RX_INT_PKT;
constant C_PKT_BUF_EN : boolean := C_MAC_PKT_EN;
constant C_M_BURSTCOUNT_WIDTH : integer := integer(ceil(log2(real(get_max(C_MAC_DMA_BURST_SIZE_RX,C_MAC_DMA_BURST_SIZE_TX)/4)))) + 1; --in dwords
constant C_M_FIFO_SIZE_RX : integer := C_MAC_DMA_FIFO_SIZE_RX/4; --in dwords
constant C_M_FIFO_SIZE_TX : integer := C_MAC_DMA_FIFO_SIZE_TX/4; --in dwords
---- Constants -----
constant GND_CONSTANT : std_logic := '0';
---- Signal declarations used on the diagram ----
signal ap_chipselect : std_logic;
signal ap_read : std_logic;
signal ap_waitrequest : std_logic;
signal ap_write : std_logic;
signal Bus2MAC_CMP_Reset : std_logic;
signal Bus2MAC_DMA_MstRd_eof_n : std_logic;
signal Bus2MAC_DMA_MstRd_sof_n : std_logic;
signal Bus2MAC_DMA_MstRd_src_dsc_n : std_logic;
signal Bus2MAC_DMA_MstRd_src_rdy_n : std_logic;
signal Bus2MAC_DMA_MstWr_dst_dsc_n : std_logic;
signal Bus2MAC_DMA_MstWr_dst_rdy_n : std_logic;
signal Bus2MAC_DMA_Mst_CmdAck : std_logic;
signal Bus2MAC_DMA_Mst_Cmd_Timeout : std_logic;
signal Bus2MAC_DMA_Mst_Cmplt : std_logic;
signal Bus2MAC_DMA_Mst_Error : std_logic;
signal Bus2MAC_DMA_Mst_Rearbitrate : std_logic;
signal Bus2MAC_PKT_Clk : std_logic;
signal Bus2MAC_PKT_Reset : std_logic;
signal Bus2MAC_PKT_RNW : std_logic;
signal Bus2MAC_REG_Clk : std_logic;
signal Bus2MAC_REG_Reset : std_logic;
signal Bus2MAC_REG_RNW : std_logic;
signal Bus2MAC_REG_RNW_n : std_logic;
signal Bus2PDI_AP_Clk : std_logic;
signal Bus2PDI_AP_Reset : std_logic;
signal Bus2PDI_AP_RNW : std_logic;
signal Bus2PDI_PCP_Clk : std_logic;
signal Bus2PDI_PCP_Reset : std_logic;
signal Bus2PDI_PCP_RNW : std_logic;
signal Bus2SMP_PCP_Clk : std_logic;
signal Bus2SMP_PCP_Reset : std_logic;
signal Bus2SMP_PCP_RNW : std_logic;
signal clkAp : std_logic;
signal clkPcp : std_logic;
signal GND : std_logic;
signal IP2Bus_Error_s : std_logic;
signal IP2Bus_RrAck_s : std_logic;
signal IP2Bus_WrAck_s : std_logic;
signal mac_chipselect : std_logic;
signal MAC_CMP2Bus_Error : std_logic;
signal MAC_CMP2Bus_RdAck : std_logic;
signal MAC_CMP2Bus_WrAck : std_logic;
signal MAC_DMA2Bus_MstRd_dst_dsc_n : std_logic;
signal MAC_DMA2Bus_MstRd_dst_rdy_n : std_logic;
signal MAC_DMA2Bus_MstRd_Req : std_logic;
signal MAC_DMA2Bus_MstWr_eof_n : std_logic;
signal MAC_DMA2Bus_MstWr_Req : std_logic;
signal MAC_DMA2Bus_MstWr_sof_n : std_logic;
signal MAC_DMA2Bus_MstWr_src_dsc_n : std_logic;
signal MAC_DMA2Bus_MstWr_src_rdy_n : std_logic;
signal MAC_DMA2Bus_Mst_Lock : std_logic;
signal MAC_DMA2Bus_Mst_Reset : std_logic;
signal MAC_DMA2Bus_Mst_Type : std_logic;
signal mac_irq_s : std_logic;
signal MAC_PKT2Bus_Error : std_logic;
signal MAC_PKT2Bus_RdAck : std_logic;
signal MAC_PKT2Bus_WrAck : std_logic;
signal mac_read : std_logic;
signal MAC_REG2Bus_Error : std_logic;
signal MAC_REG2Bus_RdAck : std_logic;
signal MAC_REG2Bus_WrAck : std_logic;
signal mac_waitrequest : std_logic;
signal mac_write : std_logic;
signal mbf_chipselect : std_logic;
signal mbf_read : std_logic;
signal mbf_waitrequest : std_logic;
signal mbf_write : std_logic;
signal m_clk : std_logic;
signal m_read : std_logic;
signal m_readdatavalid : std_logic;
signal m_waitrequest : std_logic;
signal m_write : std_logic;
signal pcp_chipselect : std_logic;
signal pcp_read : std_logic;
signal pcp_waitrequest : std_logic;
signal pcp_write : std_logic;
signal PDI_AP2Bus_Error : std_logic;
signal PDI_AP2Bus_RdAck : std_logic;
signal PDI_AP2Bus_WrAck : std_logic;
signal PDI_PCP2Bus_Error : std_logic;
signal PDI_PCP2Bus_RdAck : std_logic;
signal PDI_PCP2Bus_WrAck : std_logic;
signal pkt_clk : std_logic;
signal rst : std_logic;
signal rstAp : std_logic;
signal rstPcp : std_logic;
signal smp_address : std_logic;
signal smp_chipselect : std_logic;
signal SMP_PCP2Bus_Error : std_logic;
signal SMP_PCP2Bus_RdAck : std_logic;
signal SMP_PCP2Bus_WrAck : std_logic;
signal smp_read : std_logic;
signal smp_waitrequest : std_logic;
signal smp_write : std_logic;
signal tcp_chipselect : std_logic;
signal tcp_irq_s : std_logic;
signal tcp_read : std_logic;
signal tcp_waitrequest : std_logic;
signal tcp_write : std_logic;
signal ap_address : std_logic_vector (12 downto 0);
signal ap_byteenable : std_logic_vector (3 downto 0);
signal ap_readdata : std_logic_vector (31 downto 0);
signal ap_writedata : std_logic_vector (31 downto 0);
signal Bus2MAC_DMA_MstRd_d : std_logic_vector (0 to C_MAC_DMA_PLB_NATIVE_DWIDTH-1);
signal Bus2MAC_DMA_MstRd_rem : std_logic_vector (0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1);
signal Bus2MAC_PKT_Addr : std_logic_vector (C_MAC_PKT_PLB_AWIDTH-1 downto 0);
signal Bus2MAC_PKT_BE : std_logic_vector ((C_MAC_PKT_PLB_DWIDTH/8)-1 downto 0);
signal Bus2MAC_PKT_CS : std_logic_vector (0 downto 0);
signal Bus2MAC_PKT_Data : std_logic_vector (C_MAC_PKT_PLB_DWIDTH-1 downto 0);
signal Bus2MAC_REG_Addr : std_logic_vector (C_MAC_REG_PLB_AWIDTH-1 downto 0);
signal Bus2MAC_REG_BE : std_logic_vector ((C_MAC_REG_PLB_DWIDTH/8)-1 downto 0);
signal Bus2MAC_REG_BE_s : std_logic_vector ((C_MAC_REG_PLB_DWIDTH/8)-1 downto 0);
signal Bus2MAC_REG_CS : std_logic_vector (1 downto 0);
signal Bus2MAC_REG_Data : std_logic_vector (C_MAC_REG_PLB_DWIDTH-1 downto 0);
signal Bus2PDI_AP_Addr : std_logic_vector (C_PDI_AP_PLB_AWIDTH-1 downto 0);
signal Bus2PDI_AP_BE : std_logic_vector ((C_PDI_AP_PLB_DWIDTH/8)-1 downto 0);
signal Bus2PDI_AP_CS : std_logic_vector (0 downto 0);
signal Bus2PDI_AP_Data : std_logic_vector (C_PDI_AP_PLB_DWIDTH-1 downto 0);
signal Bus2PDI_PCP_Addr : std_logic_vector (C_PDI_PCP_PLB_AWIDTH-1 downto 0);
signal Bus2PDI_PCP_BE : std_logic_vector ((C_PDI_PCP_PLB_DWIDTH/8)-1 downto 0);
signal Bus2PDI_PCP_CS : std_logic_vector (0 downto 0);
signal Bus2PDI_PCP_Data : std_logic_vector (C_PDI_PCP_PLB_DWIDTH-1 downto 0);
signal Bus2SMP_PCP_Addr : std_logic_vector (C_SMP_PCP_PLB_AWIDTH-1 downto 0);
signal Bus2SMP_PCP_BE : std_logic_vector ((C_SMP_PCP_PLB_DWIDTH/8)-1 downto 0);
signal Bus2SMP_PCP_CS : std_logic_vector (0 downto 0);
signal Bus2SMP_PCP_Data : std_logic_vector (C_SMP_PCP_PLB_DWIDTH-1 downto 0);
signal IP2Bus_Data_s : std_logic_vector (C_MAC_REG_PLB_DWIDTH-1 downto 0);
signal mac_address : std_logic_vector (C_MAC_REG_PLB_AWIDTH-1 downto 0);
signal mac_byteenable : std_logic_vector (1 downto 0);
signal MAC_CMP2Bus_Data : std_logic_vector (C_MAC_REG_PLB_DWIDTH-1 downto 0);
signal MAC_DMA2Bus_MstWr_d : std_logic_vector (0 to C_MAC_DMA_PLB_NATIVE_DWIDTH-1);
signal MAC_DMA2Bus_MstWr_rem : std_logic_vector (0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1);
signal MAC_DMA2Bus_Mst_Addr : std_logic_vector (0 to C_MAC_DMA_PLB_AWIDTH-1);
signal MAC_DMA2Bus_Mst_BE : std_logic_vector (0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1);
signal MAC_DMA2Bus_Mst_Length : std_logic_vector (0 to 11);
signal MAC_PKT2Bus_Data : std_logic_vector (C_MAC_PKT_PLB_DWIDTH-1 downto 0);
signal mac_readdata : std_logic_vector (15 downto 0);
signal MAC_REG2Bus_Data : std_logic_vector (C_MAC_REG_PLB_DWIDTH-1 downto 0);
signal mac_writedata : std_logic_vector (15 downto 0);
signal mbf_address : std_logic_vector (C_MAC_PKT_SIZE_LOG2-3 downto 0);
signal mbf_byteenable : std_logic_vector (3 downto 0);
signal mbf_readdata : std_logic_vector (31 downto 0);
signal mbf_writedata : std_logic_vector (31 downto 0);
signal m_address : std_logic_vector (31 downto 0) := (others => '0');
signal m_burstcount : std_logic_vector (C_M_BURSTCOUNT_WIDTH-1 downto 0);
signal m_burstcounter : std_logic_vector (C_M_BURSTCOUNT_WIDTH-1 downto 0);
signal m_byteenable : std_logic_vector (3 downto 0);
signal m_readdata : std_logic_vector (31 downto 0);
signal m_writedata : std_logic_vector (31 downto 0);
signal pcp_address : std_logic_vector (12 downto 0);
signal pcp_byteenable : std_logic_vector (3 downto 0);
signal pcp_readdata : std_logic_vector (31 downto 0);
signal pcp_writedata : std_logic_vector (31 downto 0);
signal PDI_AP2Bus_Data : std_logic_vector (C_PDI_AP_PLB_DWIDTH-1 downto 0);
signal PDI_PCP2Bus_Data : std_logic_vector (C_PDI_PCP_PLB_DWIDTH-1 downto 0);
signal smp_byteenable : std_logic_vector (3 downto 0);
signal SMP_PCP2Bus_Data : std_logic_vector (C_SMP_PCP_PLB_DWIDTH-1 downto 0);
signal smp_readdata : std_logic_vector (31 downto 0);
signal smp_writedata : std_logic_vector (31 downto 0);
signal tcp_address : std_logic_vector (1 downto 0);
signal tcp_byteenable : std_logic_vector (3 downto 0);
signal tcp_readdata : std_logic_vector (31 downto 0);
signal tcp_writedata : std_logic_vector (31 downto 0);
begin
---- User Signal Assignments ----
-- connect mac reg with mac cmp or reg output signals
with Bus2MAC_REG_CS select
IP2Bus_Data_s(C_MAC_REG_PLB_DWIDTH-1 downto 0) <= MAC_REG2Bus_Data(C_MAC_REG_PLB_DWIDTH-1 downto 0) when "10",
MAC_CMP2Bus_Data(C_MAC_REG_PLB_DWIDTH-1 downto 0) when "01",
(others => '0') when others;
with Bus2MAC_REG_CS select
IP2Bus_WrAck_s <= MAC_REG2Bus_WrAck when "10",
MAC_CMP2Bus_WrAck when "01",
'0' when others;
with Bus2MAC_REG_CS select
IP2Bus_RrAck_s <= MAC_REG2Bus_RdAck when "10",
MAC_CMP2Bus_RdAck when "01",
'0' when others;
with Bus2MAC_REG_CS select
IP2Bus_Error_s <= MAC_REG2Bus_Error when "10",
MAC_CMP2Bus_Error when "01",
'0' when others;
Bus2MAC_REG_BE_s <= Bus2MAC_REG_BE;
--mac_cmp assignments
---cmp_clk <= Bus2MAC_CMP_Clk;
tcp_writedata <= Bus2MAC_REG_Data;
tcp_read <= Bus2MAC_REG_RNW;
tcp_write <= not Bus2MAC_REG_RNW;
tcp_chipselect <= Bus2MAC_REG_CS(0);
tcp_byteenable <= Bus2MAC_REG_BE;
tcp_address <= Bus2MAC_REG_Addr(3 downto 2);
MAC_CMP2Bus_Data <= tcp_readdata;
MAC_CMP2Bus_RdAck <= tcp_chipselect and tcp_read and not tcp_waitrequest;
MAC_CMP2Bus_WrAck <= tcp_chipselect and tcp_write and not tcp_waitrequest;
MAC_CMP2Bus_Error <= '0';
--mac_pkt assignments
pkt_clk <= Bus2MAC_PKT_Clk;
mbf_writedata <= Bus2MAC_PKT_Data;
-- Bus2MAC_PKT_Data(7 downto 0) & Bus2MAC_PKT_Data(15 downto 8) &
-- Bus2MAC_PKT_Data(23 downto 16) & Bus2MAC_PKT_Data(31 downto 24);
mbf_read <= Bus2MAC_PKT_RNW;
mbf_write <= not Bus2MAC_PKT_RNW;
mbf_chipselect <= Bus2MAC_PKT_CS(0);
mbf_byteenable <= Bus2MAC_PKT_BE;
mbf_address <= Bus2MAC_PKT_Addr(C_MAC_PKT_SIZE_LOG2-1 downto 2);
MAC_PKT2Bus_Data <= mbf_readdata;
-- mbf_readdata(7 downto 0) & mbf_readdata(15 downto 8) &
-- mbf_readdata(23 downto 16) & mbf_readdata(31 downto 24);
MAC_PKT2Bus_RdAck <= mbf_chipselect and mbf_read and not mbf_waitrequest;
MAC_PKT2Bus_WrAck <= mbf_chipselect and mbf_write and not mbf_waitrequest;
MAC_PKT2Bus_Error <= '0';
--test_port
test_port(255 downto 251) <= m_read & m_write & m_waitrequest & m_readdatavalid & MAC_DMA2Bus_Mst_Type;
test_port(244 downto 240) <= MAC_DMA2Bus_MstWr_Req & MAC_DMA2Bus_MstWr_sof_n & MAC_DMA2Bus_MstWr_eof_n & MAC_DMA2Bus_MstWr_src_rdy_n & Bus2MAC_DMA_MstWr_dst_rdy_n;
test_port(234 downto 230) <= MAC_DMA2Bus_MstRd_Req & Bus2MAC_DMA_MstRd_sof_n & Bus2MAC_DMA_MstRd_eof_n & Bus2MAC_DMA_MstRd_src_rdy_n & MAC_DMA2Bus_MstRd_dst_rdy_n;
test_port(142 downto 140) <= Bus2MAC_DMA_Mst_Cmplt & Bus2MAC_DMA_Mst_Error & Bus2MAC_DMA_Mst_Cmd_Timeout;
test_port(MAC_DMA2Bus_Mst_Length'length+120-1 downto 120) <= MAC_DMA2Bus_Mst_Length;
test_port(m_burstcount'length+110-1 downto 110) <= m_burstcount;
test_port(m_burstcounter'length+96-1 downto 96) <= m_burstcounter;
test_port(95 downto 64) <= m_address;
test_port(63 downto 32) <= m_writedata;
test_port(31 downto 0) <= m_readdata;
---- Component instantiations ----
MAC_REG_16to32 : openMAC_16to32conv
generic map (
bus_address_width => C_MAC_REG_PLB_AWIDTH
)
port map(
bus_ack_rd => MAC_REG2Bus_RdAck,
bus_ack_wr => MAC_REG2Bus_WrAck,
bus_address => Bus2MAC_REG_Addr( C_MAC_REG_PLB_AWIDTH-1 downto 0 ),
bus_byteenable => Bus2MAC_REG_BE_s( (C_MAC_REG_PLB_DWIDTH/8)-1 downto 0 ),
bus_read => Bus2MAC_REG_RNW,
bus_readdata => MAC_REG2Bus_Data( C_MAC_REG_PLB_DWIDTH-1 downto 0 ),
bus_select => Bus2MAC_REG_CS(1),
bus_write => Bus2MAC_REG_RNW_n,
bus_writedata => Bus2MAC_REG_Data( C_MAC_REG_PLB_DWIDTH-1 downto 0 ),
clk => clk50,
rst => rst,
s_address => mac_address( C_MAC_REG_PLB_AWIDTH-1 downto 0 ),
s_byteenable => mac_byteenable,
s_chipselect => mac_chipselect,
s_read => mac_read,
s_readdata => mac_readdata,
s_waitrequest => mac_waitrequest,
s_write => mac_write,
s_writedata => mac_writedata
);
MAC_REG_PLB_SINGLE_SLAVE : plbv46_slave_single
generic map (
C_ARD_ADDR_RANGE_ARRAY => (C_MAC_REG_BASE,C_MAC_REG_HIGH,C_MAC_CMP_BASE,C_MAC_CMP_HIGH),
C_ARD_NUM_CE_ARRAY => (1, 1),
C_BUS2CORE_CLK_RATIO => C_MAC_REG_BUS2CORE_CLK_RATIO,
C_FAMILY => C_FAMILY,
C_INCLUDE_DPHASE_TIMER => 0,
C_SIPIF_DWIDTH => C_MAC_REG_PLB_DWIDTH,
C_SPLB_AWIDTH => C_MAC_REG_PLB_AWIDTH,
C_SPLB_DWIDTH => C_MAC_REG_PLB_DWIDTH,
C_SPLB_MID_WIDTH => C_MAC_REG_PLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_MAC_REG_PLB_NUM_MASTERS,
C_SPLB_P2P => C_MAC_REG_PLB_P2P
)
port map(
Bus2IP_Addr => Bus2MAC_REG_Addr( C_MAC_REG_PLB_AWIDTH-1 downto 0 ),
Bus2IP_BE => Bus2MAC_REG_BE( (C_MAC_REG_PLB_DWIDTH/8)-1 downto 0 ),
Bus2IP_CS => Bus2MAC_REG_CS( 1 downto 0 ),
Bus2IP_Clk => Bus2MAC_REG_Clk,
Bus2IP_Data => Bus2MAC_REG_Data( C_MAC_REG_PLB_DWIDTH-1 downto 0 ),
Bus2IP_RNW => Bus2MAC_REG_RNW,
Bus2IP_Reset => Bus2MAC_REG_Reset,
IP2Bus_Data => IP2Bus_Data_s( C_MAC_REG_PLB_DWIDTH-1 downto 0 ),
IP2Bus_Error => IP2Bus_Error_s,
IP2Bus_RdAck => IP2Bus_RrAck_s,
IP2Bus_WrAck => IP2Bus_WrAck_s,
PLB_ABus => MAC_REG_ABus,
PLB_BE => MAC_REG_BE( 0 to (C_MAC_REG_PLB_DWIDTH / 8) - 1 ),
PLB_MSize => MAC_REG_MSize,
PLB_PAValid => MAC_REG_PAValid,
PLB_RNW => MAC_REG_RNW,
PLB_SAValid => MAC_REG_SAValid,
PLB_TAttribute => MAC_REG_TAttribute,
PLB_UABus => MAC_REG_UABus,
PLB_abort => MAC_REG_abort,
PLB_busLock => MAC_REG_busLock,
PLB_lockErr => MAC_REG_lockErr,
PLB_masterID => MAC_REG_masterID( 0 to C_MAC_REG_PLB_MID_WIDTH - 1 ),
PLB_rdBurst => MAC_REG_rdBurst,
PLB_rdPendPri => MAC_REG_rdPendPri,
PLB_rdPendReq => MAC_REG_rdPendReq,
PLB_rdPrim => MAC_REG_rdPrim,
PLB_reqPri => MAC_REG_reqPri,
PLB_size => MAC_REG_size,
PLB_type => MAC_REG_type,
PLB_wrBurst => MAC_REG_wrBurst,
PLB_wrDBus => MAC_REG_wrDBus( 0 to C_MAC_REG_PLB_DWIDTH - 1 ),
PLB_wrPendPri => MAC_REG_wrPendPri,
PLB_wrPendReq => MAC_REG_wrPendReq,
PLB_wrPrim => MAC_REG_wrPrim,
SPLB_Clk => MAC_REG_Clk,
SPLB_Rst => MAC_REG_Rst,
Sl_MBusy => MAC_REG_MBusy( 0 to C_MAC_REG_NUM_MASTERS-1 ),
Sl_MIRQ => MAC_REG_MIRQ( 0 to C_MAC_REG_NUM_MASTERS-1 ),
Sl_MRdErr => MAC_REG_MRdErr( 0 to C_MAC_REG_NUM_MASTERS-1 ),
Sl_MWrErr => MAC_REG_MWrErr( 0 to C_MAC_REG_NUM_MASTERS-1 ),
Sl_SSize => MAC_REG_SSize,
Sl_addrAck => MAC_REG_addrAck,
Sl_rdBTerm => MAC_REG_rdBTerm,
Sl_rdComp => MAC_REG_rdComp,
Sl_rdDAck => MAC_REG_rdDAck,
Sl_rdDBus => MAC_REG_rdDBus( 0 to C_MAC_REG_PLB_DWIDTH-1 ),
Sl_rdWdAddr => MAC_REG_rdWdAddr,
Sl_rearbitrate => MAC_REG_rearbitrate,
Sl_wait => MAC_REG_wait,
Sl_wrBTerm => MAC_REG_wrBTerm,
Sl_wrComp => MAC_REG_wrComp,
Sl_wrDAck => MAC_REG_wrDAck
);
THE_POWERLINK_IP_CORE : powerlink
generic map (
Simulate => false,
endian_g => "big",
gNumSmi => C_NUM_SMI,
genABuf1_g => C_PDI_GEN_ASYNC_BUF_0,
genABuf2_g => C_PDI_GEN_ASYNC_BUF_1,
genEvent_g => C_PDI_GEN_EVENT,
genInternalAp_g => C_GEN_PLB_BUS_IF,
genIoBuf_g => false,
genLedGadget_g => C_PDI_GEN_LED,
genOnePdiClkDomain_g => false,
genPdi_g => C_GEN_PDI,
genSimpleIO_g => C_GEN_SIMPLE_IO,
genSmiIO => false,
genSpiAp_g => C_GEN_SPI_IF,
genTimeSync_g => C_PDI_GEN_TIME_SYNC,
gen_dma_observer_g => C_OBSERVER_ENABLE,
iAsyBuf1Size_g => C_PDI_ASYNC_BUF_0,
iAsyBuf2Size_g => C_PDI_ASYNC_BUF_1,
iBufSizeLOG2_g => C_MAC_PKT_SIZE_LOG2,
iBufSize_g => C_MAC_PKT_SIZE,
iPdiRev_g => 2,
iRpdo0BufSize_g => C_RPDO_0_BUF_SIZE,
iRpdo1BufSize_g => C_RPDO_1_BUF_SIZE,
iRpdo2BufSize_g => C_RPDO_2_BUF_SIZE,
iRpdos_g => C_NUM_RPDO,
iTpdoBufSize_g => C_TPDO_BUF_SIZE,
iTpdos_g => C_NUM_TPDO,
m_burstcount_const_g => true,
m_burstcount_width_g => C_M_BURSTCOUNT_WIDTH,
m_data_width_g => 32,
m_rx_burst_size_g => C_MAC_DMA_BURST_SIZE_RX/4,
m_rx_fifo_size_g => C_M_FIFO_SIZE_RX,
m_tx_burst_size_g => C_MAC_DMA_BURST_SIZE_TX/4,
m_tx_fifo_size_g => C_M_FIFO_SIZE_TX,
papBigEnd_g => false,
papDataWidth_g => C_PAP_DATA_WIDTH,
papLowAct_g => C_PAP_LOW_ACT,
pioValLen_g => C_PIO_VAL_LENGTH,
spiBigEnd_g => false,
spiCPHA_g => C_SPI_CPHA,
spiCPOL_g => C_SPI_CPOL,
use2ndCmpTimer_g => C_PDI_GEN_SECOND_TIMER,
use2ndPhy_g => C_USE_2ND_PHY,
useIntPacketBuf_g => C_MAC_PKT_EN,
useRmii_g => C_USE_RMII,
useRxIntPacketBuf_g => C_MAC_PKT_RX_EN
)
port map(
mac_address(0) => mac_address(0),
mac_address(1) => mac_address(1),
mac_address(2) => mac_address(2),
mac_address(3) => mac_address(3),
mac_address(4) => mac_address(4),
mac_address(5) => mac_address(5),
mac_address(6) => mac_address(6),
mac_address(7) => mac_address(7),
mac_address(8) => mac_address(8),
mac_address(9) => mac_address(9),
mac_address(10) => mac_address(10),
mac_address(11) => mac_address(11),
m_address(0) => m_address(0),
m_address(1) => m_address(1),
m_address(2) => m_address(2),
m_address(3) => m_address(3),
m_address(4) => m_address(4),
m_address(5) => m_address(5),
m_address(6) => m_address(6),
m_address(7) => m_address(7),
m_address(8) => m_address(8),
m_address(9) => m_address(9),
m_address(10) => m_address(10),
m_address(11) => m_address(11),
m_address(12) => m_address(12),
m_address(13) => m_address(13),
m_address(14) => m_address(14),
m_address(15) => m_address(15),
m_address(16) => m_address(16),
m_address(17) => m_address(17),
m_address(18) => m_address(18),
m_address(19) => m_address(19),
m_address(20) => m_address(20),
m_address(21) => m_address(21),
m_address(22) => m_address(22),
m_address(23) => m_address(23),
m_address(24) => m_address(24),
m_address(25) => m_address(25),
m_address(26) => m_address(26),
m_address(27) => m_address(27),
m_address(28) => m_address(28),
m_address(29) => m_address(29),
ap_address => ap_address,
ap_asyncIrq => ap_asyncIrq,
ap_asyncIrq_n => ap_asyncIrq_n,
ap_byteenable => ap_byteenable,
ap_chipselect => ap_chipselect,
ap_read => ap_read,
ap_readdata => ap_readdata,
ap_syncIrq => ap_syncIrq,
ap_syncIrq_n => ap_syncIrq_n,
ap_waitrequest => ap_waitrequest,
ap_write => ap_write,
ap_writedata => ap_writedata,
clk50 => clk50,
clkAp => clkAp,
clkEth => clk100,
clkPcp => clkPcp,
led_error => led_error,
led_gpo => led_gpo,
led_opt => led_opt,
led_phyAct => led_phyAct,
led_phyLink => led_phyLink,
led_status => led_status,
m_burstcount => m_burstcount( C_M_BURSTCOUNT_WIDTH-1 downto 0 ),
m_burstcounter => m_burstcounter( C_M_BURSTCOUNT_WIDTH-1 downto 0 ),
m_byteenable => m_byteenable( 3 downto 0 ),
m_clk => m_clk,
m_read => m_read,
m_readdata => m_readdata( 31 downto 0 ),
m_readdatavalid => m_readdatavalid,
m_waitrequest => m_waitrequest,
m_write => m_write,
m_writedata => m_writedata( 31 downto 0 ),
mac_byteenable => mac_byteenable,
mac_chipselect => mac_chipselect,
mac_irq => mac_irq_s,
mac_read => mac_read,
mac_readdata => mac_readdata,
mac_waitrequest => mac_waitrequest,
mac_write => mac_write,
mac_writedata => mac_writedata,
mbf_address => mbf_address( C_MAC_PKT_SIZE_LOG2-3 downto 0 ),
mbf_byteenable => mbf_byteenable,
mbf_chipselect => mbf_chipselect,
mbf_read => mbf_read,
mbf_readdata => mbf_readdata,
mbf_waitrequest => mbf_waitrequest,
mbf_write => mbf_write,
mbf_writedata => mbf_writedata,
pap_ack => pap_ack,
pap_ack_n => pap_ack_n,
pap_addr => pap_addr,
pap_be => pap_be( C_PAP_DATA_WIDTH/8-1 downto 0 ),
pap_be_n => pap_be_n( C_PAP_DATA_WIDTH/8-1 downto 0 ),
pap_cs => pap_cs,
pap_cs_n => pap_cs_n,
pap_data_I => pap_data_I( C_PAP_DATA_WIDTH-1 downto 0 ),
pap_data_O => pap_data_O( C_PAP_DATA_WIDTH-1 downto 0 ),
pap_data_T => pap_data_T,
pap_gpio_I => pap_gpio_I,
pap_gpio_O => pap_gpio_O,
pap_gpio_T => pap_gpio_T,
pap_rd => pap_rd,
pap_rd_n => pap_rd_n,
pap_wr => pap_wr,
pap_wr_n => pap_wr_n,
pcp_address => pcp_address,
pcp_byteenable => pcp_byteenable,
pcp_chipselect => pcp_chipselect,
pcp_read => pcp_read,
pcp_readdata => pcp_readdata,
pcp_waitrequest => pcp_waitrequest,
pcp_write => pcp_write,
pcp_writedata => pcp_writedata,
phy0_Rst_n => phy0_Rst_n,
phy0_RxDat => phy0_RxDat,
phy0_RxDv => phy0_RxDv,
phy0_RxErr => phy0_RxErr,
phy0_SMIClk => phy0_SMIClk,
phy0_SMIDat_I => phy0_SMIDat_I,
phy0_SMIDat_O => phy0_SMIDat_O,
phy0_SMIDat_T => phy0_SMIDat_T,
phy0_TxDat => phy0_TxDat,
phy0_TxEn => phy0_TxEn,
phy0_link => phy0_link,
phy1_Rst_n => phy1_Rst_n,
phy1_RxDat => phy1_RxDat,
phy1_RxDv => phy1_RxDv,
phy1_RxErr => phy1_RxErr,
phy1_SMIClk => phy1_SMIClk,
phy1_SMIDat_I => phy1_SMIDat_I,
phy1_SMIDat_O => phy1_SMIDat_O,
phy1_SMIDat_T => phy1_SMIDat_T,
phy1_TxDat => phy1_TxDat,
phy1_TxEn => phy1_TxEn,
phy1_link => phy1_link,
phyMii0_RxClk => phyMii0_RxClk,
phyMii0_RxDat => phyMii0_RxDat,
phyMii0_RxDv => phyMii0_RxDv,
phyMii0_RxEr => phyMii0_RxEr,
phyMii0_TxClk => phyMii0_TxClk,
phyMii0_TxDat => phyMii0_TxDat,
phyMii0_TxEn => phyMii0_TxEn,
phyMii0_TxEr => phyMii0_TxEr,
phyMii1_RxClk => phyMii1_RxClk,
phyMii1_RxDat => phyMii1_RxDat,
phyMii1_RxDv => phyMii1_RxDv,
phyMii1_RxEr => phyMii1_RxEr,
phyMii1_TxClk => phyMii1_TxClk,
phyMii1_TxDat => phyMii1_TxDat,
phyMii1_TxEn => phyMii1_TxEn,
phyMii1_TxEr => phyMii1_TxEr,
phy_Rst_n => phy_Rst_n,
phy_SMIClk => phy_SMIClk,
phy_SMIDat_I => phy_SMIDat_I,
phy_SMIDat_O => phy_SMIDat_O,
phy_SMIDat_T => phy_SMIDat_T,
pio_operational => pio_operational,
pio_pconfig => pio_pconfig,
pio_portInLatch => pio_portInLatch,
pio_portOutValid => pio_portOutValid,
pio_portio_I => pio_portio_I,
pio_portio_O => pio_portio_O,
pio_portio_T => pio_portio_T,
pkt_clk => pkt_clk,
rst => rst,
rstAp => rstAp,
rstPcp => rstPcp,
smp_address => smp_address,
smp_byteenable => smp_byteenable,
smp_read => smp_read,
smp_readdata => smp_readdata,
smp_waitrequest => smp_waitrequest,
smp_write => smp_write,
smp_writedata => smp_writedata,
spi_clk => spi_clk,
spi_miso => spi_miso,
spi_mosi => spi_mosi,
spi_sel_n => spi_sel_n,
tcp_address => tcp_address,
tcp_byteenable => tcp_byteenable,
tcp_chipselect => tcp_chipselect,
tcp_irq => tcp_irq_s,
tcp_read => tcp_read,
tcp_readdata => tcp_readdata,
tcp_waitrequest => tcp_waitrequest,
tcp_write => tcp_write,
tcp_writedata => tcp_writedata
);
phy0_clk <= clk50;
rst <= Bus2MAC_REG_Reset or Bus2MAC_CMP_Reset or MAC_DMA_RST or Bus2MAC_PKT_Reset;
Bus2MAC_REG_RNW_n <= not(Bus2MAC_REG_RNW);
phy1_clk <= clk50;
---- Power , ground assignment ----
GND <= GND_CONSTANT;
MAC_REG2Bus_Error <= GND;
---- Terminal assignment ----
-- Output\buffer terminals
mac_irq <= mac_irq_s;
tcp_irq <= tcp_irq_s;
---- Generate statements ----
genMacDmaPlbBurst : if C_DMA_EN = TRUE generate
begin
MAC_DMA_PLB_BURST_MASTER : plbv46_master_burst
generic map (
C_FAMILY => C_FAMILY,
C_INHIBIT_CC_BLE_INCLUSION => 1,
C_MPLB_AWIDTH => C_MAC_DMA_PLB_AWIDTH,
C_MPLB_DWIDTH => C_MAC_DMA_PLB_DWIDTH,
C_MPLB_NATIVE_DWIDTH => C_MAC_DMA_PLB_NATIVE_DWIDTH,
C_MPLB_SMALLEST_SLAVE => 32
)
port map(
Bus2IP_MstRd_d => Bus2MAC_DMA_MstRd_d( 0 to C_MAC_DMA_PLB_NATIVE_DWIDTH-1 ),
Bus2IP_MstRd_eof_n => Bus2MAC_DMA_MstRd_eof_n,
Bus2IP_MstRd_rem => Bus2MAC_DMA_MstRd_rem( 0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1 ),
Bus2IP_MstRd_sof_n => Bus2MAC_DMA_MstRd_sof_n,
Bus2IP_MstRd_src_dsc_n => Bus2MAC_DMA_MstRd_src_dsc_n,
Bus2IP_MstRd_src_rdy_n => Bus2MAC_DMA_MstRd_src_rdy_n,
Bus2IP_MstWr_dst_dsc_n => Bus2MAC_DMA_MstWr_dst_dsc_n,
Bus2IP_MstWr_dst_rdy_n => Bus2MAC_DMA_MstWr_dst_rdy_n,
Bus2IP_Mst_CmdAck => Bus2MAC_DMA_Mst_CmdAck,
Bus2IP_Mst_Cmd_Timeout => Bus2MAC_DMA_Mst_Cmd_Timeout,
Bus2IP_Mst_Cmplt => Bus2MAC_DMA_Mst_Cmplt,
Bus2IP_Mst_Error => Bus2MAC_DMA_Mst_Error,
Bus2IP_Mst_Rearbitrate => Bus2MAC_DMA_Mst_Rearbitrate,
IP2Bus_MstRd_Req => MAC_DMA2Bus_MstRd_Req,
IP2Bus_MstRd_dst_dsc_n => MAC_DMA2Bus_MstRd_dst_dsc_n,
IP2Bus_MstRd_dst_rdy_n => MAC_DMA2Bus_MstRd_dst_rdy_n,
IP2Bus_MstWr_Req => MAC_DMA2Bus_MstWr_Req,
IP2Bus_MstWr_d => MAC_DMA2Bus_MstWr_d( 0 to C_MAC_DMA_PLB_NATIVE_DWIDTH-1 ),
IP2Bus_MstWr_eof_n => MAC_DMA2Bus_MstWr_eof_n,
IP2Bus_MstWr_rem => MAC_DMA2Bus_MstWr_rem( 0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1 ),
IP2Bus_MstWr_sof_n => MAC_DMA2Bus_MstWr_sof_n,
IP2Bus_MstWr_src_dsc_n => MAC_DMA2Bus_MstWr_src_dsc_n,
IP2Bus_MstWr_src_rdy_n => MAC_DMA2Bus_MstWr_src_rdy_n,
IP2Bus_Mst_Addr => MAC_DMA2Bus_Mst_Addr( 0 to C_MAC_DMA_PLB_AWIDTH-1 ),
IP2Bus_Mst_BE => MAC_DMA2Bus_Mst_BE( 0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1 ),
IP2Bus_Mst_Length => MAC_DMA2Bus_Mst_Length,
IP2Bus_Mst_Lock => MAC_DMA2Bus_Mst_Lock,
IP2Bus_Mst_Reset => MAC_DMA2Bus_Mst_Reset,
IP2Bus_Mst_Type => MAC_DMA2Bus_Mst_Type,
MD_Error => MAC_DMA_error,
MPLB_Clk => MAC_DMA_Clk,
MPLB_Rst => MAC_DMA_Rst,
M_ABus => MAC_DMA_ABus,
M_BE => MAC_DMA_BE( 0 to (C_MAC_DMA_PLB_DWIDTH/8)-1 ),
M_MSize => MAC_DMA_MSize,
M_RNW => MAC_DMA_RNW,
M_TAttribute => MAC_DMA_TAttribute,
M_UABus => MAC_DMA_UABus,
M_abort => MAC_DMA_abort,
M_busLock => MAC_DMA_busLock,
M_lockErr => MAC_DMA_lockErr,
M_priority => MAC_DMA_priority,
M_rdBurst => MAC_DMA_rdBurst,
M_request => MAC_DMA_request,
M_size => MAC_DMA_size,
M_type => MAC_DMA_type,
M_wrBurst => MAC_DMA_wrBurst,
M_wrDBus => MAC_DMA_wrDBus( 0 to C_MAC_DMA_PLB_DWIDTH-1 ),
PLB_MAddrAck => MAC_DMA_MAddrAck,
PLB_MBusy => MAC_DMA_MBusy,
PLB_MIRQ => MAC_DMA_MIRQ,
PLB_MRdBTerm => MAC_DMA_MRdBTerm,
PLB_MRdDAck => MAC_DMA_MRdDAck,
PLB_MRdDBus => MAC_DMA_MRdDBus( 0 to C_MAC_DMA_PLB_DWIDTH-1 ),
PLB_MRdErr => MAC_DMA_MRdErr,
PLB_MRdWdAddr => MAC_DMA_MRdWdAddr,
PLB_MRearbitrate => MAC_DMA_MRearbitrate,
PLB_MSSize => MAC_DMA_MSSize,
PLB_MTimeout => MAC_DMA_MTimeout,
PLB_MWrBTerm => MAC_DMA_MWrBTerm,
PLB_MWrDAck => MAC_DMA_MWrDAck,
PLB_MWrErr => MAC_DMA_MWrErr
);
end generate genMacDmaPlbBurst;
genThePlbMaster : if C_DMA_EN = TRUE generate
begin
THE_IPIF_MASTER_HANDLER : ipif_master_handler
generic map (
dma_highadr_g => m_address'high,
gen_rx_fifo_g => not C_RX_INT_PKT,
gen_tx_fifo_g => not C_TX_INT_PKT,
m_burstcount_width_g => C_M_BURSTCOUNT_WIDTH
)
port map(
Bus2MAC_DMA_MstRd_d => Bus2MAC_DMA_MstRd_d( 0 to C_MAC_DMA_PLB_NATIVE_DWIDTH-1 ),
Bus2MAC_DMA_MstRd_eof_n => Bus2MAC_DMA_MstRd_eof_n,
Bus2MAC_DMA_MstRd_rem => Bus2MAC_DMA_MstRd_rem( 0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1 ),
Bus2MAC_DMA_MstRd_sof_n => Bus2MAC_DMA_MstRd_sof_n,
Bus2MAC_DMA_MstRd_src_dsc_n => Bus2MAC_DMA_MstRd_src_dsc_n,
Bus2MAC_DMA_MstRd_src_rdy_n => Bus2MAC_DMA_MstRd_src_rdy_n,
Bus2MAC_DMA_MstWr_dst_dsc_n => Bus2MAC_DMA_MstWr_dst_dsc_n,
Bus2MAC_DMA_MstWr_dst_rdy_n => Bus2MAC_DMA_MstWr_dst_rdy_n,
Bus2MAC_DMA_Mst_CmdAck => Bus2MAC_DMA_Mst_CmdAck,
Bus2MAC_DMA_Mst_Cmd_Timeout => Bus2MAC_DMA_Mst_Cmd_Timeout,
Bus2MAC_DMA_Mst_Cmplt => Bus2MAC_DMA_Mst_Cmplt,
Bus2MAC_DMA_Mst_Error => Bus2MAC_DMA_Mst_Error,
Bus2MAC_DMA_Mst_Rearbitrate => Bus2MAC_DMA_Mst_Rearbitrate,
MAC_DMA2Bus_MstRd_Req => MAC_DMA2Bus_MstRd_Req,
MAC_DMA2Bus_MstRd_dst_dsc_n => MAC_DMA2Bus_MstRd_dst_dsc_n,
MAC_DMA2Bus_MstRd_dst_rdy_n => MAC_DMA2Bus_MstRd_dst_rdy_n,
MAC_DMA2Bus_MstWr_Req => MAC_DMA2Bus_MstWr_Req,
MAC_DMA2Bus_MstWr_d => MAC_DMA2Bus_MstWr_d( 0 to C_MAC_DMA_PLB_NATIVE_DWIDTH-1 ),
MAC_DMA2Bus_MstWr_eof_n => MAC_DMA2Bus_MstWr_eof_n,
MAC_DMA2Bus_MstWr_rem => MAC_DMA2Bus_MstWr_rem( 0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1 ),
MAC_DMA2Bus_MstWr_sof_n => MAC_DMA2Bus_MstWr_sof_n,
MAC_DMA2Bus_MstWr_src_dsc_n => MAC_DMA2Bus_MstWr_src_dsc_n,
MAC_DMA2Bus_MstWr_src_rdy_n => MAC_DMA2Bus_MstWr_src_rdy_n,
MAC_DMA2Bus_Mst_Addr => MAC_DMA2Bus_Mst_Addr( 0 to C_MAC_DMA_PLB_AWIDTH-1 ),
MAC_DMA2Bus_Mst_BE => MAC_DMA2Bus_Mst_BE( 0 to (C_MAC_DMA_PLB_NATIVE_DWIDTH/8)-1 ),
MAC_DMA2Bus_Mst_Length => MAC_DMA2Bus_Mst_Length,
MAC_DMA2Bus_Mst_Lock => MAC_DMA2Bus_Mst_Lock,
MAC_DMA2Bus_Mst_Reset => MAC_DMA2Bus_Mst_Reset,
MAC_DMA2Bus_Mst_Type => MAC_DMA2Bus_Mst_Type,
MAC_DMA_CLK => MAC_DMA_CLK,
MAC_DMA_Rst => MAC_DMA_Rst,
m_address => m_address( 31 downto 0 ),
m_burstcount => m_burstcount( C_M_BURSTCOUNT_WIDTH-1 downto 0 ),
m_burstcounter => m_burstcounter( C_M_BURSTCOUNT_WIDTH-1 downto 0 ),
m_byteenable => m_byteenable,
m_clk => m_clk,
m_read => m_read,
m_readdata => m_readdata,
m_readdatavalid => m_readdatavalid,
m_waitrequest => m_waitrequest,
m_write => m_write,
m_writedata => m_writedata
);
end generate genThePlbMaster;
genMacPktPLbSingleSlave : if C_PKT_BUF_EN generate
begin
MAC_PKT_PLB_SINGLE_SLAVE : plbv46_slave_single
generic map (
C_ARD_ADDR_RANGE_ARRAY => (C_MAC_PKT_BASE,C_MAC_PKT_HIGH),
C_ARD_NUM_CE_ARRAY => (0 => 1),
C_BUS2CORE_CLK_RATIO => 1,
C_FAMILY => C_FAMILY,
C_INCLUDE_DPHASE_TIMER => 0,
C_SIPIF_DWIDTH => C_MAC_PKT_PLB_DWIDTH,
C_SPLB_AWIDTH => C_MAC_PKT_PLB_AWIDTH,
C_SPLB_DWIDTH => C_MAC_PKT_PLB_DWIDTH,
C_SPLB_MID_WIDTH => C_MAC_PKT_PLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_MAC_PKT_PLB_NUM_MASTERS,
C_SPLB_P2P => C_MAC_PKT_PLB_P2P
)
port map(
Bus2IP_Addr => Bus2MAC_PKT_Addr( C_MAC_PKT_PLB_AWIDTH-1 downto 0 ),
Bus2IP_BE => Bus2MAC_PKT_BE( (C_MAC_PKT_PLB_DWIDTH/8)-1 downto 0 ),
Bus2IP_CS => Bus2MAC_PKT_CS( 0 downto 0 ),
Bus2IP_Clk => Bus2MAC_PKT_Clk,
Bus2IP_Data => Bus2MAC_PKT_Data( C_MAC_PKT_PLB_DWIDTH-1 downto 0 ),
Bus2IP_RNW => Bus2MAC_PKT_RNW,
Bus2IP_Reset => Bus2MAC_PKT_Reset,
IP2Bus_Data => MAC_PKT2Bus_Data( C_MAC_PKT_PLB_DWIDTH-1 downto 0 ),
IP2Bus_Error => MAC_PKT2Bus_Error,
IP2Bus_RdAck => MAC_PKT2Bus_RdAck,
IP2Bus_WrAck => MAC_PKT2Bus_WrAck,
PLB_ABus => MAC_PKT_ABus,
PLB_BE => MAC_PKT_BE( 0 to (C_MAC_PKT_PLB_DWIDTH/8)-1 ),
PLB_MSize => MAC_PKT_MSize,
PLB_PAValid => MAC_PKT_PAValid,
PLB_RNW => MAC_PKT_RNW,
PLB_SAValid => MAC_PKT_SAValid,
PLB_TAttribute => MAC_PKT_TAttribute,
PLB_UABus => MAC_PKT_UABus,
PLB_abort => MAC_PKT_abort,
PLB_busLock => MAC_PKT_busLock,
PLB_lockErr => MAC_PKT_lockErr,
PLB_masterID => MAC_PKT_masterID( 0 to C_MAC_PKT_PLB_MID_WIDTH-1 ),
PLB_rdBurst => MAC_PKT_rdBurst,
PLB_rdPendPri => MAC_PKT_rdPendPri,
PLB_rdPendReq => MAC_PKT_rdPendReq,
PLB_rdPrim => MAC_PKT_rdPrim,
PLB_reqPri => MAC_PKT_reqPri,
PLB_size => MAC_PKT_size,
PLB_type => MAC_PKT_type,
PLB_wrBurst => MAC_PKT_wrBurst,
PLB_wrDBus => MAC_PKT_wrDBus( 0 to C_MAC_PKT_PLB_DWIDTH-1 ),
PLB_wrPendPri => MAC_PKT_wrPendPri,
PLB_wrPendReq => MAC_PKT_wrPendReq,
PLB_wrPrim => MAC_PKT_wrPrim,
SPLB_Clk => MAC_PKT_Clk,
SPLB_Rst => MAC_PKT_Rst,
Sl_MBusy => MAC_PKT_MBusy( 0 to C_MAC_PKT_NUM_MASTERS-1 ),
Sl_MIRQ => MAC_PKT_MIRQ( 0 to C_MAC_PKT_NUM_MASTERS-1 ),
Sl_MRdErr => MAC_PKT_MRdErr( 0 to C_MAC_PKT_NUM_MASTERS-1 ),
Sl_MWrErr => MAC_PKT_MWrErr( 0 to C_MAC_PKT_NUM_MASTERS-1 ),
Sl_SSize => MAC_PKT_SSize,
Sl_addrAck => MAC_PKT_addrAck,
Sl_rdBTerm => MAC_PKT_rdBTerm,
Sl_rdComp => MAC_PKT_rdComp,
Sl_rdDAck => MAC_PKT_rdDAck,
Sl_rdDBus => MAC_PKT_rdDBus( 0 to C_MAC_PKT_PLB_DWIDTH-1 ),
Sl_rdWdAddr => MAC_PKT_rdWdAddr,
Sl_rearbitrate => MAC_PKT_rearbitrate,
Sl_wait => MAC_PKT_wait,
Sl_wrBTerm => MAC_PKT_wrBTerm,
Sl_wrComp => MAC_PKT_wrComp,
Sl_wrDAck => MAC_PKT_wrDAck
);
end generate genMacPktPLbSingleSlave;
genPdiPcp : if (C_GEN_PDI) generate
begin
PDI_PCP_PLB_SINGLE_SLAVE : plbv46_slave_single
generic map (
C_ARD_ADDR_RANGE_ARRAY => (C_PDI_PCP_BASE,C_PDI_PCP_HIGH),
C_ARD_NUM_CE_ARRAY => (0 => 1),
C_BUS2CORE_CLK_RATIO => 1,
C_FAMILY => C_FAMILY,
C_INCLUDE_DPHASE_TIMER => 0,
C_SIPIF_DWIDTH => C_PDI_PCP_PLB_DWIDTH,
C_SPLB_AWIDTH => C_PDI_PCP_PLB_AWIDTH,
C_SPLB_DWIDTH => C_PDI_PCP_PLB_DWIDTH,
C_SPLB_MID_WIDTH => C_PDI_PCP_PLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_PDI_PCP_PLB_NUM_MASTERS,
C_SPLB_P2P => C_PDI_PCP_PLB_P2P
)
port map(
Bus2IP_Addr => Bus2PDI_PCP_Addr( C_PDI_PCP_PLB_AWIDTH-1 downto 0 ),
Bus2IP_BE => Bus2PDI_PCP_BE( (C_PDI_PCP_PLB_DWIDTH/8)-1 downto 0 ),
Bus2IP_CS => Bus2PDI_PCP_CS( 0 downto 0 ),
Bus2IP_Clk => Bus2PDI_PCP_Clk,
Bus2IP_Data => Bus2PDI_PCP_Data( C_PDI_PCP_PLB_DWIDTH-1 downto 0 ),
Bus2IP_RNW => Bus2PDI_PCP_RNW,
Bus2IP_Reset => Bus2PDI_PCP_Reset,
IP2Bus_Data => PDI_PCP2Bus_Data( C_PDI_PCP_PLB_DWIDTH-1 downto 0 ),
IP2Bus_Error => PDI_PCP2Bus_Error,
IP2Bus_RdAck => PDI_PCP2Bus_RdAck,
IP2Bus_WrAck => PDI_PCP2Bus_WrAck,
PLB_ABus => PDI_PCP_ABus,
PLB_BE => PDI_PCP_BE( 0 to (C_PDI_PCP_PLB_DWIDTH/8)-1 ),
PLB_MSize => PDI_PCP_MSize,
PLB_PAValid => PDI_PCP_PAValid,
PLB_RNW => PDI_PCP_RNW,
PLB_SAValid => PDI_PCP_SAValid,
PLB_TAttribute => PDI_PCP_TAttribute,
PLB_UABus => PDI_PCP_UABus,
PLB_abort => PDI_PCP_abort,
PLB_busLock => PDI_PCP_busLock,
PLB_lockErr => PDI_PCP_lockErr,
PLB_masterID => PDI_PCP_masterID( 0 to C_PDI_PCP_PLB_MID_WIDTH-1 ),
PLB_rdBurst => PDI_PCP_rdBurst,
PLB_rdPendPri => PDI_PCP_rdPendPri,
PLB_rdPendReq => PDI_PCP_rdPendReq,
PLB_rdPrim => PDI_PCP_rdPrim,
PLB_reqPri => PDI_PCP_reqPri,
PLB_size => PDI_PCP_size,
PLB_type => PDI_PCP_type,
PLB_wrBurst => PDI_PCP_wrBurst,
PLB_wrDBus => PDI_PCP_wrDBus( 0 to C_PDI_PCP_PLB_DWIDTH-1 ),
PLB_wrPendPri => PDI_PCP_wrPendPri,
PLB_wrPendReq => PDI_PCP_wrPendReq,
PLB_wrPrim => PDI_PCP_wrPrim,
SPLB_Clk => PDI_PCP_Clk,
SPLB_Rst => PDI_PCP_Rst,
Sl_MBusy => PDI_PCP_MBusy( 0 to C_PDI_PCP_NUM_MASTERS-1 ),
Sl_MIRQ => PDI_PCP_MIRQ( 0 to C_PDI_PCP_NUM_MASTERS-1 ),
Sl_MRdErr => PDI_PCP_MRdErr( 0 to C_PDI_PCP_NUM_MASTERS-1 ),
Sl_MWrErr => PDI_PCP_MWrErr( 0 to C_PDI_PCP_NUM_MASTERS-1 ),
Sl_SSize => PDI_PCP_SSize,
Sl_addrAck => PDI_PCP_addrAck,
Sl_rdBTerm => PDI_PCP_rdBTerm,
Sl_rdComp => PDI_PCP_rdComp,
Sl_rdDAck => PDI_PCP_rdDAck,
Sl_rdDBus => PDI_PCP_rdDBus( 0 to C_PDI_PCP_PLB_DWIDTH-1 ),
Sl_rdWdAddr => PDI_PCP_rdWdAddr,
Sl_rearbitrate => PDI_PCP_rearbitrate,
Sl_wait => PDI_PCP_wait,
Sl_wrBTerm => PDI_PCP_wrBTerm,
Sl_wrComp => PDI_PCP_wrComp,
Sl_wrDAck => PDI_PCP_wrDAck
);
end generate genPdiPcp;
genPcpPdiLink : if C_GEN_PDI generate
begin
--pdi_pcp assignments
clkPcp <= Bus2PDI_PCP_Clk;
rstPcp <= Bus2PDI_PCP_Reset;
pcp_writedata <= Bus2PDI_PCP_Data;
-- Bus2MAC_PKT_Data(7 downto 0) & Bus2MAC_PKT_Data(15 downto 8) &
-- Bus2MAC_PKT_Data(23 downto 16) & Bus2MAC_PKT_Data(31 downto 24);
pcp_read <= Bus2PDI_PCP_RNW;
pcp_write <= not Bus2PDI_PCP_RNW;
pcp_chipselect <= Bus2PDI_PCP_CS(0);
pcp_byteenable <= Bus2PDI_PCP_BE;
pcp_address <= Bus2PDI_PCP_Addr(14 downto 2);
PDI_PCP2Bus_Data <= pcp_readdata;
-- mbf_readdata(7 downto 0) & mbf_readdata(15 downto 8) &
-- mbf_readdata(23 downto 16) & mbf_readdata(31 downto 24);
PDI_PCP2Bus_RdAck <= pcp_chipselect and pcp_read and not pcp_waitrequest;
PDI_PCP2Bus_WrAck <= pcp_chipselect and pcp_write and not pcp_waitrequest;
PDI_PCP2Bus_Error <= '0';
end generate genPcpPdiLink;
genPdiAp : if (C_GEN_PLB_BUS_IF) generate
begin
PDI_AP_PLB_SINGLE_SLAVE : plbv46_slave_single
generic map (
C_ARD_ADDR_RANGE_ARRAY => (C_PDI_AP_BASE,C_PDI_AP_HIGH),
C_ARD_NUM_CE_ARRAY => (0 => 1),
C_BUS2CORE_CLK_RATIO => 1,
C_FAMILY => C_FAMILY,
C_INCLUDE_DPHASE_TIMER => 0,
C_SIPIF_DWIDTH => C_PDI_AP_PLB_DWIDTH,
C_SPLB_AWIDTH => C_PDI_AP_PLB_AWIDTH,
C_SPLB_DWIDTH => C_PDI_AP_PLB_DWIDTH,
C_SPLB_MID_WIDTH => C_PDI_AP_PLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_PDI_AP_PLB_NUM_MASTERS,
C_SPLB_P2P => C_PDI_AP_PLB_P2P
)
port map(
Bus2IP_Addr => Bus2PDI_AP_Addr( C_PDI_AP_PLB_AWIDTH-1 downto 0 ),
Bus2IP_BE => Bus2PDI_AP_BE( (C_PDI_AP_PLB_DWIDTH/8)-1 downto 0 ),
Bus2IP_CS => Bus2PDI_AP_CS( 0 downto 0 ),
Bus2IP_Clk => Bus2PDI_AP_Clk,
Bus2IP_Data => Bus2PDI_AP_Data( C_PDI_AP_PLB_DWIDTH-1 downto 0 ),
Bus2IP_RNW => Bus2PDI_AP_RNW,
Bus2IP_Reset => Bus2PDI_AP_Reset,
IP2Bus_Data => PDI_AP2Bus_Data( C_PDI_AP_PLB_DWIDTH-1 downto 0 ),
IP2Bus_Error => PDI_AP2Bus_Error,
IP2Bus_RdAck => PDI_AP2Bus_RdAck,
IP2Bus_WrAck => PDI_AP2Bus_WrAck,
PLB_ABus => PDI_AP_ABus,
PLB_BE => PDI_AP_BE( 0 to (C_PDI_AP_PLB_DWIDTH/8)-1 ),
PLB_MSize => PDI_AP_MSize,
PLB_PAValid => PDI_AP_PAValid,
PLB_RNW => PDI_AP_RNW,
PLB_SAValid => PDI_AP_SAValid,
PLB_TAttribute => PDI_AP_TAttribute,
PLB_UABus => PDI_AP_UABus,
PLB_abort => PDI_AP_abort,
PLB_busLock => PDI_AP_busLock,
PLB_lockErr => PDI_AP_lockErr,
PLB_masterID => PDI_AP_masterID( 0 to C_PDI_AP_PLB_MID_WIDTH-1 ),
PLB_rdBurst => PDI_AP_rdBurst,
PLB_rdPendPri => PDI_AP_rdPendPri,
PLB_rdPendReq => PDI_AP_rdPendReq,
PLB_rdPrim => PDI_AP_rdPrim,
PLB_reqPri => PDI_AP_reqPri,
PLB_size => PDI_AP_size,
PLB_type => PDI_AP_type,
PLB_wrBurst => PDI_AP_wrBurst,
PLB_wrDBus => PDI_AP_wrDBus( 0 to C_PDI_AP_PLB_DWIDTH-1 ),
PLB_wrPendPri => PDI_AP_wrPendPri,
PLB_wrPendReq => PDI_AP_wrPendReq,
PLB_wrPrim => PDI_AP_wrPrim,
SPLB_Clk => PDI_AP_Clk,
SPLB_Rst => PDI_AP_Rst,
Sl_MBusy => PDI_AP_MBusy( 0 to C_PDI_AP_PLB_NUM_MASTERS-1 ),
Sl_MIRQ => PDI_AP_MIRQ( 0 to C_PDI_AP_PLB_NUM_MASTERS-1 ),
Sl_MRdErr => PDI_AP_MRdErr( 0 to C_PDI_AP_PLB_NUM_MASTERS-1 ),
Sl_MWrErr => PDI_AP_MWrErr( 0 to C_PDI_AP_PLB_NUM_MASTERS-1 ),
Sl_SSize => PDI_AP_SSize,
Sl_addrAck => PDI_AP_addrAck,
Sl_rdBTerm => PDI_AP_rdBTerm,
Sl_rdComp => PDI_AP_rdComp,
Sl_rdDAck => PDI_AP_rdDAck,
Sl_rdDBus => PDI_AP_rdDBus( 0 to C_PDI_AP_PLB_DWIDTH-1 ),
Sl_rdWdAddr => PDI_AP_rdWdAddr,
Sl_rearbitrate => PDI_AP_rearbitrate,
Sl_wait => PDI_AP_wait,
Sl_wrBTerm => PDI_AP_wrBTerm,
Sl_wrComp => PDI_AP_wrComp,
Sl_wrDAck => PDI_AP_wrDAck
);
end generate genPdiAp;
genApPdiLink : if C_GEN_PDI generate
begin
--ap_pcp assignments
clkAp <= Bus2PDI_AP_Clk;
rstAp <= Bus2PDI_AP_Reset;
ap_writedata <= Bus2PDI_AP_Data;
-- Bus2MAC_PKT_Data(7 downto 0) & Bus2MAC_PKT_Data(15 downto 8) &
-- Bus2MAC_PKT_Data(23 downto 16) & Bus2MAC_PKT_Data(31 downto 24);
ap_read <= Bus2PDI_AP_RNW;
ap_write <= not Bus2PDI_AP_RNW;
ap_chipselect <= Bus2PDI_AP_CS(0);
ap_byteenable <= Bus2PDI_AP_BE;
ap_address <= Bus2PDI_AP_Addr(14 downto 2);
PDI_AP2Bus_Data <= ap_readdata;
-- mbf_readdata(7 downto 0) & mbf_readdata(15 downto 8) &
-- mbf_readdata(23 downto 16) & mbf_readdata(31 downto 24);
PDI_AP2Bus_RdAck <= ap_chipselect and ap_read and not ap_waitrequest;
PDI_AP2Bus_WrAck <= ap_chipselect and ap_write and not ap_waitrequest;
PDI_AP2Bus_Error <= '0';
end generate genApPdiLink;
genSimpleIoSignals : if C_GEN_SIMPLE_IO generate
begin
--SMP_PCP assignments
clkPcp <= Bus2SMP_PCP_Clk;
rstPcp <= Bus2SMP_PCP_Reset;
smp_writedata <= Bus2SMP_PCP_Data;
smp_read <= Bus2SMP_PCP_RNW and Bus2SMP_PCP_CS(0);
smp_write <= not Bus2SMP_PCP_RNW and Bus2SMP_PCP_CS(0);
smp_chipselect <= Bus2SMP_PCP_CS(0);
smp_byteenable <= Bus2SMP_PCP_BE;
smp_address <= Bus2SMP_PCP_Addr(2);
SMP_PCP2Bus_Data <= smp_readdata;
SMP_PCP2Bus_RdAck <= smp_chipselect and smp_read and not smp_waitrequest;
SMP_PCP2Bus_WrAck <= smp_chipselect and smp_write and not smp_waitrequest;
SMP_PCP2Bus_Error <= '0';
end generate genSimpleIoSignals;
genSmpIo : if (C_GEN_SIMPLE_IO) generate
begin
SMP_IO_PLB_SINGLE_SLAVE : plbv46_slave_single
generic map (
C_ARD_ADDR_RANGE_ARRAY => (C_SMP_PCP_BASE,C_SMP_PCP_HIGH),
C_ARD_NUM_CE_ARRAY => (0 => 1),
C_BUS2CORE_CLK_RATIO => 1,
C_FAMILY => C_FAMILY,
C_INCLUDE_DPHASE_TIMER => 0,
C_SIPIF_DWIDTH => C_SMP_PCP_PLB_DWIDTH,
C_SPLB_AWIDTH => C_SMP_PCP_PLB_AWIDTH,
C_SPLB_DWIDTH => C_SMP_PCP_PLB_DWIDTH,
C_SPLB_MID_WIDTH => C_SMP_PCP_PLB_MID_WIDTH,
C_SPLB_NUM_MASTERS => C_SMP_PCP_PLB_NUM_MASTERS,
C_SPLB_P2P => C_SMP_PCP_PLB_P2P
)
port map(
Bus2IP_Addr => Bus2SMP_PCP_Addr( C_SMP_PCP_PLB_AWIDTH-1 downto 0 ),
Bus2IP_BE => Bus2SMP_PCP_BE( (C_SMP_PCP_PLB_DWIDTH/8)-1 downto 0 ),
Bus2IP_CS => Bus2SMP_PCP_CS( 0 downto 0 ),
Bus2IP_Clk => Bus2SMP_PCP_Clk,
Bus2IP_Data => Bus2SMP_PCP_Data( C_SMP_PCP_PLB_DWIDTH-1 downto 0 ),
Bus2IP_RNW => Bus2SMP_PCP_RNW,
Bus2IP_Reset => Bus2SMP_PCP_Reset,
IP2Bus_Data => SMP_PCP2Bus_Data( C_SMP_PCP_PLB_DWIDTH-1 downto 0 ),
IP2Bus_Error => SMP_PCP2Bus_Error,
IP2Bus_RdAck => SMP_PCP2Bus_RdAck,
IP2Bus_WrAck => SMP_PCP2Bus_WrAck,
PLB_ABus => SMP_PCP_ABus,
PLB_BE => SMP_PCP_BE( 0 to (C_SMP_PCP_PLB_DWIDTH/8)-1 ),
PLB_MSize => SMP_PCP_MSize,
PLB_PAValid => SMP_PCP_PAValid,
PLB_RNW => SMP_PCP_RNW,
PLB_SAValid => SMP_PCP_SAValid,
PLB_TAttribute => SMP_PCP_TAttribute,
PLB_UABus => SMP_PCP_UABus,
PLB_abort => SMP_PCP_abort,
PLB_busLock => SMP_PCP_busLock,
PLB_lockErr => SMP_PCP_lockErr,
PLB_masterID => SMP_PCP_masterID( 0 to C_SMP_PCP_PLB_MID_WIDTH-1 ),
PLB_rdBurst => SMP_PCP_rdBurst,
PLB_rdPendPri => SMP_PCP_rdPendPri,
PLB_rdPendReq => SMP_PCP_rdPendReq,
PLB_rdPrim => SMP_PCP_rdPrim,
PLB_reqPri => SMP_PCP_reqPri,
PLB_size => SMP_PCP_size,
PLB_type => SMP_PCP_type,
PLB_wrBurst => SMP_PCP_wrBurst,
PLB_wrDBus => SMP_PCP_wrDBus( 0 to C_SMP_PCP_PLB_DWIDTH-1 ),
PLB_wrPendPri => SMP_PCP_wrPendPri,
PLB_wrPendReq => SMP_PCP_wrPendReq,
PLB_wrPrim => SMP_PCP_wrPrim,
SPLB_Clk => SMP_PCP_Clk,
SPLB_Rst => SMP_PCP_Rst,
Sl_MBusy => SMP_PCP_MBusy( 0 to C_SMP_PCP_PLB_NUM_MASTERS-1 ),
Sl_MIRQ => SMP_PCP_MIRQ( 0 to C_SMP_PCP_PLB_NUM_MASTERS-1 ),
Sl_MRdErr => SMP_PCP_MRdErr( 0 to C_SMP_PCP_PLB_NUM_MASTERS-1 ),
Sl_MWrErr => SMP_PCP_MWrErr( 0 to C_SMP_PCP_PLB_NUM_MASTERS-1 ),
Sl_SSize => SMP_PCP_SSize,
Sl_addrAck => SMP_PCP_addrAck,
Sl_rdBTerm => SMP_PCP_rdBTerm,
Sl_rdComp => SMP_PCP_rdComp,
Sl_rdDAck => SMP_PCP_rdDAck,
Sl_rdDBus => SMP_PCP_rdDBus( 0 to C_SMP_PCP_PLB_DWIDTH-1 ),
Sl_rdWdAddr => SMP_PCP_rdWdAddr,
Sl_rearbitrate => SMP_PCP_rearbitrate,
Sl_wait => SMP_PCP_wait,
Sl_wrBTerm => SMP_PCP_wrBTerm,
Sl_wrComp => SMP_PCP_wrComp,
Sl_wrDAck => SMP_PCP_wrDAck
);
end generate genSmpIo;
end struct;
| gpl-2.0 | f99dba5edc46e42ba02228a05e84176c | 0.608236 | 2.995966 | false | false | false | false |
takeshineshiro/fpga_linear_128 | matchfilter_ast.vhd | 1 | 6,827 | -- ================================================================================
-- Legal Notice: Copyright (C) 1991-2006 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only to
-- program PLD devices (but not masked PLD devices) from Altera. Any other
-- use of such megafunction design, net list, support information, device
-- programming or simulation file, or any other related documentation or
-- information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to
-- the intellectual property, including patents, copyrights, trademarks,
-- trade secrets, or maskworks, embodied in any such megafunction design,
-- net list, support information, device programming or simulation file, or
-- any other related documentation or information provided by Altera or a
-- megafunction partner, remains with Altera, the megafunction partner, or
-- their respective licensors. No other licenses, including any licenses
-- needed under any third party's intellectual property, are provided herein.
-- ================================================================================
--
-- Generated by: FIR Compiler 9.0
-- Generated on: 2015-11-11 15:52:10
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library auk_dspip_lib;
use auk_dspip_lib.auk_dspip_lib_pkg_fir_90.all;
entity matchfilter_ast is
port(
clk : in std_logic;
reset_n : in std_logic;
ast_sink_ready : out std_logic;
ast_source_data : out std_logic_vector (30 -1 downto 0);
ast_sink_data : in std_logic_vector (15 -1 downto 0);
ast_sink_valid : in std_logic;
ast_source_valid : out std_logic;
ast_source_ready : in std_logic;
ast_sink_error : in std_logic_vector (1 downto 0);
ast_source_error : out std_logic_vector (1 downto 0)
);
attribute altera_attribute : string;
attribute altera_attribute of matchfilter_ast:entity is "-name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410; -name MESSAGE_DISABLE 10036";
end matchfilter_ast;
-- Warnings Suppression On
-- altera message_off 10036
architecture struct of matchfilter_ast is
signal sink_packet_error : std_logic_vector(1 downto 0);
signal data_in : std_logic_vector(15 -1 downto 0);
signal data_out : std_logic_vector(30 -1 downto 0);
signal core_out : std_logic_vector(30 -1 downto 0);
signal ready : std_logic;
signal reset_fir : std_logic;
signal sink_ready_ctrl : std_logic;
signal sink_stall : std_logic;
signal source_packet_error : std_logic_vector(1 downto 0);
signal source_stall : std_logic;
signal source_valid_ctrl : std_logic;
signal stall : std_logic;
signal valid : std_logic;
signal core_valid : std_logic;
signal enable_in : std_logic;
signal stall_delayed : std_logic;
constant ENABLE_PIPELINE_DEPTH_c : natural := 0;
component matchfilter_st is
port (
rst : in std_logic;
clk : in std_logic;
clk_en : in std_logic;
rdy_to_ld : out std_logic;
done : out std_logic;
data_in : in std_logic_vector(15 - 1 downto 0);
fir_result : out std_logic_vector(30 - 1 downto 0));
end component matchfilter_st;
begin
sink : auk_dspip_avalon_streaming_sink_fir_90
generic map (
WIDTH_g => 15,
PACKET_SIZE_g => 1,
FIFO_DEPTH_g => 7,
FAMILY_g => "Cyclone III",
MEM_TYPE_g => "Auto")
port map (
clk => clk,
reset_n => reset_n,
data => data_in,
sink_ready_ctrl => sink_ready_ctrl,
sink_stall => sink_stall,
packet_error => sink_packet_error,
at_sink_ready => ast_sink_ready,
at_sink_valid => ast_sink_valid,
at_sink_data => ast_sink_data,
at_sink_error => ast_sink_error);
source : auk_dspip_avalon_streaming_source_fir_90
generic map (
WIDTH_g => 30,
packet_size_g => 1)
port map (
clk => clk,
reset_n => reset_n,
data => data_out,
source_valid_ctrl => source_valid_ctrl,
design_stall => stall_delayed,
source_stall => source_stall,
packet_error => source_packet_error,
at_source_ready => ast_source_ready,
at_source_valid => ast_source_valid,
at_source_data => ast_source_data,
at_source_error => ast_source_error);
intf_ctrl : auk_dspip_avalon_streaming_controller_fir_90
port map (
clk => clk,
ready => ready,
reset_n => reset_n,
sink_packet_error => sink_packet_error,
sink_stall => sink_stall,
source_stall => source_stall,
valid => valid,
reset_design => reset_fir,
sink_ready_ctrl => sink_ready_ctrl,
source_packet_error => source_packet_error,
source_valid_ctrl => source_valid_ctrl,
stall => stall);
fircore: matchfilter_st
port map (
rst => reset_fir,
clk => clk,
clk_en => enable_in,
rdy_to_ld => ready,
done => core_valid,
data_in => data_in,
fir_result => core_out);
data_out <= core_out;
valid <= core_valid;
enable_in <= not stall;
no_enable_pipeline: if ENABLE_PIPELINE_DEPTH_c = 0 generate
stall_delayed <= stall;
end generate no_enable_pipeline;
enable_pipeline: if ENABLE_PIPELINE_DEPTH_c > 0 generate
delay_core_enable : process (clk, reset_n)
variable stall_delay : std_logic_vector(ENABLE_PIPELINE_DEPTH_c downto 0);
begin -- process delay_core_enable
if reset_n = '0' then
stall_delay := (others => '0');
elsif rising_edge(clk) then
stall_delay := stall_delay(stall_delay'high-1 downto 0) & stall;
end if;
stall_delayed <= stall_delay(stall_delay'high);
end process delay_core_enable;
end generate enable_pipeline;
end struct;
| mit | b8c4b6de82dd1fbfef346c04a261a240 | 0.60583 | 3.816098 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/wr_status_flags_ss.vhd | 6 | 27,791 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18832)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127Hta4W3Ox1Vi720yr4Lotvlr4
bW51TXgwTGVgDg+j7IxhXC4fRA8iA7XkkzlE7/4e6dHocZdnE0h3rRpNTcu9CRpZutuRI3Y2yq/t
ilNXBH2OVTspI0SGXYS53TcM98iaL6gedUrW1caGaLzHKwEUgxqf7HbsB0P0bk6vrgfwUYObO5nu
MUQRJtV6IWiwfqLphruFeSaOs4hwFDd954j2V1IQjZpy285ZzEA23otv7WejhW6G/1P7li+sGskR
uwsMvogOmqI9ZVWDioaDEfh/kejLj5fbw6sw7k1QMsAAJ3y4N6oRqotClZ86gQlJT08EwFA55Gg5
9XCkWiv356iPbSbjccHJ7cYsuJXbjaFy5j7YmHZoThktRFszu/koPMaivYECugKs6+jx0wRPPpvW
nCAqYbh95dyKDmcz/hegeykH4Sle2TsocFnUSclM7qO5UIEoBPoWbz7mfFbiqioUSYUFb6A8dAnT
7Vs72BgZZyG2lxehc8S5avV/8+7e7khT1aQJCOMStkazyeHxVM0HYalqML3nkGyUJTUI7cqsRypu
yGZLSe9yoyXxrGunt8eHl0q3/4LTdx5Ad4UIcHszlyYKwXVVSwaEyHZCcBGB427C52b7m3Wsvqyb
Oul56a4ruvbRZha/Do4RRg7fJ2siF4LHMrX5iwrS2JEsqpDQzGoc+4liWH2763RZcx2Df4O9Quav
qT4wWCqGjq1NSYHnyUDWcevSyDJTEuzy482BQ2wU6RAqs6WfihWQ4H0hfkW8/S5g7/oHTLk6XQ9n
8HHeR+v/MW94YJHp1wDJcMZuAQlw74yE0OhoBZYkgaUrPPmnUHIHuOlejbl4T1ncDuNXF9luUuZs
NnJ8/pTaK0fTCXpVxtiFDKC033kU80e8Co1I7Z/zIYfxFo6I2rsL91DKnd3DT2ocZH0sBtUTtws1
8B16jULvDSzmFSm+FvWDT1VUuue/Xmv3DwKKB+jJlHPq6f/n8jGjfah2DzqY5VMFcjb9RL7zUsDm
PsA6AYU94X9qVBJty3EFRra4eWPz7nqptXcKjBZ7DG5grY4pfqDKGMU8dibQdQSN0TwscNBg2WO+
t/3dEo9hFPkgd2Ixb4adHrbPLK66oeV0RKDK80jTpe5OMGxN4ADiF4HCNp3u7ZlbRpaLZVix+ilg
6RdRv71IqDHndSfzdh6XFQzbQBxWITDPOpIpyBERMNLgeKHsojDlUhVmLmZq5BYReZwlMR61TTJa
2mjo3pjLe6Q0wHa7wPrvDdfZ7cU6XSkDudNU0OC1EYZ8eDUxBGd/tI9DtR05R5DI25RwxBEe30KD
mZ50W0WGRXqLxp7LWSEqGiQOWTZHt7Bs9+UzdpfCmILtYcbVFYyYTuhdXyEkRWXwj6bNR5oeOx22
RzqEerR8U+AgwZc8JKCchtjX72kERUUopQPiJbWVgBCtIob84NeZa92tDKR1J5vVKlFRF/n8ONFM
+0zBvwJVd4ycqX5aA5E70C++gu9kONv0s1xCOKGBFC9wuRSXMAk3a4zCcK0h7V9It2cmhR5jER72
eUxJ187NcUOr/gctd6cRtGgLNRIEXC/Cn7zPg5ICrmKVUtIt3hMDnIF/QiT+lGbsHFO2o4F1Dl2K
OB87raJjLL5NjMPGav57u9Z8mol1E4hV66cJlBKcdMHiJi3UmxyZduKhfmFhhTIaSPndkqbHPBRg
ZqlRJnE/mS53vxZnLqQFcnpgAXgvIRsqFHLL09isiz+xtP/AYsu93qw+U8qKedvHsGUNFO0PdYmC
+r088wk4s/JwJM9oVjFlwPxqw5Ts0AksNKjU2e6x3s5PUIR902gaWC5K9KTI8/cI//nIvBr4eUsv
AccnyEMjcNtqO5OdfOWsStn57fNZEOBAYjUjIi6aPDmJqfkRCGhQhPUKPHokFS8eal0XT884Yq1U
7x8AQsgjVQU7mnuaP6c0Tcbl/PVUAjoejPp2KaA4uEEbbEcSbvgumYwP+6itXAh9Y2y9GXxq89dm
unC8+0QlCS+X2XMicQjWBfr2FplueFZrklj0uxKmjHKtzK9IfAzEr1iLJ2EAFZOJEGCGDPsqdjjq
MfS8UmU6/p/a9eGJgsGXQ4czM5fMnJh60cIME3y1+A8FGD7WdU7Q7DTUjLY6uALO4l3LOlr/YhFt
2Pf3/i8hrgFmHZUsNKPnshi1KZMHDQfVBo9fB+nJVco02o8DqblE2HpQAOjvJxHw4CBCvdj9PvMh
tXG0BnOongMPyPVD4Yl9WNScoLCpuYWqd9yq+cuahqtyiozB5zCKn6yKOf8pIpC7LprMP6JCQ+Sz
B6TaGlTBKn/B4Sn69KrnR221Ji0zT7H6zMfRmUEPYFsE+ti8PxEcJn3qzoAMTH/ay5f30X61crEe
aaw5okrwFbTbzScaE48hPOXD8C50kKAE0SpVIW83S/jDHEguTnIXDbtGHBTvpvKstPbDKJjRznsl
k66LENMhnHMkCBSbhuTPeDj7bdUhvbIG1PchXOUOcLcWWtgQJV0DhZmhzyUq5Grop9uu4S9DTjR7
iA1mYNqzoP9HPtrTWopSwMD+ZF6ybmzW6l6Cdh9vwd+62ytu6bWU8t0DwjwZgC5X4pIUppKL2mu6
FWZxbfy+amZMECE0iU0m0y+7g0U13PfSQh4rzSMLB8/GqQsqpnFw+r9xV+0b5/QtEpZIq/I2n0jB
GGGtE8ji3Q2/K1XZaw2acfNe0D3JRaetk4AihFM9pAT9mNI2kEnGkAfBTXLoNNotqLMGjQikUQzk
skRSLV/qSc7jEj0IY/Ktv3EvslrAGorylSKOK0fR6mz44w+wiFqB5/uvY4hqtyTvqiayeF1MIWKa
ZLswAXVk2RrOqMAEdTXlo6sjzLo6VotLqxInXg3SfC66FgdyQMisZquLEo+zU6siLeTTCihG4/jp
F+iC4rz1y3Vu5hngmdp7oKT6x04+bAYqkGuMMQdJqT85QE+MVsq0dlDfNa+IG+ioOveBpI0boI3B
8k1F3Q8X4O2bIGivWcZei3mSy04i+6jtofTDRrHm9OW9pHsEwWaN8yLbMtePPY7JeBmw9DwfE+3s
2Y1PY6wwLo990vyf6jasI30QVM70wvCJNOLlJypDkaRCVBpHDSBDSUsu9qpcN+thU6HgEnHCAMjR
H48BXIFQfqPl5GHPYfxrJ1vgS7/Urbqkro8dP5+qq7UEQWutfIMwN6/ySNcNWbMPmSE9sA4HTQaG
QIonnrlWWPCAXBB/cfI+aiUwb8N3fVCdrNrLuVRskH6TJxuwxWPnSBUNtGHQ7vofK+jabtHnEsZH
uVRUy4wwcYro/fqgVZFV+p7wvOHEw3JjlOtuAYAt9cbwMGH8q7QDsGwrNGJVpmCKFe/BgHoruRKG
xwPq5FV6QeNouYFXvqtl2ZRwkOkgmFIz1Q8pmpYtibjQkQfgVaKSoybdNBB22YHAZ5wSpdqscLBU
76c8Wcj06sv1yO82PzuXS+NeonVIiEUjlgxQGbeUs5mk+jhZU7PebOmPIYQVMmUyez1wdAI8FE45
qiPPpAjjfSDoegjMg8BhwPoFXzvTmFbKnjSJwQpCIlygjb8kWP8dQ1UEHsikhgYJNldutAg1htfr
gPrExx1WCArbHYMUOFaNwWy3OHW29siAhWKRyIlZf7cQkLJVpCsuRijhVz4XRjeBKzDcTG583VPz
fyt6ugoXmtaifzVrSSA+OvvNBL0uG1eXe2jceANRSqJAAXCe6ux8YlMGSPu9MRTj1SFuQEAB4PeF
iTAk4nPxvzuPa0O/x7hxwG8vMoOwDDUtNqF6dGTDFlr0oXMmjrN9tKJm22BeQpBeav7G8jm3xs3O
kl3Li+SPWHUdn5Aaj3BISnBZdV8olUhEAJ6uS/LB/S59PYCV1rHrvtwflPZDeIdllUrYQ/E53Lhf
5s8vI5gesrrBBmHuMA54xQzeHGb0YrpRvBMeg+h6skSmgXRWX8EtubgJ99Bai9xkgOWFYNKBKQJ8
1yB7pTxJp476AGMfmdj1l3MUmRqB4iDzTrPPT33Lsf8q0DlLjGjBlZudqPkOs5IZuGknxB5UTmtS
N2xoQXVh6MqKNoP94CRZc6dZgIQ2vLN9voUlYs5WiqoSQZoYFAIhKRBGrycfS9x1ueKHZaXRLgeK
4TPN5t7k2arnFPw/y/XfrWiaWb6mP6umZdyCWLLKrgRoG5+OMVLNjdUgWolLq8gmfbrzFXaeH9nG
OzFmfWZ957E1KgH7+vY/m8v8Uw236y0VyewLxcRCiAG5MEN9Qo+Jl+23dLTh4RHyfOZDajFtHOhL
uwqKP+CGOx3qWqyCIhshP3Kvypez6KwndpTTZmVDmmMdvwONHdB1Qz+J33LB9djE9e/mUFVWCN5u
xWOjPfzoITVRKo5a434tjf1mjuFdxMsFPQxooL9QHWhv9T+r+HPT1kA5Ve+pzcWSAFrTiQtkmp55
rHpZi4+oCTsl+4bkiXGOZh3bdywCn3MXcls0t29FepMjRc02g4cEOuLbAp7r0ANVCnK37MZjPjqP
iQe7lzZqBaRid9VHZQQPV5uxCJyWzWlhXuTvgpvZ9ZjQBmeujA43abXDK53aFD/eJjA17ykjiD78
+T3Au7rfKm1bdi8gFavrMMOoji1si4ws89Tx7FpwKh3foHtkQrKa0xb10PxvgVb6ymhs8jm5cYIk
bxfB08vcIFN+Gmt8uMWIozp6R1jbISIvh/WCWF96QSAThrW0+g2/xzCReRtme6qrcBy68ga347u8
DZxSyvfX1CFgWP8YpGkTqAfxGVABEh7jqskPmqc6f3YjKg1oG605CAsSQwz/pUVMtp7NKRv59wXZ
WbpSY0NuEVXMF63cY7x9vQ2/qHJAr+seI6L3ofasTAFgj57txYSK4/2ZFUg1IO33Wif9FGEv3n+P
IPA9B4r3u1eX3DzvJfU4kJN7AtlTl9Y+g03ygHkK+VL/C+0Yge/dvVVJNAwCN4/lehG24rv0hU2l
opC1E/Us6Inl1gtbziIqOFFqN91DspfOAFbfS19q771gRkJtibeoNL9HEYabMVpV2eiBL8sGwqqn
Mm6rGlamBD3/WT5mdtNJQVHXbA/RDxBvnaTAzFgdtf7ihA8DOGFxoS34Lmrfg1h9z33ghsIIEKV7
gGewTlz6Y31whSZemyMrUd+nPDY+EUOu+uWXzzGwN6L7uuVuf+Dxt58sw/j2l636h0VAQruF9Apk
48z1vJtQGtbxsN/jdWQDPYFj6R6Kw5Wy18hzi3SeJgPUUITijKuCsCVf+HsNp/V6DnZkN/Gi6WKh
8PFaRHnxlGgCiUaRrRdT6otRpz2l7ePusnoUlpxSbgQRXBcVA+yy0CTJ5HheApDz6vcxOtPV6fTv
72rplSFIRvyfPFSTvzHP9OuDNpdJmtZlkCFZaU3hgWYzI5uFQ04874qJBHJsawkcrtjGzhVTy8Ec
Y2tHsfhL49ExxhwZVYsO1FLY16aIPkfrdJjhKiWMsZ1r65V/XJmoICEfx3TaD96BykrN2ygSl7b4
1f9/TLdAWyp1YpTy6laAzEM515zP3iZAxw3ChP8Hg2t5QaUU7edZs/MQPiYKZ4NsFxxAhPPFDSBR
u++cEu7sdzncIA7rX5wD4pLqbUhBuS4SydSIsHzfTWkbUc41Pg3tqfhTgR66i0qDI8uE3U/LO0ds
+ZZk+VgviOYYHwIhBYfYEwAkC+7yVc+FKcIXV1F+WRl3In7nG8CWV0SFhT29U/nUIYxs8doVmiUN
O7srKsULzivJFkgQUsdztqccebds47DTAMTvTeohVC2f6/v8PqjYR/iXgZXxp86rnBixZqcBA+4l
JPNVU9D+ELgX7p/vT4Fx++V0fRMS5LXVMDUFFQ1wHaKqTwe61CHnaG0ixfCoAAA3zplpg27S/Jm8
WfKYfikB7gQt73hJs2au87dW+Q2QBcOmM7j8bVjNHXM9t3behuNe47/bWqE6aScvKdTVc6Pioew5
ttkcyIOc3A1t1YQ3/I6j4zCeqoVqVsvFilBZZnTDRn1OQkOs7iV/yyQ2A0u1XWcdxBSb3Vuj6Oof
ijUv9/bN+pIIpxYYdRGDH2MxprbsdWperjpJP8462fYQpOKa3jsHE4MNQsm+qMqhiDbotx/xI57V
69Ua4CpT06ZHaM8vCyP9t7RLmkrgSQqnQ6VwN2Ax3+eUEs+xSaoan/o3+tpZuHy9z89atrMyzrpM
WlKVbrVp8QixTesYEnEkXKZG5rfoFsjYtQ59dDv+ERpRqtBvUTSWTvoASwFNsudL032C+h2jH7DD
qyCZcxjqCmywM4EYeaUhUlA9VRNpinCaI7tKCmQ7AYIdA2Kail9xwOEOHEuH52PObRP2mOf44nYq
hHtusmsk7BSmOgXDecYj1yffPHHB0BTPxcvpEIsItiloSs1+1R+rs8Rl3fjB1izQ9ujG/BZafESB
07duWsiiboyKWOJCq8Umkty/ipcS0ApWP3ex8HCru6rSCCYJZfRvbG/UIkyVaSfqzV74Yf94/kwo
dBpu504GZV9pQ/ET3u/cScAVL0RtuRclRLGUJnvuKXtdskEg5TXrxBm15PFwkheyUnoqawn7Y/cf
iuOPY4/gJWHeqh1xh+O42fG5xM7UtR0SqOgTeTr42Xq4Rti23o8r9CC6NeAKR+7Jxfk1Bg3aEqI4
QN0GonpkM58L5rgp8wiVlH3Ul36q9fOwqnR9TsMvii/n2I2Jn+xhWSGR/gVIbbKbQ7Qj6FdlX4cb
+6XNT8Skwlu9wdfaP0SQG2kbgZEX3wdUan49EEcUip8Mt73wy14WuWJPnVy25wHh6kb+5VvktIjl
qNwVDqM+KzArymCKFItPYTxn8MksHQ3S6TwgGk9dNKHV2OuSpzhG8dzZvxbh34Tt8uybWH5M+TJd
fheUHbLnJdBQPvVYQfN7vsEqL/5vp+iD/lMOLh+ZB6QqHk1hLosh4WEUidHH7TXEArI1Uai8OZBm
pP36lWHcYT02iZoQtNFYi57GXd1QMHo9lh8mWusCoFjEra8HRQb1g2Ct3nUANi9WqL2tZzdg7vQC
5SZ0z01m1p9bAf98d/rZAkgjhooZCO0Mx1nluNX06Ueg/P0rMktCw0V+rJ87ePhEKDf+ASrRM1ji
dNSRYU+UFPzeL3OQ/a87G0pxacXvA4qNKP0YH/Dm1lbW0qlWpgHSyQaEc9++aHD78zXdEzfLtlav
qn58npkBmINhMjKwlrqtKE0W7fGfsECMYCVvtndCST8JxUayjvpmomsWC07l7o+arkpXZzMiJg7R
HAjDquCOERCSt/Y4ur6Ukud8YkS/YYVCopAt7LN5FqfSYVRfQV30HMH5LshqXgxjwj7Gz2vMHeer
zo6xmoQN4z2tvFCzchzFvbA236Q7HRhHPV8WSwHzJfUb+9KysHUgQJvxZBE4eibZafll9xOO20Dl
eNLNY6l2+xI0H1MP5ORyUSPv/6oR8wyRHYkNj7/Sq+ZpBym+Twz2AINA8KlNRNT0YA2FtaMjvObs
h9fGUvwt7zcipbl3N/xh5qt5J931MbNdNLUAiGzUJ7V+UMqjNnX0p6ZIoONSj8+QayJ9sZfLz+4a
TZVgqvXERkCHCACw3uihbcTme4G6aTytMr/gUgGSXcK+DLKzHAKvfn6/o0rXa6Gg7MhbFvZVSt9i
C/Akn8lgCSBOX34V9S6S82tT+wBtDGzpRfb3dhTscHw7LdGaLqiwe20hON0+95Hc3JTPRdz54V8J
cxTAnTDSYT3aLlq9jGIda5gWx4LY25pL4kuE9IDYM3AyJ8hoWxJDuMXDr8orK0xzWBFsnG9K4u4G
HPIafhKEX7FEEsldcXwkaIjP3a5UgySjZjpfs9/TTNvwpvXQboXTDbaI4JrxfgcsyPzmHIUxQP0L
9IrxQHF/TOoha+EHSYsi0zZMbLrpYsQFuN6UkIhLAkIbTXX6KHfxfq5LUVTmkLgOp3O5kD9K7e8i
fOT+nY53Ct18/cNMUEBm0qWiEWy2E2CUzlO49SfCi4jMVBKD+SKJwyR6D75NMvxuLt36GyHo8l7i
aUZ5KPN72Cw34LYXo7+zUhEgyqP5wSkrEYozBLfiJyzFakR5BZObphs9aOJlmHCXImcTNTLdMMem
4xNKVi/VLFxzRiUfKYLwqLVGlvS16cik2vQNQi12zcf7iaV2N9bCMWE+UCEpzZ05/6wSR9lPkTBo
t5/B4YMFq8Ayz1u5kikipTJHZoZBJ6SX7R9TzNFL6H0K7ntphHADEWk4gj1OHwz5QkkPxUKqQo7Z
yrt6qyOH3s0BCsTTKDdkszOYYNNjiWH28XFDAjSoL82s0mdAdFCZUm7oCyx7d3oilp2u2uLGLEpX
zXDK5XTecAuTVtjmsLWYKNqbTufSVzc6n+ynVXYGaHXQM/3tjLrCr6V00bM4n/BsI/e2NIiEI0C9
ElMV4i4ug12QN+/2jme3CPDvh1iV9Fxd+hseu6101k0VG6jl04Stb8eavvwf7lgTv3lgUjwDCZ1p
XWMmysaG3ntEZpwC7hIoSnN0qoo4n3ojdKBdjCETYfBE7aXzSSXuM71fkSDrhF2W5MzlcRh9GYMz
5qTpZzAiv8IwkzGqHpLxKQGc/PQZCHakjdYYQGPxUUTySyaEskwsTgsa/W6kDpGDBke3Wjya91sW
SFj0VuS51eiPPEo0vLb9EUVlekDK4btza3Krusnuak3D4MvohsJGLSv1ZFoj7djPp8pBzhb39tj5
Em+99/IBrZqkFmPQnGkGJ8f5OxL5646SzHtwhsKvsr1CsdiecFIUgta701GkTdCB+Fr/CKrDY2tI
WvMov/3s6tVpfCptQ4Xtu4sfJXdVjA7guZuFJj61ceu3OaVwFtB4rzEmNqqH7eTDGA15ReoBUczs
K6jRXb4XWw1drZuyhQvgMk9QKs7oSwjtkxqkPJOn2yqYC3CITd5LSg0upNf22ffGlqKsadSEa620
D99Y9Ff8GtDFo2ve2yt1m6n20gfc5voMHxIxqu283dbPXp7FgiZ00Dtd82n9razMl197/RaYIS3g
/7NQLH+rutjkZU0vEGnBaxm5iSqX0K/A5WjRIdw34GiJTif4lcNtvhQt5cM4WAmxd2/jB8xejpuI
DTZ8UDsRTD8fI4fZ8ab6/p2fpPNFagCbYjg2M/Xvmj1NePa2gl9ncE43RuJJkTpkVlMxQ/T7C0nK
NLHNH2P+Js2CpkC2j6wmhWdRNDlEGAedYq2xY+WLNJfbfiUzzII9FDA+3sVtZlcKjQtzvuLhQLMi
b/yEB2kCLQv54WI5ueKlk7b+XLsui1Q/a5E34Y/8JDsx1RWri54P/MlbFNKWYWZ717Vn+qfidILf
XzRNpO1tX+Mj0slMgamKr8W3PbNzuBxB92E3MmpUZ37uM0yNQNwFQQi0TtA+WHner1JotgVd31e+
nyHVPuRMlwffinPcXB41nQv8QJjT4AzQo+oicgmNAlgoiNEGAJtTpwz9AKoY5tR12uYyTnha0OR2
PBpbflQ03JvYnnLmAHWXYcuSiQttrjMMulGi/y/UIFp0J/NffBteDJHen0YgwG7hWRA3Hgjuf1hY
4IkmeHvoWdWEJ3gxLU0MeEcXu9EhRrJdp8k2EQqA3yor/CZ3C696GPq+SvUKbRchr+T76AFSAMqG
8WOgUdUrueeAeg3wZbF2Eon2hQd7U2DaVaQYtc+451uE8Yi3QRh/d/50EUKNXANUUXEx9IS/tnK4
2bXWkWbz/mQ3fpngKBk7pZvae4n0chOvE+GCMWxCm0iv6judCp2fhcGqkW/IpFGtjIr1vqm6xzBF
hLIfv2Vrq/5MDKPWiqJSdOzJymReL23XTgvAlV51haWeTClYS88hQ4E2kT+TJYEJ+YqwT8xAvaGX
UD1PFAsDbZNGyoCzyPPnXqY9EyY3L5lcy9M6nw6d+fj4kwTTRf3JR1T4ZAulxj0sQjW4LG4qG7br
XA/LGDjhIA1xMr4N2DURR4RLTvzekLaI4oaD0LaDuDMGVvr05MTe6ZB8qF1zPos8GFiWPCt7g69F
3/SiWUMuqCwETKWxLAmAvy6X+7Lfris9rDBDR9nVmATJ4Paq/MMjvRZT0tQXPmJIVMLHLdzo52ix
FMAbGZGZmPNdNfgnWsM10+AJw11ToCeu3WNNFuoSWgeNKPXQEiSMNv6REalX2Zc6UlwcZ5QB8V/M
xi8jGIIEYK0dpI70X/HJ00zUuSfa4yE48oh3QXKn0c1IqGaFqa5q5pKTOCWrKDJcILCFyu+RHxJL
cIrICoV9YVWLQo8wGPs3dl4q1HBYMFbYXtR6UglBOJS5KmAIWEZu6SKio9wGvH7jTb/L1JVOpufS
6VYqeOufWpX4VvX/yEX9zDmcIZ2lsJ8bn6sSroNTyGvmZTd8nwQBAiuoZ7kEPA7ffb5EaAs2qW7Q
T7Der2EFbNahIa+umeBA1Gpweu4JUlNHY4LM6CW5yKX+fCX+RuqHkVAMNyJyQ+doXFWn8xK/ae9n
j0kzARKL0krdA+5EGnB9V9ncm+fKSXUwSu42S/vpKTFYnJltl/QbPU29xKYYuO7ut8799mutTXDP
D84MoSZ2n7eEF5z70W/EI11mAU0n4Tj8/pn3pjSb6JzRJ4Tp704iI2pK66pZdgiuEMkQ2mgVublV
xFKo1gfvEXI9EyEZOpFlat+jh86p/DgBN5M39KNT9qoUU3oPoeEUAPyPBAT71L/4r8JBp8ODcpHc
zBSiwysjOeBE0ImCT0l5B35eitgmFIXdD1il77Zx6hrWHSAHBhCqiY94nBc8pPjiG06Q7sp0c1HE
sY4bLo6c/o8phOthnNA/MZGZCgvLLSlerBS12o8gGuR/P2AZF3bGkxnUu71izFZ6wDvpzNp29UuI
cN68xuV1MV99ROnrsR1BrfELe8xsSrLWPwJwBIMp0akrJPaQvcpnHFR+fvN/tqhyu3KHs2Znh7u3
0ajKFPuoXgwKyfTo0+Btf2yIZMgPBHUBO/1zfYeAg/V59THQNHpziGKbpqh3u0tEw4q4oZMU+Ms3
HYPwrSQctr/vw2TOEVVQ/ZYYE4FK9uGzcIFa0lf+qIzWCQNsPukF+WxCuT19V021wfbXTcT3l35S
SWSWF4TP79UGz2r8UUqxbW8KjQDrCnNUEZSbC6TC0e+Rw4dAmqDg1uwrGJT3yfiHR58E32deCgmf
kpyGULEMOR14Uqd4SU/8pwvoK10QsBOjs5srCwRsNrODTxK7+/IuAWBpMZ1akTUYfQ7PLTZK72fB
hV4L7v15klXfK26TIPcsG4t7JRFaUaa6ym3nGfopqNrsmm7Gu2coYWKNJ2TeR/HtPyxX5UHnEiEw
Bcae2tlJ9qnuEl6B9QTVT2PREoJkZL9fFwYJ3hOK45ILgKSYiqXwwZVj0BHdVgs4eMTWCx3Bfhv/
jo9R1hCAkjxSnETVxlR1uRp9NXhtMR3EgkNaYYVPYGFQV7dtoHbGLgUxJSyU2SJkoxIgBEkJPZ4B
EgHs19MSytkIn4JQcoeEwFjenQ0mtlWFet2vCWm8iSDGD6uRvqpipMmHZ1A8MvZtzvbHI5gaCbiH
fzljxYWuFI60NKW15e6wK2r6+1NJVRx+E0sTZ01BviVig4QUovB+orKLwbQk/LYcToSTR01gbBnR
A5NUTnOsZ7FCtYZVNTzzgkodwbbIOFjf2JFboJKbc4HP1HvjQvdSHkBBSs0Mw4l1g307YROg9UXm
Nd4c02b7b0TPV8wW5551M1lBpbwV1ULzZtTPp1Uix5Ozmj31hx/4DndqNWDHBwdh9P5xIpzoyBpf
InQGZk3ge1DRkzpbpJQgMkoTFfwlQXw09byfWZZRhX+YC7sN7Yt/ezg3l6dkIPeltUvsB6tkwCrF
3EE75IlS+lpCfMjCnPBkUOMyph7z/1Dii8Rkr60EIC1Ty5zZcEzu91fFU2POjXldLD3z8dQUgsur
11+hOxbNm5nXLkBiR9a+6njJhi6egwwFMaSzmxJukgvIORN37yGQBzTZlhldiOi16Uu/Kb7I9e5z
B62wa/1NugCyYfPKShGIHrcQcKYDztJz6H8rmSAy1Bv8Oah39SvX1ZcmrZSyQACAXDUYfmt0uG8/
nLCK2OKKs7m5VvPPQRs+FT64BJk15pw+sba5g2ku0hnYDsahS2jZ04KSL3zhFUUeO2ZdJAV7jhi3
UbI/M8yAo6zBIvhVnyaOqJM0Q5+zLhlMaR6oszlXv1IkjeuRG0Da7jnJzUnvIwHfb9lAa/iHuSPE
miBcHSzED9d6gl9S5yksXUTSaIK6GnF3F9o1p0lsjYQYVff/9m2sIv9/Tg8sJKxFG1/xXXsTEa8E
WNgcMNjNbImQOPE7IZbF2L9Ry0H4PY3TRqn87lyk5Ri9aWpU1W901zOW4pHycxAHhlXZogIsKITq
g5/bkrMAB76WjLxCWPGGmSLc0Fz0v/BuSraTBqEOik9WERxMeO6luhqRThDN0neb3CqWy0t8m24w
dAIdu15T9IjTlUWfran2f6/yJ16GddRgoe6IEjYpyniUvBGKCHOLZTU1dA80lRiK4AxGpJCPmfUs
08+I4aNBno0oHlZ/XQnUhlDE2BhBJ98LyplvcJm0qlNU198EClOlNAhvSeg8doksO3GbGGBjpZiT
3ogR5+J4gwHkQ+7j8J/rwYrjnVlMg5LfOMmQp/8Sh/54Dqxv0fDyj2InOOSTgA+HCnpx9z2YBRM6
Wme+0HfUlPV9S76CifR3KTMdGzHE7eAUx5kLnbsp626Sb9zDt3CrVB1icpVVFGVLS3kx8OoTiVc+
yowuvVEWP6N9GWJ1zbPRFMLNDhHNYMa0uNoL59Ju0avqeW95ZK1ycGAS/pkPexK8U6/sMJhXQCzL
G66WiWa+cAI9q10gvm9Aidb6iVf/Vv9qHbtCDm8oe4HwHpFznj8cr4w+qoWFuN/yAs1XyvcIkOZM
lhaCG1+mpt1tYo27PYDiuAZ4cBMdd57OsPnXEwqV4tA0NMbuiubsG39AHstGDLzscpvd5ewOw8lU
Xs6trGUnJNO414Cie0+tOdZBwc/Bs5lwj2Vy7b/hzbyZdhAr0NdHTQgIrA7I5I5rSymPq2aQdY8e
LG2Q2g+79mHGRJwaPeND7bnw2REIpp65yGEwI5fOm780BAMW/4Py/oKCo15FjvxCq8ZVN76A3sds
SjnokqLPMbuYhYDeFCfwMEwbmKttVJG5FQYGNnU9tTx6QMvtWZGGcxqBi72Up0O11RFLhyrUbe4L
XZFRN748sJc93UUlA83uOg/PupjtZ5RJVUfVZPJKZwk7Ef9z3nEVZVdu+UYwYP1gQZbea0FBzzu1
7z4Va4CPSxHciOqii4ZhTlrk60/tkgNQd1R4J4UNieypP6LeY7T1m7QavSogla7gmWrcgRwvklX4
bzTWkBOyV2Dk+nc5OQG73EGqUdBkhIv8I7FrNhNXyhGH6WT2svmtBQTO4wyjEvJ2sWC4euTpa9Vl
MLBkcSS1RJ2Px7lGPnmLDs3d218/Qxi2Q/Mrcb4qNVx94Jy1/zF02xJgkBJzC7bx9accgPqpj03n
QYheTINN7qr0BPD1z7d9+Glm4+jAjpemIXVhG1EbsO87x245H2ikifNmLzrSZio1a5TTBypgNB12
Wrc3F3OPh/cvUavfIiz5yj8dowNOtbDHqrnazDlM4yqDhXF9ETu93YadWov+uPhdgcjXh3UX5/Se
1cIH0IH/I5cYANYAelM4iPv5opY5EeDQW7AjW7TWCaO+rssIkIQZpDDLPd0pAtVKoB9eHylovV+d
XXoj30Wi187TSHI+ufb4PpoheU1mziiT3um6nw6QFC5gi1AV0H69zgZIuR1tLt1KePUoE3DaQIqw
MfDPwxgjYMz5rEoRdMbdc6UmkQfVgeWR53Kh5JSXgOr8t53LClKPM+nsm0peT8Gse41vAJiik1sM
ul9fRIaiqk1zX5q5LDEhi/3gkHy1IGt51Pc29Gi/ubxNhSoPWQN7GHYs9NNKqZaMCZT5FRaOEYyW
2AHE23ruQpyRBy0vrmLdNnHT10kXSEJNoE9tbH6TADsblVAFz+eUO768Kk107D9gTuZyklt0V0/h
bEnjxLAxYrEfyt9+ER+XKNuO8Vq6/xS3+8oTOLRxrJEupRoT5buL5nNobGy0g6WMrV9HjKBeFUKv
hDWVj5Kdi+/fgi1JEHudILrrz4Tnm/3kejB6DT4q4Fstq2y59xot6pIf/YMheGVTDmHbk+eCcfFV
SZ6kNQNcUERIVaRijaQ3cg+MWHVdfx/mTXOf5XeGNAoEtdBQdBmumO6yswlu6vKtHuYVbZMVxGSp
Tw8K+X6sBc4rSpWRiOBHreS8R3U7dSyrr1nIR2ojaZRqySbA4n9J/7EbH+v4TYUkgqdYBH+U5vGD
V6r83EwayptNQs6L+O3TYAk/z5f1zkC7NxGXQ/0Di/JQ/fMZof9ptumgPyjMC7sejxpPO6WtiTNL
ajVXXV5TaO6FJGIt+2Zkk1zhMpF6tdyyJedovQGiyJ8Bi6vGAyCjr7BhkaDchMoiFP+mIz1OH6cN
LSitkgyngQ6qT9ezufOWrHAMSOlkOxjVWTrswko5D4boiO2ZxlHXByTKHOz2J+o9HXXeqf+2bCPm
AsVYwMin5qE+1ROJ8TxGB/14HhsRK3nGyxEgsZgOL+yDk0i1lMo0uqxTZPhzgnTRTZyQQmELBVHA
c/lc5LcJvLSp6zBx8cpGwpPowoA7RjV9h1sVxK5QiD3kYsuXoIerbvkUrpQQAcYKHXHW0EusT7Gw
a1wTo/gnXekKKN7hVcli8NvKowuc7VOJ0v1RuTKoSqR7S2PcZK09xYWYxz+0iGikQepsl+zPiNEa
brYazvO2TGyAuN0T1NutbDhN8fOO0QcDehCo/zFePpXzEwhZ5+G6bjMi859PSjT+MTfJkvDBpMsO
M8oJpO2bYZDOt3Ra/5D+66SF6mbpm+uKqpOSbe/IL3hjph2VKJf5OsM7DSw+h79CZSYWkzo0nO/y
QJwxd096rwqK4FWDlgIhB2C05/ZsAOHe6ptx1DPfmZ1nJLJz9euootx5SLq7QUiUQvx92Q3zV/Aq
sJ9LJ8NKA8h3BbLZY6cEJiACIHfpZGaQVdTyJdE2aKb6fHAXW1QHkI9k/pnUeRRw2PB1WK1cJuw0
sN3ibvBwTCcZJ3iZ1dXNIS4RcJeVSoxeVpAwhOoj4AfjvTiL3v2txQ3/DYJBdIXAC0aD1OQGxUH9
xJDB0KA/EbYoPeEcF2gDWtVzLSn3lByQ7iFYXVLoCoEemGEnIXeeHRy6EtNpAsC9xvMQsvBbt5pI
k83qrmmLp55Ya/or2P93JksaKyMjioHcHX2chl4cWEMmsVcmYNJA/Jd2Pn3uw4vD2QUTlUYXtQHD
3nCJA2XxRjIQX17tcd+H84fxp+WbwOLbMmqYaVXhAsdgAFuxYBDPFukhEIxdJbqun09xfd66BjXq
wcorhUIxQ7PZIsRJBWQGs3v3KXpc6gDqpOF/q7gQ3pT9Rybs3ojw7UAbDLJd1JImYGhEW82LpKml
3ho6j8Wh5p42D1Bad8cUn0a3g3FunXQ28zCULJrYnpC/VThiKYPmM6ecB9IVsItyYk9Q9DvmtqlQ
TmMU0MYTiMU7aKvsahxTCLc2HkTPacd6cdvG+aGtcfqe6l6KwCw8Lu67p00jBQo4r+9eSllhU+lG
RAMIse8JrkRva1ca7N/OM/e8r0GUieEeRJ1PWowNdaORjwBY+pWpTG9lzcpqqaoGr7OMfgsot0nP
m2VDB57v1onANwHRmv0ZdeH8+xRNxGeM8n4wqvaNK0b3u2GOwrHS1MNHN6X4pjE9Zzxrufqt5K2r
1ySvfCBqm1RPQIJe6Y8Nn3Xk5ue5wokRnsktsQox8MPPrcQRYXv3AgsNU+F9NyXcarAiGfrLw5GO
7s3FoPAOrkfCFlvbxML+aapP9Gp1RUcbn3Vri/dujsG0oCLhwi4fvkKmJsJDhaW4aNizUO/b2yHE
q8svi7xb3QQ2y8tpBDdOCdai218R0IQrcNeruYWqsYT87X3W3PJrFP++iRKq4mZ27neV5ynKB15x
1YEBEOFOrgpleYswZfFuBu8Z8GMeyK1y8q3ZmtV5fC1Du1BdvQpB9dxUIm7m3isLppMLyiMuPHbM
CnLg0RO1Zv7yE4SZyC8lNfLPUr2M5dxdfTYNbSq1W8QKWUBH5zNnqojfcvGo7HrY2wqJCLmP7LkK
8jDFqJ4R+2+DDKSQYFAHZ/KnDyPZUl/VtKNJA35EMlbivR4wnqR50YB+Xzn97s0DTGmAFuxKKdLW
RKo1hIyD/2x/TTIQBVJN2H8FeVwjnQxyQOMYUq5MVbNrd5psuufEud2cYWDwSsGUGMZfxlccTPGj
tXb7X+IkpUmrZ+zGQ1dAA/IfeBkIJc7r+q/vBySl4ik7a1nBAy48mqIj+zs1gWys83grBszAqojM
xFhAw2qGReGgFq/t6ozwchlj0W7ky+88Ls6p22umohtQbDNfyrTwUmM74ybh+zYTM6i+LurwKMP3
K1giEVol75A6vKuEgNVIYul5Qz2x63++vvhQ3m31fvSMxR41PpSE1gEycRXF87tgpwwGpQdFt0d1
hvZYxoClW37cQ/N6nzs4gT74TxRVyJ4jnse/3JG2ciwkmH3JiHl+N5tYFtK6sPQM6xe+/IJGuxd5
bjKNVfeGbrRNJ1uD2LQLJa+4DG79Jptpfh4qml2X3SfgojvGyy0BPxDim9242MSrzQZs/ysJV16F
hjVOMbn49WmebYKcV9yDTu6foZj+Py+sayPHJGYrK8Jx+hZgr5NCmqltmdPRQKkk6lcu4La/TWnI
RQY9YZ1uFy4ww2+OlTWnClrnaKhx3mJaJN0IHUJ74LS6N19ad2+t+/YY1NPa8B6MXLxGs5xiLNdr
NI/s8chI8kr3xhdYOulSb6QhZdcyIWUTt2nyPOJrGP5iGUdfmQHmE3oOk32tgk7m8iGQO4v+rO4z
3Idyqwo2oII8uBuYQjHzHePvAPHX+kV0OuUhShMDuust9/8m7mfEUWYNOvweDRAgqxrMvCt0Epid
2HMh0slXiptFwXwlVPQXGBcGB0JJI7ktgsueMkz8Enyy2PmTH4w9n0WlpNuuDb7BUungpZdpmoEp
nY6rJqWGbyn/ZiyjGGLV4jcfoEHaJseX5FhtGC64g7B3vdIoNmmebKOBxrlmIyTEUdrRZCnO196W
TnLPW1cjUZgP6wzmLgFd+Bcvk2/c2vLSG4RpJqZAvn4yqYxG4gq6pCqpXnaRkDuTUAfCFIWk/Nbc
4mrp9FNXN5QIeUP2pehrRCIukddZHJnovx1sB5z/oT8ITEFeWSFzcJlfIJ7AMEYWHtXxiEabHoo8
JY2L+7zwuxTsf9sq5zHGWf7iGW/np9qDJNdLNqgoo9hxYq7LCsPYfEI/TyMuu5dOD3NAJdpyslO5
9OPYZ+cIhwmpAVetsoKZiiuLS3InProwgeUilnV8mW4OMJrSXXH03UyQjtEmgp59jZCVzq86EfvQ
+AabllHaImHThQP1yt/Dis28KpeH1xMcF/ktUDHAOGnmkjsVQmwd130MvZFxJiCj4Cn2PpCiA6yE
TlKMD5wAvneeVyJizbvoGMAk4q4M3d/JnzOwARqwTjuooMw++awm3iVQnKt3tkVX2dlwAVDk68jv
NJHXgf/mSq0dEBaXX8P4OFI+CCY1b3ZUNh8q1rl6tZ6rPY0hR2SXXYQ08MhmHDoLX9hBhQ5oHobN
9E6v925b2fiSrLlPaEC7N0CNypEwC55FbGM6vOntA/l4qJYqdULRYB3wReDMFMns1Q0Bfz6SN12s
wZIKxa70tiBnPHtCxGoveu5Qi0/3oyUSJmjoRegz7bOjOPjgcK8RarCgEedvEfM28xmiwcRMgPXB
W/DwaA5owDtszcCH+eALf/DkmLpKIT6nRmvXOjaou7Wg7sy0vpoN7tWT+452azeaAB/hz62Ozxsj
+Q6ozsx/u2mCDW+TdJ7DHlF4g7WBF/Jhe8E/5/YYkFqe8qyEaedosANsN2fKrFmEOgSB54ao/nUu
oYv5qNa+vBPoq2f/icOEtmR77MrVe0eEEaJuAH6ovV/lFLLJb2VtI9jTK8/VPxn4ZxUylKiKE1H6
MESwRDuIPoTH9wjonSAlA+tlOOwK1QRkij/ZrcCvF/w8/OEar3JZp0wPdzyvlmki+RKLHniwRfUb
udC1YMwIam+H7uCwjO3J7uqV+5mWTiF8ZVDQS8lRN0ioFEDAcTRJb2qjOTfPsRislDUYJmWC8zRm
sOeJRqx7okhD2pkBJfwrTuyXP78T1/c0ixV/ZKdJyJXMnDXhBulGpgD5kxvT4BCiaYjPjVKhCk8I
HPv1ndfzzGCjeM72H8e+0zghZfZHPpGPWCuEFCw+p+9C3y1+kWYRcLf5XqwMh18w/jtgfPPchcw+
Qr/x4o21CCeMFgGZAujuUG1jjo1w3Gsyh5vckyiFw6RbulFQsBE9cqWi24pjZ8ZrXG3joYkxcdVd
J5KR3Ri4EU1wZRHbzJr6o4c2pAvzA8FPJg4uRAYtR3rM9vZxSvrCO7WJ6EDV3AISRpLY8pGYpEMc
Gbjj85AjvXfesk3uuNXpgBtjOGaEeTmvS08EyqChJt0cDJmBKBldtA8XrHRqIWvlrDlCorUr3Kp0
GXFKF/IOtjiSn2u+zjqbHTNdDYw2Fl0b/gxBeyOLA0rUkXVxY6eRF6i1S6Kzv3lBAHcFNh7toTdS
tEUR8w++nbxZ4WBZJCE8RI9bMFeBm677wUAh5BBrpGgIl3ZbfyNxRZhqlpQsu9y+mYX+pULb2JFf
ljaf3bDPAucQ7OOWoWB1wkjSW2SL+DkiUXXhTEoNMXT3FXHtXqWxNql5MzckKn+oo0xMsCpoayLh
i8faiiPDtcbWmH/mdTjJCLh1Am14tchv6Vt5rBiZ/Xg2c9T4mAkxxrTIVMTAYkCitZTSJwvdVNe4
G7ps9PE30Xqhla4T8ozE/auEBuIMe2c3ewFhCt8Lc2oCayTnUwW6iNAq263kICFQFHLXV1e8yoKu
q+ALygGW0fSCCPu6xj239aVOLtvesYm+HK8pmM7wX9w4cMpaviYeFTURnFRzGkwc35Y/r1DgZjxX
IpPVofxg3QQ07D/VTlwNvxujVjpzjzVafq+S/tiu1ebw9JAEmDaqJPasfTy+mUzqvyeYhcTLF1kF
fYf01nqwyPi4wVNHwDpkqlNHzcmVAapiyh5cP/3rrYA462Nuw2V6/RdmmT4/IRrmLNVBF0iQFuQy
PTy6HVpmQdWyS8O+jUqgiUBpHHhi8X+oXyUtyME/z9BrLOCJecJ7E1uXpwTNGHuFfhWiS2P//qRn
t/bVTOlDUPun8PpI7HbpTTT+RS40+tO1ZgeLkLfFXOG+PDDasJsYw53oo/oMhiCc4FwHfJI3TjzU
cK3UhEdkmbvwiSh5QF5ijhxEgKtwyNVW4+FcwccTUqoAXtfhJEoP7SARGtvfeQjYOxcEJgdpQQPe
GugnDJYZoH6SoXZppVAZ+pdwtEUH2zovYtfDb+SCVXv5ByjVlxJ/xAYJo6mDBQkJqEZvZp9GheRu
NGDnQfTNy7iwS8vGHK4p69PQd/r3c1vX3tYn2iXjt1o4Bs5dV5WKRAoZDt7QjkW6NYEmspd8lVrV
BBmV83dBgjQXB54Who4Nv0Ex1o1KOAWlpLk6B6nvuBsiNWPRO7/sWU6J4xOMS8M1Dc9sYhxsKNeY
1A2+e9XMB2CbF3HUE1I8hql1bMia4sAOfY0DD86epGe94oB/f9nCZJuPlws3SUmU+bs3DfbXsl1Q
np2lUW4TCGrNeWOmERpvLSyY93Te/N/735ADLDHEdpS/KolzlUa4BG3bw9SJdms1pXYPZLRYZdCP
64xynquvOnPgf3ybWF9IgIs7bbLQ0dTTNPd/byN+tyna24pqpbDerY85q39qe6jLLD5b4w1OYSP7
zQZztSkoS0Z8VQqES0l8B3fvqL5bsl3hAvTLZTKxyf9lZOzPRA1dKm4Fg9uTXt8EOYVfYwVhRUDn
CjGTUtAYDKaH2bXWJPcFlfK3waKSsxsRra9PaT2ps3L0L7Hy1ZObYrmexg+0cxVzvIEGeOY7F1Qn
TAvD4kCFvATUrsGs8QSF8xAS288GzXcBxy9ozKyFxAEw6jz5d6pEWXMaCJHH4uuviUUcgb4lYO38
xHQm6H45lZVp+YPFVm3xcRLgRY4hxu3G5neufr9GK7JgIcGtikqwZ+ouKUJTf8TsGBGIch/lCYM2
KvgGG8zDqrGFSAwc0RcpNDfj9I6pcHGudrPfh+188lpiVQXdlVMZic76ihHb8g5IWnDj5mWxXbIv
h6QSyAtsq/lIt/I6Fhn7oxT13LI9Ihy43rkf2/CyeWLFyRxOIbv36tzUB7kn+Vn7JB0jKsws6HhO
U59yxQ/NR7nd7iizt1oMn7GDMnsBaGcOUt20wu74PTHLRvjMqKU5d9hyO6+jN+yzmqo9IlAX36OA
YfgGuVXQja7yngwD5t5ugywrDlkFQgj/xPRL7SyDx0Ee+oOEUhSeI52hz8R0542lTtH83jHR2vGM
7sGOUaUgdmvS5T9iAh75ESeb0cM6XqdKuo9gsnQwJiNUPG3X27mldK7zUPbrEaPTz5IJqvvjONLD
VuI1KXBdiqJwwrrHPNH3PnG+lHCh1pIAvPMK7p8K8dQHuobcIPTJE1I5GbkJSysbVm5WnVUJID1c
5QA/lRwkeHCAxxa7xf1pPaKNVpcBhlXK8hxrqe/1TcTPOGh2w4SBecSgxr4tWUxs2vv3oAHZFWIT
Xu2AQTNCzjSmd7CkP/C/37V6CPRv5Cx1akguFVBG3zBsLLvbDsKk/pV5I/UYE1AatccnIo3Q7Kp6
N9GjVFuFMHmrakN+8lj2eJ2o8LysouS3rn6QievsCEISneATw522hdyJlXV16EzjZgPQzq13p5U8
2t9O515xy/9QGejiEPFkQcNsBHrZ9xOsWFI/ILHqqHS8y7TPuE9tjTeYp+wrNqCGoZ/K2Md1O5fg
H4vIQaKFb04395AV0U0n4fGOaE4JXx9NHS25rGU9X3o2DsXEeiDLqKqww9Y4BfQ3h5Eg0dBAa1az
UUFPWYJw37iatO41aiE779tpA6/hdGwyj1czT/PrbeZ5ft3B/I3JmM0pZrNFNe1v7fmamnSqYAu+
0PVQaPL87L/72CONjJTLX1tnJAVRgSpap9gIRVTG90ETMjHbX3ZSQrNyqjN7wlft7Rp690aEEPCi
jdCfopA6IH8h11xRq108UlR8IBWmj5RpXdRN/etsXdhZTZUDX9ik3td+P1Jdx6TU17h3qiziMpIN
+N6XzXi1yguaLgAOFjOXHKLkjQHYz+a2c3rEUopw3ug778vI+ShMU3tb67kJF3SPtUAFdy/YuDe4
DvkDyvC1dxWCXVUNM1jlJaYcuSoSANRIu3RELwr6HC1WaKuuiUheShTLem8GMJc0/r+M5PHcespu
Qw1cykPy03SSQODUX+admL6+qkkUQV8qDUAur5vz+a1qu5+4enqAR9D1AeE6TahoIS6CqDR0MnUb
dVxLEz5gnz24xRREdZXXjbpmhe+HTC2Y5yJwZAdOQ5oUX2Qmmz0G+89mVTnYmcoirp6bmYDcbduO
eJ1LJatBTPPK5sj4EfXvRVhfqYrlvFsBY7JPhpXiFsPU8z3KpY5nw16kvmbK/WQvokIsDW5IRFWn
p12XJbovtm1JBsX4U8Edm30yx0m8zu2dk5j930oplhp+2oyi8GxJEMf9Q+hoBDqTWBKAvO5hRt8J
z6Ml/+46DSxvQPndKg8RGCYn2H8O+OifiNzBQDcUkUNR0UEGuvmTPDWR1/yX+3P5aMe80KJL8RA1
tvZjZT+xMxoovsY6KPl9NsJ9od7FkcFd2htjs55srgTp9JX58qPM1yYCMgXmY4tmAy7UTGRCiwju
FGNnjlGkwNVO23ZVAIGqgi/8Ug5n3miSpeM4SFn8yhIFyWQchfHCIIrfxi4hpWMskXkztgE8xvEt
yd0Y3nVdOd3ZyJb/y4xfoYH2+ZzEOHAFRgf/akOTGEyMqUSZbo0dpsqVAoGSocymjQOiV49UGI8B
/pA3492eq5fIF5cgy+HvwGwNxn5R5mB/v3KMbejxk6hGvSiOULYbFa6F9kISZNoXcJtNDFkNisqB
yA43+4o50IqcefBzcu7Dp9YnPuhQQ3n6QXtH1VHRxdBMZXVH4/ZeUBkxYHDsUZSy+xqF1K5Ik/xC
FfPL63gDQqpE+CA7ontierU1lfeRlTBqoy/p/oPyl+mV7sonQIeFKl53hq1b3Z/Z0QsciwFimzB9
r36H67S8qek0DALGQ1F00oiUnkl+wue5axCED6HIueJEqGoAPFovmmqv8N4GM5mvCIt+/5mm0OkL
vNLSnsfvOfBhaHycTyRdBaZkwtCs9iw+I6zpYGWavKibRZ9EpwoLgYN4M9u7lvIJDYLvzk8GmqV9
VIifdEMLbsBp2Wqp3qKg/Sf80UJKTIttuBYy6tR7Z1sFWU7pPEaFNGCVRozWON0hK2Lj1jffUr2x
8eQKswXxFM7sTVBNIzevaKCAeJFvhB3tYvNqOLp8QZnTP0EV8SLsfedr8pT+370JKEW++0z7oFab
/A4G9R0v7vA2p0HXtEFH5mn4MHqIUn8Bl5prDOgXHl0sRVCXX31Mp5WL14xKICgdFtEfnox4pLvX
FfTZRfTPXyVC913XMxDSog61PMhlDsLedtI2C6qCivwg0EcvB5cEZbtmIfwg8c4KzJ2bu0VkVYVf
/SE+VQ453lwrTnr3BOrBy2glI4IJTsX8oZNlXkkWMcDhMvjyaMYEYSQy2OhKiP9nZbl/AX0df9mH
ULi4Fhlxs64WCLVOuhFfB8tHgA1kQOBsuk4QtaHqZyI1o6xqG2rLCtip7ExWRBSsnkFPfYdBcr2w
8JcGjp/ndjJXB3HkArFcliwsCQiTeBSeRIdSXoS+KWhl8lWPLKC4BhJpH1W3uYDCl7eBDjy0hylb
s4QuCuTxxjo+1pyTUkXVjTXHu+mSCBgCr04XOYU2IGZ8BZoj2q6g8XUaofM3Ei0Rlof0RyVteQYO
NPKmNogVSRe6VSeh8olUGsQ7HF3gEb1Pvw9Yfgb3aXlyOLXhecY1jzPt5k7QlP6pMoZEuoofu9S+
/DoCYO4LtYLI8j9P8tTQd4w7Xj3QMFP07f4WaiMa1guKN8j4Jziw23O+mWkMeBdQa0I3C+1tYp76
1lNuXiyBKLsuHWo9k4ZSHlIZ0c4GVQzLctAbOB3b2Ff9dUm/lGYfg2v3khN6sy44jJyEbus7B4Nb
sVoYS7zbG3nTny+Ly7RUyX4t4cGeVJwsT/DDHc+yNarb+yiptRb9ysq+qEp3dKjliH09WPWUn3vP
K4tBGgF25EoCK7eASk3mm3G+tJMyYxx7iiNvd+ML7BcO/xe4hjwsCNVn37Z1uNZJhBsMpD1LcMD0
q+N1Q6ZpzG08w0DbZ1jQrPvCkRzu5OmjtRYPuZf2PiqkHwYn+oGEGM9S2wBZHAhF7dUC6ktFtrjN
Fv+CiNZtbBAqqLM1OMrRpxbh+5ZH0KFMeiLIbsaysBpwJpftxL8uQtJxB7bExnv2XVCbAIcOelXs
AZn2z8wG4VXHORnoNPUiTSLOqLPRuplP8hl8QPIWHwUIUpDJt4oIKwOSoWs4/D7P4fY6ByUUoZG0
SRwvQXCWVGmzSkdRGS9V/0Pd+tmRESQbldX5vEBnqpxfpX+sOLkpNOhRRrJKO6QUnv042ZkgPnrT
DVbibCAAFSIpkoznMdmNhSS0N02fJZNRTVTN03CBhzZUqaG71kCPhzEhhAMEbzT3bkpLnQ0qAXwB
fryBV3AYgSPqWUVT9Z2+zZwhJz7plhLu/B3sGGZ9UTL5XCxaqnFGPWKEFnui6o3LX2is+abp1kBb
OCURfwAEIrzsQuCUBqzVk9nVupNrVfpDyLQR+28DJr1+4vgnuA0i3mRtfSlvop1MHtk0qd+269PS
sPz+orc5nVeR79zlHtGC8DJQ28Q/rHOv+0XzWODcBy5YffUsy5qEtD389oz4lebTDHaV6hsvyAzm
yr5T+BVmGkQJJLCH38xkzNjIZh9OI/sqwt3Ef1smGMSkDRdPgauFnkRQu9n+OxR+dn8PoHTnvAvs
EDTefZiahpj1/wPMbnCEuHj+3B29AujCxI3KJQHLYFc5Wd81zHWfSmiLvrE90PT2yF0AdgU5KHMT
GcyNlyaUaEGQPPXQsupQQ0PKXuOD3Q6gbvlIgJ53FPBnShCGUmucjNOMuK67swexidFknPh5Ru7V
xXQp/gkal3XnzJHa5S5+IWFADBDuZIC7XvUQFTjTDdoLuMAo4bCUawov5lej7t1XiPA2+0Q5YMUr
oAWMvAQYXL33v524G0tz862GrObTWP+EfPsG7Tmm2qqWTOyE8XFR7/1GczU7gimvRhNmDVSgCxja
tL2eq1Q2qwAFzhaPSfIVRfVa/jHHGvDm7yFzQ5ZMFMLwd7DpGb0ZyxSI59tadU7pKAcleBJD9moA
KFUlHF0uYeYCYDY/ntuEJ2PYag+lhl4CIt7r11PfcUmD04EKb68z4VTOSP3l84indkKN+KAi1nd1
O505MIktpf/zmalcti+zTrBZkPrAnafhwA0XbFIi5N9UZxk0npBWUeYAR7o2E/CzWUqQpYSgrDng
xmxAkhGmz+hNPOOueNJDx5mOHJnFtxAO5QIVbWYVEzhjU5S+WLLEIdO1UmOpHmm/SO3zY0I0N28g
12leP5RwwalwTiBy22ON3OMrONE2FIoJ7quS56SpZy+y5bQKMyB6Ljzup5Nnv+czx2V959uDsmJ8
QzTZhHSFg/A8CYTgxBYouULf4nXgJb622tym+y3UnVHKTowjUuq3J64lYTi2YhDJXUKnXYN7eUxC
BdJJ9TDv7Va+it3DrEfCl9j83i/26xta6IvXjXXzLwIkoyoedk/JaQ3fI64Ia3P0PxJktSDmaAh5
e4a7Wea0nJpisWY1kSGVVAG5qWZqbbjiXqhdtw7PjFuok5v1vVn8UuFR1yrIu3/mSZtebIXu+cXv
/xmWNAytTEEZoRHimM9NCw63OFIpRA==
`protect end_protected
| gpl-3.0 | 453540348fe4f501487bdc70ff266cea | 0.945846 | 1.827634 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/pdi_apIrqGen.vhd | 5 | 4,944 | ------------------------------------------------------------------------------------------------------------------------
-- Process Data Interface (PDI) ap irq generator
--
-- Copyright (C) 2011 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-14 V0.01 zelenkaj extract from pdi.vhd
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity apIrqGen is
generic (
genOnePdiClkDomain_g : boolean := false
);
port (
--CLOCK DOMAIN PCP
clkA : in std_logic;
rstA : in std_logic;
irqA : in std_logic; --toggle from MAC
enableA : in std_logic; --APIRQ_CONTROL / IRQ_En
modeA : in std_logic; --APIRQ_CONTROL / IRQ_MODE
setA : in std_logic; --APIRQ_CONTROL / IRQ_SET
--CLOCK DOMAIN AP
clkB : in std_logic;
rstB : in std_logic;
ackB : in std_logic; --APIRQ_CONTROL / IRQ_ACK
irqB : out std_logic
);
end entity apIrqGen;
architecture rtl of apIrqGen is
type fsm_t is (wait4event, setIrq, wait4ack);
signal fsm : fsm_t;
signal enable, mode, irq, toggle, set : std_logic;
begin
--everything is done in clkB domain!
theFsm : process(clkB, rstB)
begin
if rstB = '1' then
irqB <= '0';
fsm <= wait4event;
elsif clkB = '1' and clkB'event then
if enable = '1' then
case fsm is
when wait4event =>
if mode = '0' and set = '1' then
fsm <= setIrq;
elsif mode = '1' and irq = '1' then
fsm <= setIrq;
else
fsm <= wait4event;
end if;
when setIrq =>
irqB <= '1';
fsm <= wait4ack;
when wait4ack =>
if ackB = '1' then
irqB <= '0';
fsm <= wait4event;
else
fsm <= wait4ack;
end if;
end case;
else
irqB <= '0';
fsm <= wait4event;
end if;
end if;
end process;
syncEnable : entity work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
port map (
din => enableA,
dout => enable,
clk => clkB,
rst => rstB
);
syncSet : entity work.slow2fastSync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
port map (
dataSrc => setA,
dataDst => set,
clkSrc => clkA,
rstSrc => rstA,
clkDst => clkB,
rstDst => rstB
);
syncMode : entity work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
port map (
din => modeA,
dout => mode,
clk => clkB,
rst => rstB
);
syncToggle : entity work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
port map (
din => irqA,
dout => toggle,
clk => clkB,
rst => rstB
);
toggleEdgeDet : entity work.edgeDet
port map (
din => toggle,
rising => open,
falling => open,
any => irq,
clk => clkB,
rst => rstB
);
end architecture rtl; | gpl-2.0 | 29996483e16e7c9c37bca5fbcfa7de4c | 0.554005 | 3.673105 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/fifo_generator_v12_0_defaults.vhd | 6 | 30,145 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576)
`protect data_block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=
`protect end_protected
| gpl-3.0 | 41cc9572d3ffaedb32644e54b6dbbfa0 | 0.945928 | 1.845424 | false | false | false | false |
hgunicamp/Mips8B | src_design/mips8b_io_system.vhdl | 1 | 4,978 | Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Work.MIPS8B_Base.all;
Entity MIPS8B_IO_System is
Generic(N: Natural := 8);
Port(clock: in Std_Logic;
Reset_n: in Std_Logic;
-- Controle do endereço fornecido pelo sistema
en_RMem: in Std_Logic;
en_RMem_Inc: in Std_Logic;
crt_Mux_IO: in Std_Logic;
crt_MEM: in MemoryOP;
-- Controle dos Dados de I/O.
en_RData_in: in Std_Logic;
en_RData_out: in Std_Logic;
-- Valores de endereço para transações de I/O.
out_PC: in Std_Logic_Vector(N-3 downto 0);
out_DPath: in Std_Logic_Vector(N-1 downto 0);
in_Data: in Std_Logic_Vector(N-1 downto 0);
-- Registradores para dados de I/O.
RMem: out Std_Logic_Vector(N-1 downto 0);
RData_in: out Std_Logic_Vector(N-1 downto 0);
RData_out: out Std_Logic_Vector(N-1 downto 0);
-- Interface de controle.
Cmd: out Std_Logic_Vector(1 downto 0);
CmdAccept: in Std_Logic;
IO_OK: out Std_Logic);
End Entity MIPS8B_IO_System;
Architecture behave of MIPS8B_IO_System is
Use Ieee.Numeric_Std.all;
-- Sinais para representação do estado.
Signal IO_State, next_IO_State: IOSTD;
-- Sinal para o próximo endereço a ser acessado.
Signal int_RMem, next_RMem: Unsigned(N-1 downto 0);
Begin
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Lógica para o próximo estado.
NEXT_IOS_COMB: Process(crt_MEM,CmdAccept,IO_State)
Variable Temp_next_IO_State: IOSTD;
Begin
Temp_next_IO_State := IO_State;
Case IO_State is
When ioIDLE =>
If crt_MEM = mcREAD then
Temp_next_IO_State := ioREAD;
ElsIf crt_MEM = mcWRITE then
Temp_next_IO_State := ioWRITE;
End If;
When ioREAD|ioWRITE =>
If CmdAccept = ocpDVA_little then
Temp_next_IO_State := ioIO_OK;
End If;
When Others =>
If crt_MEM = mcREAD then
Temp_next_IO_State := ioREAD;
Else
Temp_next_IO_State := ioIDLE;
End If;
End Case;
next_IO_State <= Temp_next_IO_State;
End Process NEXT_IOS_COMB;
---------------------------------------------------------------------------
NEXT_IOS_SYNC: Process
Begin
Wait Until clock'event and clock = '1';
If Reset_n = '0' then
IO_State <= ioIDLE;
Else
IO_State <= next_IO_State;
End If;
End Process NEXT_IOS_SYNC;
---------------------------------------------------------------------------
DECOD_STATE: Process(IO_State)
Variable Temp_Cmd: Std_Logic_Vector(1 downto 0);
Variable Temp_IO_OK: Std_Logic;
Begin
Temp_Cmd := ocpIDLE_little;
Temp_IO_OK := '0';
Case IO_State is
When ioREAD => Temp_Cmd := ocpRD_little;
When ioWRITE => Temp_Cmd := ocpWR_little;
When ioIO_OK => Temp_IO_OK := '1';
When Others =>
End Case;
Cmd <= Temp_Cmd;
IO_OK <= Temp_IO_OK;
End Process DECOD_STATE;
---------------------------------------------------------------------------
---------------------------------------------------------------------------
-- Lógica para o próximo endereço.
RMem <= Std_Logic_Vector(int_RMem);
NEXT_RMEM_COMB: Process(int_RMem,crt_Mux_IO,en_RMem,out_PC,out_DPath)
Variable Temp_next_RMem: Unsigned(N-1 downto 0);
Variable Temp_control: Unsigned(1 downto 0);
Begin
Temp_control := crt_Mux_IO & en_RMem;
Case Temp_control is
When "01" => Temp_next_RMem := Unsigned(out_PC & "00");
When "11" => Temp_next_RMem := Unsigned(out_DPath);
When Others => Temp_next_RMem := int_RMem + 1;
End Case;
next_RMem <= Temp_next_RMem;
End Process NEXT_RMEM_COMB;
---------------------------------------------------------------------------
RCONTROL_SYNC: Process
Begin
Wait Until clock'event and clock = '1';
If en_RMem = '1' or en_RMem_Inc = '1' then
int_RMem <= next_RMem;
End If;
If en_RData_in = '1' and CmdAccept = '1' then
RData_in <= in_Data;
End If;
If en_RData_out = '1' then
RData_out <= out_DPath;
End If;
End Process RCONTROL_SYNC;
---------------------------------------------------------------------------
End Architecture behave;
Configuration MIPS8B_IO_System_behave_conf of MIPS8B_IO_System is
For behave
End For;
End Configuration MIPS8B_IO_System_behave_conf;
| unlicense | 79271991e986adfbe3b1cd3663e312e5 | 0.477946 | 3.752834 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/servo_pwm_clk64kHz_tb.vhd | 2 | 1,493 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY servo_pwm_clk64kHz_tb IS
END servo_pwm_clk64kHz_tb;
ARCHITECTURE behavior OF servo_pwm_clk64kHz_tb IS
-- Unidad bajo prueba.
COMPONENT servo_pwm_clk64kHz
PORT(
clk : IN std_logic;
reset : IN std_logic;
pos : IN std_logic_vector(6 downto 0);
servo : OUT std_logic
);
END COMPONENT;
-- Entradas.
signal clk : std_logic := '0';
signal reset: std_logic := '0';
signal pos : std_logic_vector(6 downto 0) := (others => '0');
-- Salidas.
signal servo : std_logic;
-- Definición del reloj.
constant clk_period : time := 10 ns;
BEGIN
-- Instancia de la unidad bajo prueba.
uut: servo_pwm_clk64kHz PORT MAP (
clk => clk,
reset => reset,
pos => pos,
servo => servo
);
-- Definición del proceso de reloj.
clk_process :process begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Procesamiento de estímulos.
proceso_estimulos: process begin
reset <= '1';
wait for 50 ns;
reset <= '0';
wait for 50 ns;
pos <= "0000000";
wait for 20 ms;
pos <= "0101000";
wait for 20 ms;
pos <= "1010000";
wait for 20 ms;
pos <= "1111000";
wait for 20 ms;
pos <= "1111111";
wait;
end process;
END; | lgpl-2.1 | a6d92152f0deabd1e6128eba65fa94eb | 0.532215 | 3.69727 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenFILTER.vhd | 5 | 15,451 | ------------------------------------------------------------------------------------------------------------------------
-- OpenFILTER
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: RxDv and RxDat have to be synchron to Clk
-- The following Conditions are checked:
-- RxDV >163.64µsec HIGH -> invalid
-- RxDV <0.64µsec LOW -> invalid
-- RxDV 4x <5.12µsec HIGH -> invalid
-- RxDV >5.12µsec HIGH -> valid
-- RxErr HIGH -> invalid
-- if invalid deactivation of port, until RxDv and RxErr > 10.24µsec low
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2009-08-07 V0.01 Converted from V1.1 to first official version.
-- 2011-07-23 V0.10 zelenkaj Consideration of RX Error signal and jitter (converted from V2.3)
-- 2011-08-03 V0.11 zelenkaj translated comments
-- 2011-11-18 V0.12 zelenkaj bypass filter by generic
-- 2011-11-28 V0.13 zelenkaj Changed reset level to high-active
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
ENTITY openFILTER is
Generic (
bypassFilter : boolean := false
);
Port ( Rst : in std_logic;
Clk : in std_logic;
nCheckShortFrames : in std_logic := '0'; -- Rx Port von Hub;
RxDvIn : in std_logic;
RxDatIn : in std_logic_vector(1 downto 0);
RxDvOut : out std_logic;
RxDatOut : out std_logic_vector(1 downto 0);
TxEnIn : in std_logic;
TxDatIn : in std_logic_vector(1 downto 0);
TxEnOut : out std_logic;
TxDatOut : out std_logic_vector(1 downto 0);
RxErr : in std_logic := '0'
);
END ENTITY openFILTER;
ARCHITECTURE rtl OF openFILTER IS
type aRxSet is record
RxDv : std_logic;
RxDat: std_logic_vector(1 downto 0);
end record;
type aRxSetArr is array (3 downto 0) of aRxSet;
signal Cnt_Rx_high : std_logic_vector(13 downto 0);
signal Cnt_RxHigh_ToShort : std_logic_vector(1 downto 0);
signal RxHigh_ToShort : std_logic;
signal RxLow_ToShort : std_logic;
signal RxHigh_ToShort_temp : std_logic;
signal RxLow_ToShort_temp : std_logic;
signal RxLowGap_ToShort : std_logic;
signal RxLowGap_ToShort_temp : std_logic;
signal RxDataValidLatch : std_logic;
signal PortIsEnable : std_logic;
signal RxErrOccur : std_logic;
signal RxAnyError : std_logic;
signal RxTxNotActive : std_logic;
signal DisablePort : std_logic;
signal RxDel : aRxSetArr;
BEGIN
disFilter : if bypassFilter generate
begin
RxDvOut <= RxDvIn;
RxDatOut <= RxDatIn;
TxEnOut <= TxEnIn;
TxDatOut <= TxDatIn;
end generate;
enFilter : if not bypassFilter generate
begin
-- IN --
RxDel(0).RxDv <= RxDvIn;
RxDel(0).RxDat <= RxDatIn;
RxDvOut <= RxDel(3).RxDv and PortIsEnable when RxLowGap_ToShort = '1' else
RxDel(1).RxDv and PortIsEnable;
RxDatOut <= RxDel(3).RxDat and (PortIsEnable & PortIsEnable) when RxLowGap_ToShort = '1' else
RxDel(1).RxDat and (PortIsEnable & PortIsEnable);
TxEnOut <= TxEnIn and PortIsEnable;
TxDatOut <= TxDatIn and (PortIsEnable & PortIsEnable);
RxAnyError <= '1' when (Cnt_Rx_high(Cnt_Rx_high'high) = '1') or (RxHigh_ToShort = '1') or (RxLow_ToShort = '1') or (RxErr = '1') or (RxErrOccur = '1') else
'0';
RxTxNotActive <= '1' when (RxDvIn = '0') and (RxDel(1).RxDv = '0') and (RxDel(2).RxDv = '0') and (TxEnIn = '0') and (TxDatIn = "00") else
'0';
-- Port is allowed to be active if RX_DV is not active
PortIsEnable <= '1' when Rst = '1' else
'0' when (RxAnyError = '1') or (DisablePort = '1') else
'1';
do: PROCESS (Rst, Clk)
BEGIN
if Rst = '1' then
Cnt_RxHigh_ToShort <= (others => '0');
RxHigh_ToShort <= '0'; RxHigh_ToShort_temp <= '0';
RxLow_ToShort <= '0'; RxLow_ToShort_temp <= '0';
RxLowGap_ToShort <= '0'; RxLowGap_ToShort_temp <= '0';
RxDel(3 downto 1) <= (others => ('0',"00"));
RxDataValidLatch <= '0';
Cnt_Rx_high <= (others => '0');
RxErrOccur <= '0';
DisablePort <= '0';
elsif rising_edge(Clk) then
RxDel(1) <= RxDel(0);
RxDel(2) <= RxDel(1);
RxDel(3) <= RxDel(2);
RxDataValidLatch <= RxDel(1).RxDv or RxDel(2).RxDv;
if (DisablePort = '0') and (RxAnyError = '1') then DisablePort <= '1';
elsif (DisablePort = '1') and (RxAnyError = '0') and (RxTxNotActive = '1') then DisablePort <= '0';
else DisablePort <= DisablePort;
end if;
----------------------------------------------- Pending Error: Block Port for at least 10.24 usec -----------------------------------------------
if RxErrOccur = '1' then
if RxErr = '1' then -- phy error
Cnt_Rx_high <= (others => '0');
else -- other error
if Cnt_Rx_high(13) = '0' then Cnt_Rx_high <= Cnt_Rx_high + 1; -- wait for 163.84 usec
else RxErrOccur <= '0';
Cnt_Rx_high <= (others => '0');
end if;
end if;
----------------------------------------------- Phy Error -----------------------------------------------
elsif RxErr = '1' then
Cnt_Rx_high <= (others => '0'); -- -> block
RxErrOccur <= '1';
----------------------------------------------- RxDv = 1 -----------------------------------------------
elsif RxDel(1).RxDv = '1' or RxDel(2).RxDv = '1' then
if RxLow_ToShort_temp = '1' then -- if previous Low Phase too short
RxLow_ToShort_temp <= '0'; --> reset temp error
RxLow_ToShort <= '1'; --> set RxLow_ToShort Error !!!
end if;
if RxDataValidLatch = '0' then -- rising_edge of RxDv
Cnt_Rx_high <= (others => '0'); --> reset counter
RxHigh_ToShort_temp <= '1'; --> set temp error
else
if Cnt_Rx_high(13) = '0' then Cnt_Rx_high <= Cnt_Rx_high + 1; end if; -- 163.84 usec (maximum size of frames)
if Cnt_Rx_high(8) = '1' then RxHigh_ToShort_temp <= '0'; end if; -- 5.12 usec (minimum size of frames)
end if; --> reset temp error
----------------------------------------------- RxDv = 0 -----------------------------------------------
elsif RxDel(1).RxDv = '0' or RxDel(2).RxDv = '0' then
if RxDataValidLatch = '1' then -- falling_edge of RxDv
if RxHigh_ToShort_temp = '1' then -- if previous High Phase too short
if Cnt_RxHigh_ToShort /= "11" then Cnt_RxHigh_ToShort <= Cnt_RxHigh_ToShort + 1; --> count Occations
RxHigh_ToShort <= '0'; -- if less than 4 short Frames in a Row -> no error
else RxHigh_ToShort <= '1'; -- else -> RxHigh_ToShort Error !!
end if;
else -- if no error
Cnt_RxHigh_ToShort <= (others => '0'); --> reset Short Frame Counter
RxHigh_ToShort <= '0';
end if;
RxLow_ToShort_temp <= '1'; -- set temp error
RxHigh_ToShort_temp <= '0'; -- reset previous temp error
RxLowGap_ToShort <= '0';
RxLowGap_ToShort_temp <= '1';
Cnt_Rx_high <= "00000000000001"; -- reset Counter (=Low Counter)
else -- no edge
if Cnt_Rx_high(5) = '1' then RxLow_ToShort_temp <= '0'; end if; -- 0.64 usec (minimum size of inter frame gap) -> reset tmp error
if Cnt_Rx_high(9) = '0' then Cnt_Rx_high <= Cnt_Rx_high + 1; -- For 10.24 usec no Frame
else RxHigh_ToShort <= '0'; --> Reset All Errors
RxLow_ToShort <= '0';
end if;
if Cnt_Rx_high(5 downto 1) = "10111" then RxLowGap_ToShort_temp <= '0'; end if; -- 920 ns
if RxLowGap_ToShort_temp = '1' and RxDvIn = '1' then RxLowGap_ToShort <= '1'; end if; -- FrameGap > 940 ns -> Insert 2 Clks Delay to Rx
end if;
end if;
end if;
END PROCESS do;
end generate;
END rtl;
| gpl-2.0 | 191e71199c2e4f64a4364d66b33f959e | 0.354475 | 5.359348 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/Adicionales/Programador_StrataFlash_modificado/Ensamblador/ROM_form.vhd | 1 | 12,433 | ROM_form.vhd
Ken Chapman (Xilinx Ltd) July 2003
This is the VHDL template file for the KCPSM3 assembler.
It is used to configure a Spartan-3, Virtex-II or Virtex-IIPRO block RAM to act as
a single port program ROM.
This VHDL file is not valid as input directly into a synthesis or simulation tool.
The assembler will read this template and insert the data required to complete the
definition of program ROM and write it out to a new '.vhd' file associated with the
name of the original '.psm' file being assembled.
This template can be modified to define alternative memory definitions such as dual port.
However, you are responsible for ensuring the template is correct as the assembler does
not perform any checking of the VHDL.
The assembler identifies all text enclosed by {} characters, and replaces these
character strings. All templates should include these {} character strings for
the assembler to work correctly.
****************************************************************************************
This template defines a block RAM configured in 1024 x 18-bit single port mode and
conneceted to act as a single port ROM.
****************************************************************************************
The next line is used to determine where the template actually starts and must exist.
{begin template}
--
-- Definition of a single port ROM for KCPSM3 program defined by {name}.psm
-- and assmbled using KCPSM3 assembler.
--
-- Standard IEEE libraries
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library unisim;
use unisim.vcomponents.all;
--
--
entity {name} is
Port ( address : in std_logic_vector(9 downto 0);
instruction : out std_logic_vector(17 downto 0);
clk : in std_logic);
end {name};
--
architecture low_level_definition of {name} is
--
-- Attributes to define ROM contents during implementation synthesis.
-- The information is repeated in the generic map for functional simulation
--
attribute INIT_00 : string;
attribute INIT_01 : string;
attribute INIT_02 : string;
attribute INIT_03 : string;
attribute INIT_04 : string;
attribute INIT_05 : string;
attribute INIT_06 : string;
attribute INIT_07 : string;
attribute INIT_08 : string;
attribute INIT_09 : string;
attribute INIT_0A : string;
attribute INIT_0B : string;
attribute INIT_0C : string;
attribute INIT_0D : string;
attribute INIT_0E : string;
attribute INIT_0F : string;
attribute INIT_10 : string;
attribute INIT_11 : string;
attribute INIT_12 : string;
attribute INIT_13 : string;
attribute INIT_14 : string;
attribute INIT_15 : string;
attribute INIT_16 : string;
attribute INIT_17 : string;
attribute INIT_18 : string;
attribute INIT_19 : string;
attribute INIT_1A : string;
attribute INIT_1B : string;
attribute INIT_1C : string;
attribute INIT_1D : string;
attribute INIT_1E : string;
attribute INIT_1F : string;
attribute INIT_20 : string;
attribute INIT_21 : string;
attribute INIT_22 : string;
attribute INIT_23 : string;
attribute INIT_24 : string;
attribute INIT_25 : string;
attribute INIT_26 : string;
attribute INIT_27 : string;
attribute INIT_28 : string;
attribute INIT_29 : string;
attribute INIT_2A : string;
attribute INIT_2B : string;
attribute INIT_2C : string;
attribute INIT_2D : string;
attribute INIT_2E : string;
attribute INIT_2F : string;
attribute INIT_30 : string;
attribute INIT_31 : string;
attribute INIT_32 : string;
attribute INIT_33 : string;
attribute INIT_34 : string;
attribute INIT_35 : string;
attribute INIT_36 : string;
attribute INIT_37 : string;
attribute INIT_38 : string;
attribute INIT_39 : string;
attribute INIT_3A : string;
attribute INIT_3B : string;
attribute INIT_3C : string;
attribute INIT_3D : string;
attribute INIT_3E : string;
attribute INIT_3F : string;
attribute INITP_00 : string;
attribute INITP_01 : string;
attribute INITP_02 : string;
attribute INITP_03 : string;
attribute INITP_04 : string;
attribute INITP_05 : string;
attribute INITP_06 : string;
attribute INITP_07 : string;
--
-- Attributes to define ROM contents during implementation synthesis.
--
attribute INIT_00 of ram_1024_x_18 : label is "{INIT_00}";
attribute INIT_01 of ram_1024_x_18 : label is "{INIT_01}";
attribute INIT_02 of ram_1024_x_18 : label is "{INIT_02}";
attribute INIT_03 of ram_1024_x_18 : label is "{INIT_03}";
attribute INIT_04 of ram_1024_x_18 : label is "{INIT_04}";
attribute INIT_05 of ram_1024_x_18 : label is "{INIT_05}";
attribute INIT_06 of ram_1024_x_18 : label is "{INIT_06}";
attribute INIT_07 of ram_1024_x_18 : label is "{INIT_07}";
attribute INIT_08 of ram_1024_x_18 : label is "{INIT_08}";
attribute INIT_09 of ram_1024_x_18 : label is "{INIT_09}";
attribute INIT_0A of ram_1024_x_18 : label is "{INIT_0A}";
attribute INIT_0B of ram_1024_x_18 : label is "{INIT_0B}";
attribute INIT_0C of ram_1024_x_18 : label is "{INIT_0C}";
attribute INIT_0D of ram_1024_x_18 : label is "{INIT_0D}";
attribute INIT_0E of ram_1024_x_18 : label is "{INIT_0E}";
attribute INIT_0F of ram_1024_x_18 : label is "{INIT_0F}";
attribute INIT_10 of ram_1024_x_18 : label is "{INIT_10}";
attribute INIT_11 of ram_1024_x_18 : label is "{INIT_11}";
attribute INIT_12 of ram_1024_x_18 : label is "{INIT_12}";
attribute INIT_13 of ram_1024_x_18 : label is "{INIT_13}";
attribute INIT_14 of ram_1024_x_18 : label is "{INIT_14}";
attribute INIT_15 of ram_1024_x_18 : label is "{INIT_15}";
attribute INIT_16 of ram_1024_x_18 : label is "{INIT_16}";
attribute INIT_17 of ram_1024_x_18 : label is "{INIT_17}";
attribute INIT_18 of ram_1024_x_18 : label is "{INIT_18}";
attribute INIT_19 of ram_1024_x_18 : label is "{INIT_19}";
attribute INIT_1A of ram_1024_x_18 : label is "{INIT_1A}";
attribute INIT_1B of ram_1024_x_18 : label is "{INIT_1B}";
attribute INIT_1C of ram_1024_x_18 : label is "{INIT_1C}";
attribute INIT_1D of ram_1024_x_18 : label is "{INIT_1D}";
attribute INIT_1E of ram_1024_x_18 : label is "{INIT_1E}";
attribute INIT_1F of ram_1024_x_18 : label is "{INIT_1F}";
attribute INIT_20 of ram_1024_x_18 : label is "{INIT_20}";
attribute INIT_21 of ram_1024_x_18 : label is "{INIT_21}";
attribute INIT_22 of ram_1024_x_18 : label is "{INIT_22}";
attribute INIT_23 of ram_1024_x_18 : label is "{INIT_23}";
attribute INIT_24 of ram_1024_x_18 : label is "{INIT_24}";
attribute INIT_25 of ram_1024_x_18 : label is "{INIT_25}";
attribute INIT_26 of ram_1024_x_18 : label is "{INIT_26}";
attribute INIT_27 of ram_1024_x_18 : label is "{INIT_27}";
attribute INIT_28 of ram_1024_x_18 : label is "{INIT_28}";
attribute INIT_29 of ram_1024_x_18 : label is "{INIT_29}";
attribute INIT_2A of ram_1024_x_18 : label is "{INIT_2A}";
attribute INIT_2B of ram_1024_x_18 : label is "{INIT_2B}";
attribute INIT_2C of ram_1024_x_18 : label is "{INIT_2C}";
attribute INIT_2D of ram_1024_x_18 : label is "{INIT_2D}";
attribute INIT_2E of ram_1024_x_18 : label is "{INIT_2E}";
attribute INIT_2F of ram_1024_x_18 : label is "{INIT_2F}";
attribute INIT_30 of ram_1024_x_18 : label is "{INIT_30}";
attribute INIT_31 of ram_1024_x_18 : label is "{INIT_31}";
attribute INIT_32 of ram_1024_x_18 : label is "{INIT_32}";
attribute INIT_33 of ram_1024_x_18 : label is "{INIT_33}";
attribute INIT_34 of ram_1024_x_18 : label is "{INIT_34}";
attribute INIT_35 of ram_1024_x_18 : label is "{INIT_35}";
attribute INIT_36 of ram_1024_x_18 : label is "{INIT_36}";
attribute INIT_37 of ram_1024_x_18 : label is "{INIT_37}";
attribute INIT_38 of ram_1024_x_18 : label is "{INIT_38}";
attribute INIT_39 of ram_1024_x_18 : label is "{INIT_39}";
attribute INIT_3A of ram_1024_x_18 : label is "{INIT_3A}";
attribute INIT_3B of ram_1024_x_18 : label is "{INIT_3B}";
attribute INIT_3C of ram_1024_x_18 : label is "{INIT_3C}";
attribute INIT_3D of ram_1024_x_18 : label is "{INIT_3D}";
attribute INIT_3E of ram_1024_x_18 : label is "{INIT_3E}";
attribute INIT_3F of ram_1024_x_18 : label is "{INIT_3F}";
attribute INITP_00 of ram_1024_x_18 : label is "{INITP_00}";
attribute INITP_01 of ram_1024_x_18 : label is "{INITP_01}";
attribute INITP_02 of ram_1024_x_18 : label is "{INITP_02}";
attribute INITP_03 of ram_1024_x_18 : label is "{INITP_03}";
attribute INITP_04 of ram_1024_x_18 : label is "{INITP_04}";
attribute INITP_05 of ram_1024_x_18 : label is "{INITP_05}";
attribute INITP_06 of ram_1024_x_18 : label is "{INITP_06}";
attribute INITP_07 of ram_1024_x_18 : label is "{INITP_07}";
--
begin
--
--Instantiate the Xilinx primitive for a block RAM
ram_1024_x_18: RAMB16_S18
--synthesis translate_off
--INIT values repeated to define contents for functional simulation
generic map ( INIT_00 => X"{INIT_00}",
INIT_01 => X"{INIT_01}",
INIT_02 => X"{INIT_02}",
INIT_03 => X"{INIT_03}",
INIT_04 => X"{INIT_04}",
INIT_05 => X"{INIT_05}",
INIT_06 => X"{INIT_06}",
INIT_07 => X"{INIT_07}",
INIT_08 => X"{INIT_08}",
INIT_09 => X"{INIT_09}",
INIT_0A => X"{INIT_0A}",
INIT_0B => X"{INIT_0B}",
INIT_0C => X"{INIT_0C}",
INIT_0D => X"{INIT_0D}",
INIT_0E => X"{INIT_0E}",
INIT_0F => X"{INIT_0F}",
INIT_10 => X"{INIT_10}",
INIT_11 => X"{INIT_11}",
INIT_12 => X"{INIT_12}",
INIT_13 => X"{INIT_13}",
INIT_14 => X"{INIT_14}",
INIT_15 => X"{INIT_15}",
INIT_16 => X"{INIT_16}",
INIT_17 => X"{INIT_17}",
INIT_18 => X"{INIT_18}",
INIT_19 => X"{INIT_19}",
INIT_1A => X"{INIT_1A}",
INIT_1B => X"{INIT_1B}",
INIT_1C => X"{INIT_1C}",
INIT_1D => X"{INIT_1D}",
INIT_1E => X"{INIT_1E}",
INIT_1F => X"{INIT_1F}",
INIT_20 => X"{INIT_20}",
INIT_21 => X"{INIT_21}",
INIT_22 => X"{INIT_22}",
INIT_23 => X"{INIT_23}",
INIT_24 => X"{INIT_24}",
INIT_25 => X"{INIT_25}",
INIT_26 => X"{INIT_26}",
INIT_27 => X"{INIT_27}",
INIT_28 => X"{INIT_28}",
INIT_29 => X"{INIT_29}",
INIT_2A => X"{INIT_2A}",
INIT_2B => X"{INIT_2B}",
INIT_2C => X"{INIT_2C}",
INIT_2D => X"{INIT_2D}",
INIT_2E => X"{INIT_2E}",
INIT_2F => X"{INIT_2F}",
INIT_30 => X"{INIT_30}",
INIT_31 => X"{INIT_31}",
INIT_32 => X"{INIT_32}",
INIT_33 => X"{INIT_33}",
INIT_34 => X"{INIT_34}",
INIT_35 => X"{INIT_35}",
INIT_36 => X"{INIT_36}",
INIT_37 => X"{INIT_37}",
INIT_38 => X"{INIT_38}",
INIT_39 => X"{INIT_39}",
INIT_3A => X"{INIT_3A}",
INIT_3B => X"{INIT_3B}",
INIT_3C => X"{INIT_3C}",
INIT_3D => X"{INIT_3D}",
INIT_3E => X"{INIT_3E}",
INIT_3F => X"{INIT_3F}",
INITP_00 => X"{INITP_00}",
INITP_01 => X"{INITP_01}",
INITP_02 => X"{INITP_02}",
INITP_03 => X"{INITP_03}",
INITP_04 => X"{INITP_04}",
INITP_05 => X"{INITP_05}",
INITP_06 => X"{INITP_06}",
INITP_07 => X"{INITP_07}")
--synthesis translate_on
port map( DI => "0000000000000000",
DIP => "00",
EN => '1',
WE => '0',
SSR => '0',
CLK => clk,
ADDR => address,
DO => instruction(15 downto 0),
DOP => instruction(17 downto 16));
--
end low_level_definition;
--
------------------------------------------------------------------------------------
--
-- END OF FILE {name}.vhd
--
------------------------------------------------------------------------------------
| gpl-3.0 | c018abd95db6137017de60dbcfa0ac8d | 0.585699 | 3.271842 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/reset_blk_ramfifo.vhd | 6 | 38,790 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26976)
`protect data_block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`protect end_protected
| gpl-3.0 | 1dc27a4f7df887ce0f86de4c5829d2d3 | 0.948183 | 1.833696 | false | false | false | false |
rflamino/StellaBlue | core/A6500/src/A6500.vhd | 1 | 29,169 | -- A6500 - 6502 CPU and variants
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
use work.types.all;
entity A6500 is
port(clk: in std_logic;
rst: in std_logic;
irq: in std_logic;
nmi: in std_logic;
stop: in std_logic;
de: in std_logic;
d: inout std_logic_vector(7 downto 0);
ad: out std_logic_vector(15 downto 0);
r: out std_logic;
-- For debugging and running testbenches
a_dbg: out std_logic_vector(7 downto 0);
x_dbg: out std_logic_vector(7 downto 0);
y_dbg: out std_logic_vector(7 downto 0);
s_dbg: out std_logic_vector(7 downto 0);
pcl_dbg: out std_logic_vector(7 downto 0);
pch_dbg: out std_logic_vector(7 downto 0);
adl_dbg: out std_logic_vector(7 downto 0);
adh_dbg: out std_logic_vector(7 downto 0);
p_dbg: out std_logic_vector(7 downto 0));
end A6500;
architecture arch of A6500 is
signal ir: std_logic_vector(7 downto 0);
signal ir_in: std_logic_vector(7 downto 0);
signal ir_load: std_logic;
signal ir_en: std_logic;
signal ir_rst: std_logic;
component reg8 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
d_in: in std_logic_vector(7 downto 0);
d_out: out std_logic_vector(7 downto 0));
end component;
component fsm is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
abs_0: in std_logic;
abs_xy: in std_logic;
acc: in std_logic;
bpc_d: in std_logic;
bpc_u: in std_logic;
branch: in std_logic;
brk: in std_logic;
c: in std_logic;
imm: in std_logic;
ind_x: in std_logic;
ind_y: in std_logic;
jmp: in std_logic;
jmp_abs: in std_logic;
jsr: in std_logic;
pull: in std_logic;
push: in std_logic;
push_pull: in std_logic;
rmw: in std_logic;
rti: in std_logic;
rts: in std_logic;
simple: in std_logic;
store: in std_logic;
sub: in std_logic;
taken: in std_logic;
zp: in std_logic;
zp_xy: in std_logic;
branch1_s: out std_logic;
branch_pd_s: out std_logic;
branch_pu_s: out std_logic;
data_s: out std_logic;
data2_s: out std_logic;
data_idx_s: out std_logic;
exec_fetch_op_s: out std_logic;
fetch_adh1_s: out std_logic;
fetch_adh2_s: out std_logic;
fetch_op_s: out std_logic;
fetch_op2_s: out std_logic;
fetch_op_pc_s: out std_logic;
fetch_pch_s: out std_logic;
inc_pc_s: out std_logic;
int_vec1_s: out std_logic;
int_vec2_s: out std_logic;
jmp_abs1_s: out std_logic;
jmp_abs2_s: out std_logic;
modify_s: out std_logic;
rm_write_s: out std_logic;
stack_s: out std_logic;
stack_exec_op_s: out std_logic;
stack_p_s: out std_logic;
stack_pch_s: out std_logic;
stack_pcl_s: out std_logic;
stack_pull_s: out std_logic;
zero_s: out std_logic;
zero_idx_s: out std_logic);
end component;
component datapath is
port(clk: in std_logic;
rst: in std_logic;
stop: in std_logic;
de: in std_logic;
d: inout std_logic_vector(7 downto 0);
ad: out std_logic_vector(15 downto 0);
src: in datapath_src;
dst: in datapath_dst;
adr: in datapath_adr;
c_sel: in datapath_flg_ctrl;
z_sel: in datapath_flg_ctrl;
n_sel: in datapath_flg_ctrl;
v_sel: in datapath_flg_ctrl;
i_sel: in datapath_flg_ctrl;
d_sel: in datapath_flg_ctrl;
p_out: out std_logic_vector(7 downto 0);
dl_out: out std_logic_vector(7 downto 0);
c_out: out std_logic;
b_in: in std_logic;
do_sel: in datapath_do_ctrl;
int_vec: in std_logic_vector(15 downto 0);
adh_d: in std_logic;
adh_rst: in std_logic;
pch_d: in std_logic;
pc_incr: in std_logic;
r: in std_logic;
fn: in alu_fn;
a_dbg: out std_logic_vector(7 downto 0);
x_dbg: out std_logic_vector(7 downto 0);
y_dbg: out std_logic_vector(7 downto 0);
s_dbg: out std_logic_vector(7 downto 0);
pcl_dbg: out std_logic_vector(7 downto 0);
pch_dbg: out std_logic_vector(7 downto 0);
adl_dbg: out std_logic_vector(7 downto 0);
adh_dbg: out std_logic_vector(7 downto 0));
end component;
signal abs_0: std_logic;
signal abs_xy: std_logic;
signal acc: std_logic;
signal branch: std_logic;
signal brk: std_logic;
signal c: std_logic;
signal imm: std_logic;
signal ind_x: std_logic;
signal ind_y: std_logic;
signal jmp: std_logic;
signal jmp_abs: std_logic;
signal jsr: std_logic;
signal pull: std_logic;
signal push: std_logic;
signal push_pull: std_logic;
signal rmw: std_logic;
signal rti: std_logic;
signal rts: std_logic;
signal simple: std_logic;
signal store: std_logic;
signal sub: std_logic;
signal taken: std_logic;
signal zp: std_logic;
signal zp_xy: std_logic;
signal branch1: std_logic;
signal branch_pd: std_logic;
signal branch_pu: std_logic;
signal data: std_logic;
signal data2: std_logic;
signal data_idx: std_logic;
signal exec_fetch_op: std_logic;
signal fetch_adh: std_logic;
signal fetch_adh2: std_logic;
signal fetch_op: std_logic;
signal fetch_op2: std_logic;
signal fetch_op_pc: std_logic;
signal fetch_pch: std_logic;
signal inc_pc: std_logic;
signal int_vec1: std_logic;
signal int_vec2: std_logic;
signal jmp_abs1: std_logic;
signal jmp_abs2: std_logic;
signal modify: std_logic;
signal rm_write: std_logic;
signal stack: std_logic;
signal stack_exec_op: std_logic;
signal stack_p: std_logic;
signal stack_pch: std_logic;
signal stack_pcl: std_logic;
signal stack_pull: std_logic;
signal zero: std_logic;
signal zero_idx: std_logic;
signal aaa: std_logic_vector(2 downto 0);
signal bbb: std_logic_vector(2 downto 0);
signal cc: std_logic_vector(1 downto 0);
signal bit_instr: std_logic;
signal b: std_logic;
signal zp_x: std_logic;
signal zp_y: std_logic;
signal abs_x: std_logic;
signal abs_y: std_logic;
signal fn_sel: std_logic_vector(7 downto 0);
signal p_o: std_logic_vector(7 downto 0);
signal dl_o: std_logic_vector(7 downto 0);
signal nz_sel_alu: std_logic;
signal nz_sel_mem: std_logic;
signal src: datapath_src;
signal dst: datapath_dst;
signal adr: datapath_adr;
signal c_sel: datapath_flg_ctrl;
signal z_sel: datapath_flg_ctrl;
signal n_sel: datapath_flg_ctrl;
signal v_sel: datapath_flg_ctrl;
signal i_sel: datapath_flg_ctrl;
signal d_sel: datapath_flg_ctrl;
signal do_sel: datapath_do_ctrl;
signal int_vec: std_logic_vector(15 downto 0);
signal adh_d: std_logic;
signal adh_rst: std_logic;
signal pch_d: std_logic;
signal pc_incr: std_logic;
signal fn: alu_fn;
signal int_vec_sel: std_logic_vector(3 downto 0);
signal rw: std_logic;
signal read: std_logic;
signal fsm_en: std_logic;
signal branch_flg: std_logic;
signal bpc_d: std_logic;
signal bpc_u: std_logic;
signal nmi_old: std_logic := '1';
signal nmi_p: std_logic := '0';
signal nmi_l: std_logic := '0';
signal irq_p: std_logic := '0';
signal res_p: std_logic := '1';
signal intr: std_logic;
begin
a6500_datapath: datapath
port map(clk, rst, stop, de, d, ad, src, dst, adr,
c_sel, z_sel, n_sel, v_sel, i_sel, d_sel,
p_o, dl_o, c, b, do_sel, int_vec, adh_d, adh_rst,
pch_d, pc_incr, read, fn, a_dbg, x_dbg, y_dbg,
s_dbg, pcl_dbg, pch_dbg, adl_dbg, adh_dbg);
a6500_fsm: fsm
port map(clk, rst, fsm_en, abs_0, abs_xy, acc,
bpc_d, bpc_u, branch, brk, c, imm, ind_x,
ind_y, jmp, jmp_abs, jsr, pull, push, push_pull,
rmw, rti, rts, simple, store, sub, taken, zp,
zp_xy, branch1, branch_pd, branch_pu, data,
data2, data_idx, exec_fetch_op, fetch_adh,
fetch_adh2, fetch_op, fetch_op2, fetch_op_pc,
fetch_pch, inc_pc, int_vec1, int_vec2, jmp_abs1,
jmp_abs2, modify, rm_write, stack, stack_exec_op,
stack_p, stack_pch, stack_pcl, stack_pull,
zero, zero_idx);
ir_reg8: reg8 port map(clk, '0', ir_en, ir_in, ir);
ir_in <= "00000000" when ir_rst = '1' else d;
ir_en <= ir_load or ir_rst;
-- Instruction fields
aaa <= ir(7 downto 5);
bbb <= ir(4 downto 2);
cc <= ir(1 downto 0);
ir_load <= (exec_fetch_op or fetch_op or fetch_op_pc or stack_exec_op) and not stop;
--intr <= ir_load and ((nmi_old and not nmi) or (not irq and not p_o(I)));
--intr <= ir_load and (irq_p or nmi_p);
intr <= ir_load and (nmi_l or (not irq and not p_o(I)));
ir_rst <= intr; -- Reset to load BRK opcode.
-- FSM Inputs (Addressing modes)
ind_x <= '1' when (cc = "01") and (bbb = "000") else '0';
zp <= '1' when
(bbb = "001") -- 16/C when cc = 00, undocumented in cc = 11
else '0';
imm <= '1' when
(cc = "01" and bbb = "010") or
(ir = X"A2" or ir = X"A0" or ir = X"C0" or ir = X"E0") -- LDX/LDY/CPY/CPX
else '0';
abs_0 <= '1' when
(bbb = "011" and jmp = '0' and jmp_abs = '0') -- undocumented in cc = 11
else '0';
ind_y <= '1' when
(cc = "01" and bbb = "100")
else '0';
zp_y <= '1' when
(ir = X"96" or ir = X"B6") -- STX/LDX
else '0';
zp_x <= '1' when
(bbb = "101" and zp_y = '0') -- 16/C when cc = 00, undocumented in cc = 11
else '0';
abs_y <= '1' when
(cc = "01" and bbb = "110") or
(ir = X"BE") -- LDX -- STX abs,Y?
else '0';
abs_x <= '1' when
(bbb = "111" and ir /= X"BE") -- not LDX
-- 16/C when cc = 00, C when STX, undocumented in cc = 11
else '0';
acc <= '1' when
(ir = X"0A" or ir = X"2A" or ir = X"4A" or ir = X"6A") -- ASL/ROL/LSR/ROR A
else '0';
zp_xy <= zp_x or zp_y;
abs_xy <= abs_y or abs_x;
-- FSM Inputs (Instruction Classification)
rmw <= '1' when
(cc = "10" and aaa /= "100" and aaa /= "101") -- not STX/LDX
else '0';
store <= '1' when
(aaa = "100") -- Undocumented?
else '0';
simple <= '1' when
((cc = "10" or cc = "00") and
(bbb = "110" or -- SEx/CLx/TYA/TXS/TSX, includes C
(bbb = "010" and aaa(2) = '1'))) -- DEY/TAY/INY/INX/TXA/TAX/DEX/NOP
else '0';
push_pull <= '1' when
(cc = "00") and (aaa(2) = '0') and (bbb = "010")
else '0';
sub <= '1' when
(cc = "00") and (aaa(2) = '0') and (bbb = "000")
else '0';
brk <= '1' when
(ir = "00000000")
else '0';
push <= '1' when
(bbb(1) = '1' and aaa(0) = '0')
else '0';
pull <= '1' when
(bbb(1) = '1' and aaa(0) = '1')
else '0';
jsr <= '1' when
(bbb(1) = '0' and aaa(0) = '1' and aaa(1) = '0')
else '0';
rti <= '1' when
(bbb(1) = '0' and aaa(0) = '0' and aaa(1) = '1')
else '0';
rts <= '1' when
(bbb(1) = '0' and aaa(0) = '1' and aaa(1) = '1')
else '0';
jmp <= '1' when
(ir = X"4C")
else '0';
jmp_abs <= '1' when
(ir = X"6C")
else '0';
branch <= '1' when
(cc = "00" and bbb = "100")
else '0';
bit_instr <= '1' when
(ir = X"24" or ir = X"2C")
else '0';
b <= not (irq_p or nmi_p);
int_vec_sel <= int_vec1 & int_vec2 & nmi_p & res_p;
with (int_vec_sel) select int_vec <=
X"FFFA" when "1010",
X"FFFB" when "0110",
X"FFFC" when "1001",
X"FFFD" when "0101",
X"FFFE" when "1000",
X"FFFF" when "0100",
"----------------" when others;
-- Address Bus control
adr(PCHL) <= '1' when
(exec_fetch_op = '1') or
(fetch_op = '1') or
(fetch_op2 = '1') or
(fetch_adh = '1') or
(stack_exec_op = '1') or
(fetch_pch = '1') or
(branch1 = '1') or
(branch_pd = '1') or
(branch_pu = '1') or
(fetch_op_pc = '1') or
(inc_pc = '1')
else '0';
adr(ADHDL) <= '1' when
(zero = '1')
else '0';
adr(ADHL) <= '1' when
(zero_idx = '1') or
(fetch_adh2 = '1') or
(data2 = '1') or
(modify = '1') or
(rm_write = '1') or
(jmp_abs2 = '1')
else '0';
adr(DLADL) <= '1' when
(data = '1') or
(data_idx = '1') or
(jmp_abs1 = '1')
else '0';
adr(INTVEC) <= '1' when
(int_vec1 = '1') or
(int_vec2 = '1')
else '0';
adr(STAD) <= '1' when
(stack = '1') or
(stack_pull = '1') or
(stack_pcl = '1') or
(stack_pch = '1') or
(stack_p = '1')
else '0';
adh_rst <= '1' when
(fetch_op2 = '1')
else '0';
fsm_en <= not stop;
r <= read;
read <= (stack and not push) or
((not (stack or stack_pcl or stack_pch or stack_p)) and rw) or
((stack_pcl or stack_pch or stack_p) and not (jsr or brk));
rw <= not (((data or data2 or (zero and zp) or (zero_idx and zp_xy)) and store) or modify or rm_write);
pc_incr <= not intr and
(fetch_adh or exec_fetch_op or fetch_op or stack_exec_op or -- Next: fetch_op2
fetch_op_pc or fetch_pch or inc_pc or
(fetch_op2 and not (simple or acc or push_pull or irq_p or nmi_p)));
-- ALU Source
src(A) <= '1' when
(exec_fetch_op = '1' and (
(cc = "01") or
(acc = '1') or
(ir = X"24" or ir = X"2C") or -- BIT
(ir = X"A8" or ir = X"AA"))) or -- TAY/TAX
(zero = '1' and ir = X"85") or -- STA zp
(zero_idx = '1' and ir = X"95") or -- STA zp, X
(data = '1' and cc = "01" and aaa = "100" and abs_xy = '0') or -- STA
(data2 = '1' and cc = "01" and aaa = "100") or -- STA
(stack = '1' and push = '1' and aaa(1) = '1') -- PHA
else '0';
src(X) <= '1' when
(exec_fetch_op = '1' and (
(cc = "10" and aaa = "100") or -- TXA/TXS, includes 16/C/STX
(cc = "00" and aaa = "111" and bbb(2) = '0') or -- CPX/INX
(ir = X"CA"))) or -- DEX
(zero = '1' and (ind_x = '1' or zp_x = '1')) or
(zero = '1' and ir = X"86") or -- -- STX zp
(zero_idx = '1' and ir = X"96") or -- STX zp, Y
(data = '1' and cc = "10" and aaa = "100" and abs_xy = '0') or -- STX
(data2 = '1' and cc = "10" and aaa = "100") or -- STX
(fetch_adh = '1' and abs_x = '1')
else '0';
src(Y) <= '1' when
(exec_fetch_op = '1' and (
(cc = "00" and (aaa = "100" or aaa = "110") and bbb(2) = '0') or -- STY/CPY/INY/DEY, STY imm is C
(ir = X"98"))) or -- TYA
(zero = '1' and zp_y = '1') or
(zero = '1' and ir = X"84") or -- -- STY zp
(zero_idx = '1' and ir = X"94") or -- STY zp, X
(data = '1' and cc = "00" and aaa = "100" and abs_xy = '0') or -- STY
(data2 = '1' and cc = "00" and aaa = "100") or -- STY
(fetch_adh = '1' and abs_y = '1') or
(fetch_adh2 = '1' and ind_y = '1')
else '0';
src(S) <= '1' when
(exec_fetch_op = '1' and ir = X"BA") or -- TSX
(stack_exec_op = '1' and push = '1') or -- PHx
(stack = '1' and push = '0' and jsr = '0') or
(stack_pcl = '1') or
(stack_pch = '1' and (jsr = '1' or brk = '1')) or
(stack_p = '1')
else '0';
src(PCL) <= '1' when
(branch1 = '1')
else '0';
src(PCH) <= '1' when
(branch_pd = '1') or
(branch_pu = '1')
else '0';
src(ADL) <= '1' when
(zero_idx = '1' and ind_x = '1') or
(jmp_abs1 = '1') or
(fetch_pch = '1' and jsr = '1')
else '0';
src(DL) <= '1' when
(exec_fetch_op = '1' and
(cc = "10" and
(aaa /= "100") and -- STX
(bbb /= "010" and bbb /= "100" and bbb /= "110"))) or -- TXS/TSX, unavailable addr. modes (C)
(stack_exec_op = '1' and pull = '1') or
(stack = '1' and jsr = '1') or
(stack_pch = '1' and (rts = '1' or rti = '1')) or
(fetch_pch = '1' and (jmp = '1' or jmp_abs = '1')) or
(jmp_abs2 = '1') or
(rm_write = '1') or
(zero = '1' and ind_y = '1') or
(data_idx = '1') or
(data = '1' and (store = '0' or (store = '1' and abs_xy = '1'))) or
(modify = '1')
else '0';
src(P) <= '1' when
(stack = '1' and push = '1' and aaa(1) = '0') -- PHP
else '0';
-- ALU Destination
dst(A) <= '1' when
(exec_fetch_op = '1' and (
(cc = "01" and aaa /= "110") or -- not CMP -- STA?
(acc = '1') or
(ir = X"98" or ir = X"8A"))) or -- TYA/TXA
(stack_exec_op = '1' and pull = '1' and aaa(1) = '1') -- PLA
else '0';
dst(X) <= '1' when
(exec_fetch_op = '1' and (
(cc = "10" and aaa = "101") or -- LDX/TAX/TSX, C when bbb = 100
(ir = X"E8" or ir = X"CA"))) -- INX/DEX
else '0';
dst(Y) <= '1' when
(exec_fetch_op = '1' and (
(cc = "00" and aaa = "101" and bbb /= "100" and bbb /= "110") or -- LDY/TAY
(ir = X"88" or ir = X"C8"))) -- DEY/INY
else '0';
dst(S) <= '1' when
(exec_fetch_op = '1' and ir = X"9A") or -- TXS
(stack = '1' and push = '0' and jsr = '0') or
(stack_exec_op = '1' and push = '1') or -- PHx
(stack_pcl = '1') or
(stack_pch = '1' and (jsr = '1' or brk = '1')) or
(stack_p = '1')
else '0';
dst(PCL) <= '1' when
(branch1 = '1') or
(jmp_abs2 = '1') or
(fetch_pch = '1' and (jmp = '1' or jsr = '1')) or
(stack_pch = '1' and (rts = '1' or rti = '1')) or
(int_vec2 = '1')
else '0';
dst(PCH) <= '1' when
(branch_pd = '1') or
(branch_pu = '1')
else '0';
pch_d <= '1' when
(int_vec2 = '1') or
(jmp_abs2 = '1') or
(fetch_pch = '1' and jmp_abs = '0') or
(stack_pch = '1' and (rts = '1' or rti = '1'))
else '0';
dst(ADL) <= '1' when
(zero = '1' and not (store = '1' and zp = '1')) or
(zero_idx = '1' and ind_x = '1') or
(stack = '1' and jsr = '1') or
(fetch_pch = '1' and jmp_abs = '1') or
(fetch_adh = '1') or
(fetch_adh2 = '1') or
(jmp_abs1 = '1')
else '0';
dst(ADH) <= '1' when
(data = '1' and (store = '0' or (store = '1' and abs_xy = '1'))) or
(data_idx = '1')
else '0';
dst(DL) <= '1' when
(modify = '1')
else '0';
do_sel(DO_PCH) <= '1' when
(stack_pch = '1') and (jsr = '1' or brk = '1')
else '0';
do_sel(DO_PCL) <= '1' when
(stack_pcl = '1') and (jsr = '1' or brk = '1')
else '0';
do_sel(DO_P) <= '1' when
(stack_p = '1' and brk = '1')
else '0';
do_sel(DO_ALU) <= '1' when
(rw = '0') or (stack = '1' and push = '1')
else '0';
adh_d <= '1' when
(fetch_pch = '1' and jmp_abs = '1')
else '0';
-- ALU Functions
with fn_sel select fn <=
('0' & aaa) when "00000001",
('1' & aaa) when "00000010",
"0110" when "00000100", -- CMP
"1110" when "00001000", -- DEC
"1111" when "00010000", -- INC
"1101" when "00100000", -- ADD
"0101" when "01000000", -- LDx (NOP)
"0100" when "10000000", -- STx (NOP)
"----" when others;
fn_sel(0) <= '1' when
(exec_fetch_op = '1' and (
(cc = "01") or
((cc = "00" or cc = "10") and (aaa = "101") and
not (bbb = "010" or bbb = "100" or bbb = "110")) or -- LDX/LDY, includes 16/C
(bit_instr = '1'))) -- BIT
else '0';
fn_sel(1) <= '1' when
(modify = '1') or
(exec_fetch_op = '1' and acc = '1')
else '0';
fn_sel(2) <= '1' when
(exec_fetch_op = '1' and
(cc = "00") and
(aaa = "110" or aaa = "111") and
(bbb = "000" or bbb = "001" or bbb = "011")) -- CPX/CPY
else '0';
fn_sel(3) <= '1' when
(exec_fetch_op = '1' and (ir = X"CA" or ir = X"88")) or -- DEX/DEY
(stack_exec_op = '1' and push = '1') or
((stack_pcl = '1' or stack_pch = '1' or stack_p = '1') and
(jsr = '1' or brk = '1')) or
(branch_pd = '1')
else '0';
fn_sel(4) <= '1' when
(exec_fetch_op = '1' and (ir = X"E8" or ir = X"C8")) or -- INX/INY
(zero = '1' and ind_y = '1') or
(zero_idx = '1' and ind_x = '1') or
(data_idx = '1') or
((stack = '1' or stack_pcl = '1' or stack_p = '1') and
(rts = '1' or rti = '1' or pull = '1')) or
(jmp_abs1 = '1') or
(branch_pu = '1')
else '0';
fn_sel(5) <= '1' when
(zero = '1' and (ind_x = '1' or zp_xy = '1')) or
(fetch_adh = '1' and abs_xy = '1') or
(fetch_adh2 = '1' and ind_y = '1') or
(branch1 = '1')
else '0';
fn_sel(6) <= '1' when
(fetch_adh = '1' and abs_0 = '1') or
(fetch_adh2 = '1' and ind_x = '1') or
(zero = '1' and zp = '1' and store = '0') or
(data = '1' and (store = '0' or (store = '1' and abs_xy = '1'))) or
(int_vec2 = '1') or
(stack_exec_op = '1' and pull = '1')
else '0';
-- It seems that there are very few cases where we do not care about what the ALU does, if at all.
-- So, the following assigns NOP by default to the ALU. We do not use "when others" because
-- that also takes into account the cases where multiple members of the fn_sel vector are 1.
-- These take care of most transfer instructions.
fn_sel(7) <= not (fn_sel(0) or fn_sel(1) or fn_sel(2) or fn_sel(3) or fn_sel(4) or fn_sel(5) or fn_sel(6));
c_sel(FLG_ALU) <= '1' when
(exec_fetch_op = '1' and (
(cc = "01" and
(aaa = "011" or aaa = "110" or aaa = "111")) or -- ADC/CMP/SBC
(cc = "00" and
(aaa = "110" or aaa = "111") and bbb /= "010" and bbb /= "110") or -- CPX/CPY
(acc = '1'))) or
(modify = '1' and (
(cc = "10") and aaa(2) /= '1')) -- ASL/LSR/ROL/ROR
else '0';
c_sel(FLG_MEM) <= '1' when
(stack_exec_op = '1' and pull = '1' and aaa(1) = '0') or -- PLP
(stack_pcl = '1' and rti = '1')
else '0';
c_sel(FLG_ZERO) <= '1' when
(exec_fetch_op = '1' and ir = X"18") -- CLC
else '0';
c_sel(FLG_ONE) <= '1' when
(exec_fetch_op = '1' and ir = X"38") -- SEC
else '0';
nz_sel_alu <= '1' when
(exec_fetch_op = '1' and (
(cc = "01" and aaa /= "100") or -- not STA
(ir = X"8A" or ir = X"BA" or ir = X"88" or ir = X"98") or -- TXA/TSX/DEY/TYA
(ir = X"AA" or ir = X"A8") or -- TAX/TAY
(cc = "00" and
(aaa = "101" or aaa = "110" or aaa = "111") and -- LDY/CPY/CPX
not (bbb = "100" or bbb = "110")) or -- unavailable modes, includes 16
(cc = "10" and
(aaa = "101") and -- LDX
not (bbb = "010" or bbb = "110")) or
(ir = X"CA") or -- DEX
(acc = '1'))) or
(stack_exec_op = '1' and pull = '1' and aaa(1) = '1') or -- PLA
(modify = '1')
else '0';
nz_sel_mem <= '1' when
(stack_exec_op = '1' and pull = '1' and aaa(1) = '0') or -- PLP
(stack_pcl = '1' and rti = '1')
else '0';
n_sel(FLG_ALU) <= '1' when
(nz_sel_alu = '1')
else '0';
n_sel(FLG_MEM) <= '1' when
(nz_sel_mem = '1' or bit_instr = '1')
else '0';
n_sel(FLG_ZERO) <= '0';
n_sel(FLG_ONE) <= '0';
z_sel(FLG_ALU) <= '1' when
(nz_sel_alu = '1' or bit_instr = '1')
else '0';
z_sel(FLG_MEM) <= '1' when
(nz_sel_mem = '1')
else '0';
z_sel(FLG_ZERO) <= '0';
z_sel(FLG_ONE) <= '0';
v_sel(FLG_ALU) <= '1' when
(exec_fetch_op = '1' and (
(cc = "01" and (aaa = "011" or aaa = "111")))) -- ADC/CMP/SBC
else '0';
v_sel(FLG_MEM) <= '1' when
(stack_exec_op = '1' and pull = '1' and aaa(1) = '0') or -- PLP
(stack_pcl = '1' and rti = '1') or
(ir = X"24" or ir = X"2C") -- BIT
else '0';
v_sel(FLG_ZERO) <= '1' when
(exec_fetch_op = '1' and ir = X"B8") -- CLV
else '0';
v_sel(FLG_ONE) <= '0';
i_sel(FLG_ALU) <= '0';
i_sel(FLG_MEM) <= '1' when
(stack_exec_op = '1' and pull = '1' and aaa(1) = '0') or -- PLP
(stack_pcl = '1' and rti = '1')
else '0';
i_sel(FLG_ZERO) <= '1' when
(exec_fetch_op = '1' and ir = X"58") -- CLI
else '0';
i_sel(FLG_ONE) <= '1' when
(exec_fetch_op = '1' and ir = X"78") or -- SEI
(int_vec2 = '1')
else '0';
d_sel(FLG_ALU) <= '0';
d_sel(FLG_MEM) <= '1' when
(stack_exec_op = '1' and pull = '1' and aaa(1) = '0') or -- PLP
(stack_pcl = '1' and rti = '1')
else '0';
d_sel(FLG_ZERO) <= '1' when
(exec_fetch_op = '1' and ir = X"D8") -- CLD
else '0';
d_sel(FLG_ONE) <= '1' when
(exec_fetch_op = '1' and ir = X"F8") -- SED
else '0';
with ir(7 downto 6) select branch_flg <=
p_o(7) when "00",
p_o(6) when "01",
p_o(0) when "10",
p_o(1) when "11",
'-' when others;
taken <= '1' when
(branch_flg = ir(5))
else '0';
bpc_u <= '1' when
(dl_o(7) = '0' and c = '1')
else '0';
bpc_d <= '1' when
(dl_o(7) = '1' and c = '0')
else '0';
-- Interrupt logic
process(clk, rst, nmi, fetch_op, exec_fetch_op, fetch_op_pc,
stack_exec_op, fetch_op2, nmi_p, irq, p_o)
begin
if (clk = '1' and clk'event) then
if (rst = '1') then
nmi_p <= '0';
irq_p <= '0';
res_p <= '1';
nmi_old <= '1';
nmi_l <= '0';
else
nmi_old <= nmi;
if (nmi_l = '0' and nmi_old = '1' and nmi = '0') then
nmi_l <= '1';
elsif (fetch_op = '1' or
exec_fetch_op = '1' or
fetch_op_pc = '1' or
stack_exec_op = '1')
and (stop = '0') then
nmi_l <= '0';
end if;
if (fetch_op = '1' or
exec_fetch_op = '1' or
fetch_op_pc = '1' or
stack_exec_op = '1')
and (stop = '0') then
-- Next state: fetch_op2
if (nmi_l = '1') then
nmi_p <= '1';
irq_p <= '0';
elsif (irq = '0' and p_o(I) = '0') then
nmi_p <= '0';
irq_p <= '1';
else
nmi_p <= '0';
irq_p <= '0';
end if;
res_p <= '0';
end if;
end if;
end if;
end process;
p_dbg <= p_o;
end arch;
| mit | 180fab8d9841c835633ceabda1892c5f | 0.461312 | 2.950238 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/pdi_controlStatusReg.vhd | 5 | 20,582 | ------------------------------------------------------------------------------------------------------------------------
-- Process Data Interface (PDI) status control register
--
-- Copyright (C) 2011 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-14 V0.01 zelenkaj extract from pdi.vhd
-- 2011-11-21 V0.02 zelenkaj added time synchronization feature
-- added 12 bytes to DPR as reserved
-- 2011-11-29 V0.03 zelenkaj led and event is optional
-- 2011-12-20 V0.04 zelenkaj changed 2xbuf switch source to ap irq
-- 2012-01-26 V0.05 zelenkaj en-/disable double buffer with genTimeSync_g
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity pdiControlStatusReg is
generic (
bIsPcp : boolean := true;
iAddrWidth_g : integer := 8;
iBaseDpr_g : integer := 16#4#; --base address (in external mapping) of content in dpr
iSpanDpr_g : integer := 12; --span of content in dpr
iBaseMap2_g : integer := 0; --base address in dpr
iDprAddrWidth_g : integer := 11;
iRpdos_g : integer := 3;
genLedGadget_g : boolean := false;
genTimeSync_g : boolean := false;
genEvent_g : boolean := false;
--register content
---constant values
magicNumber : std_Logic_vector(31 downto 0) := (others => '0');
pdiRev : std_logic_vector(15 downto 0) := (others => '0');
tPdoBuffer : std_logic_vector(31 downto 0) := (others => '0');
rPdo0Buffer : std_logic_vector(31 downto 0) := (others => '0');
rPdo1Buffer : std_logic_vector(31 downto 0) := (others => '0');
rPdo2Buffer : std_logic_vector(31 downto 0) := (others => '0');
asyncBuffer1Tx : std_logic_vector(31 downto 0) := (others => '0');
asyncBuffer1Rx : std_logic_vector(31 downto 0) := (others => '0');
asyncBuffer2Tx : std_logic_vector(31 downto 0) := (others => '0');
asyncBuffer2Rx : std_logic_vector(31 downto 0) := (others => '0')
);
port (
--memory mapped interface
clk : in std_logic;
rst : in std_logic;
sel : in std_logic;
wr : in std_logic;
rd : in std_logic;
addr : in std_logic_vector(iAddrWidth_g-1 downto 0);
be : in std_logic_vector(3 downto 0);
din : in std_logic_vector(31 downto 0);
dout : out std_logic_vector(31 downto 0);
--register content
---virtual buffer control signals
rpdo_change_tog : in std_logic_vector(2 downto 0); --change buffer from hw acc
tpdo_change_tog : in std_logic; --change buffer from hw acc
pdoVirtualBufferSel : in std_logic_vector(31 downto 0); --for debugging purpose from SW side
--TXPDO_ACK | RXPDO2_ACK | RXPDO1_ACK | RXPDO0_ACK
tPdoTrigger : out std_logic; --TPDO virtual buffer change trigger
rPdoTrigger : out std_logic_vector(2 downto 0); --RPDOs virtual buffer change triggers
---is used for Irq Generation and should be mapped to apIrqGen
apIrqControlOut : out std_logic_vector(15 downto 0);
apIrqControlIn : in std_logic_vector(15 downto 0);
---event registers
eventAckIn : in std_logic_vector(15 downto 0);
eventAckOut : out std_logic_vector(15 downto 0);
---async irq (by event)
asyncIrqCtrlIn : In std_logic_vector(15 downto 0); --Ap only
asyncIrqCtrlOut : out std_logic_vector(15 downto 0); --Ap only
---led stuff
ledCnfgIn : in std_logic_vector(15 downto 0);
ledCnfgOut : out std_logic_vector(15 downto 0);
ledCtrlIn : in std_logic_vector(15 downto 0);
ledCtrlOut : out std_logic_vector(15 downto 0);
---time synchronization
doubleBufSel_out : out std_logic; --Ap only
doubleBufSel_in : in std_logic := '0'; --Pcp only
timeSyncIrq : in std_logic; --SYNC IRQ to Ap (Ap only)
--dpr interface (from PCP/AP to DPR)
dprAddrOff : out std_logic_vector(iDprAddrWidth_g downto 0);
dprDin : out std_logic_vector(31 downto 0);
dprDout : in std_logic_vector(31 downto 0);
dprBe : out std_logic_vector(3 downto 0);
dprWr : out std_logic
);
end entity pdiControlStatusReg;
architecture rtl of pdiControlStatusReg is
constant c_num_dbuf_dpr : integer := 4; --number of dbuf in DPR (per buffer 4 byte)
signal selDpr : std_logic; --if '1' get/write content from/to dpr
signal nonDprDout : std_logic_vector(31 downto 0);
signal addrRes : std_logic_vector(dprAddrOff'range);
--signal apIrqValue_s : std_logic_vector(31 downto 0); --pcp only
signal virtualBufferSelectTpdo : std_logic_vector(15 downto 0);
signal virtualBufferSelectRpdo0 : std_logic_vector(15 downto 0);
signal virtualBufferSelectRpdo1 : std_logic_vector(15 downto 0);
signal virtualBufferSelectRpdo2 : std_logic_vector(15 downto 0);
--edge detection
signal rpdo_change_tog_l : std_logic_vector(2 downto 0); --change buffer from hw acc
signal tpdo_change_tog_l : std_logic; --change buffer from hw acc
--time synchronization
signal timeSyncIrq_rising : std_logic;
---select signals
signal sel_time_after_sync : std_logic;
signal sel_double_buffer : std_logic;
----double buffered content
signal sel_relative_time_l : std_logic;
signal sel_relative_time_h : std_logic;
signal sel_nettime_nsec : std_logic;
signal sel_nettime_sec : std_logic;
signal sel_time_sync_regs : std_logic;
---time after sync counter
constant c_time_after_sync_cnt_size : integer := 16; --revise code if changed
signal time_after_sync_cnt : std_logic_vector(c_time_after_sync_cnt_size-1 downto 0);
signal time_after_sync_cnt_latch : std_logic_vector(c_time_after_sync_cnt_size/2-1 downto 0);
signal time_after_sync_cnt_next : std_logic_vector(c_time_after_sync_cnt_size-1 downto 0);
signal time_after_sync_cnt_out : std_logic_vector(c_time_after_sync_cnt_size-1 downto 0) := (others => '0');
constant time_after_sync_res : std_logic_vector(32-c_time_after_sync_cnt_size-1 downto 0) := (others => '0');
---address offsets
constant c_addr_time_after_sync : integer := 16#50#;
constant c_addr_relative_time_l : integer := 16#40#;
constant c_addr_relative_time_h : integer := 16#44#;
constant c_addr_nettime_nsec : integer := 16#48#;
constant c_addr_nettime_sec : integer := 16#4C#;
begin
--map to 16bit register
--TXPDO_ACK | RXPDO2_ACK | RXPDO1_ACK | RXPDO0_ACK
virtualBufferSelectRpdo0 <= pdoVirtualBufferSel( 7 downto 0) & pdoVirtualBufferSel( 7 downto 0);
virtualBufferSelectRpdo1 <= pdoVirtualBufferSel(15 downto 8) & pdoVirtualBufferSel(15 downto 8);
virtualBufferSelectRpdo2 <= pdoVirtualBufferSel(23 downto 16) & pdoVirtualBufferSel(23 downto 16);
virtualBufferSelectTpdo <= pdoVirtualBufferSel(31 downto 24) & pdoVirtualBufferSel(31 downto 24);
--generate dpr select signal
selDpr <= sel when (conv_integer(addr) >= iBaseDpr_g AND
conv_integer(addr) < iBaseDpr_g + iSpanDpr_g - c_num_dbuf_dpr)
else '0';
--time sync select content if the double buffer has to be generated (genTimeSync_g)
sel_time_after_sync <= '1' when conv_integer(addr)*4 = c_addr_time_after_sync and genTimeSync_g else '0';
sel_relative_time_l <= '1' when conv_integer(addr)*4 = c_addr_relative_time_l and genTimeSync_g else '0';
sel_relative_time_h <= '1' when conv_integer(addr)*4 = c_addr_relative_time_h and genTimeSync_g else '0';
sel_nettime_nsec <= '1' when conv_integer(addr)*4 = c_addr_nettime_nsec and genTimeSync_g else '0';
sel_nettime_sec <= '1' when conv_integer(addr)*4 = c_addr_nettime_sec and genTimeSync_g else '0';
---or them up...
sel_time_sync_regs <= sel_relative_time_l or sel_relative_time_h or sel_nettime_nsec or sel_nettime_sec;
genTimeSync : if genTimeSync_g generate
begin
--we need a rising edge to do magic
apSyncIrqEdgeDet : entity work.edgedet
port map (
din => timeSyncIrq,
rising => timeSyncIrq_rising,
falling => open,
any => open,
clk => clk,
rst => rst
);
genDoubleBufPcp : if bIsPcp generate
begin
--take the other buffer (Ap has already inverted, see lines below!)
sel_double_buffer <= doubleBufSel_in;
--Pcp has no timer
time_after_sync_cnt_out <= (others => '0');
end generate;
genDoubleBufAp : if not bIsPcp generate
begin
--output the inverted to the PCP
doubleBufSel_out <= not sel_double_buffer;
--switch the double buffer with the sync irq, rising edge of course
process(clk, rst)
begin
if rst = '1' then
sel_double_buffer <= '0';
elsif rising_edge(clk) then
if timeSyncIrq_rising = '1' then --rising edge
sel_double_buffer <= not sel_double_buffer;
end if;
end if;
end process;
end generate;
genTimeAfterSyncCnt : if not bIsPcp generate
constant ZEROS : std_logic_vector(time_after_sync_cnt'range) := (others => '0');
constant ONES : std_logic_vector(time_after_sync_cnt'range) := (others => '1');
begin
--TIME_AFTER_SYNC counter
process(clk, rst)
begin
if rst = '1' then
time_after_sync_cnt <= (others => '0');
elsif clk = '1' and clk'event then
time_after_sync_cnt <= time_after_sync_cnt_next;
--there are some kind of interfaces that read only the half of a word...
-- so store the half that is not read
-- and forward it to the Ap at the next read
if sel = '1' and sel_time_after_sync = '1' and be = "0001" then
time_after_sync_cnt_latch <= time_after_sync_cnt(c_time_after_sync_cnt_size-1 downto c_time_after_sync_cnt_size/2);
end if;
end if;
end process;
time_after_sync_cnt_next <= ZEROS when timeSyncIrq_rising = '1' else --rising edge
time_after_sync_cnt when time_after_sync_cnt = ONES else --saturate
time_after_sync_cnt + 1; --count for your life!
time_after_sync_cnt_out <= time_after_sync_cnt when be(3 downto 2) = "11" or be(1 downto 0) = "11" else
time_after_sync_cnt_latch & time_after_sync_cnt(time_after_sync_cnt_latch'range);
end generate;
end generate;
--assign content depending on selDpr
dprDin <= din;
dprBe <= be;
dprWr <= wr when selDpr = '1' else
'0';
dout <= dprDout when selDpr = '1' else
nonDprDout;
dprAddrOff <= addrRes + 4 when sel_double_buffer = '1' and sel_time_sync_regs = '1' and genTimeSync_g else --select 2nd double buffer
addrRes; --select 1st double buffer or other content
--address conversion
---map external address mapping into dpr
addrRes <= conv_std_logic_vector(iBaseMap2_g - iBaseDpr_g, addrRes'length);
--non dpr read
with conv_integer(addr)*4 select
nonDprDout <= magicNumber when 16#00#,
(x"0000" & pdiRev) when 16#04#,
--STORED IN DPR when 16#08#,
--STORED IN DPR when 16#0C#,
--STORED IN DPR when 16#10#,
--STORED IN DPR when 16#14#,
--STORED IN DPR when 16#18#,
--STORED IN DPR when 16#1C#,
--STORED IN DPR when 16#20#,
--STORED IN DPR when 16#24#,
--STORED IN DPR when 16#28#,
--STORED IN DPR when 16#2C#,
--STORED IN DPR when 16#30#,
--STORED IN DPR when 16#34#, --RESERVED
--STORED IN DPR when 16#38#, --RESERVED
--STORED IN DPR when 16#3C#, --RESERVED
--STORED IN DPR x2 when c_addr_relative_time_l, --RELATIVE_TIME low
--STORED IN DPR x2 when c_addr_relative_time_h, --RELATIVE_TIME high
--STORED IN DPR x2 when c_addr_nettime_nsec, --NETTIME nsec
--STORED IN DPR x2 when c_addr_nettime_sec, --NETTIME sec
(time_after_sync_res &
time_after_sync_cnt_out) when c_addr_time_after_sync, --RES / TIME_AFTER_SYNC
(eventAckIn & asyncIrqCtrlIn) when 16#54#,
tPdoBuffer when 16#58#,
rPdo0Buffer when 16#5C#,
rPdo1Buffer when 16#60#,
rPdo2Buffer when 16#64#,
asyncBuffer1Tx when 16#68#,
asyncBuffer1Rx when 16#6C#,
asyncBuffer2Tx when 16#70#,
asyncBuffer2Rx when 16#74#,
--RESERVED when 16#78#,
--RESERVED when 16#7C#,
(virtualBufferSelectRpdo0 &
virtualBufferSelectTpdo) when 16#80#,
(virtualBufferSelectRpdo2 &
virtualBufferSelectRpdo1) when 16#84#,
(x"0000" & apIrqControlIn) when 16#88#,
--RESERVED when 16#8C#,
--RESERVED when 16#90#,
(ledCnfgIn & ledCtrlIn) when 16#94#,
(others => '0') when others;
--ignored values
asyncIrqCtrlOut(14 downto 1) <= (others => '0');
eventAckOut(15 downto 8) <= (others => '0');
--non dpr write
process(clk, rst)
begin
if rst = '1' then
tPdoTrigger <= '0';
rPdoTrigger <= (others => '0');
--apIrqControlOut <= (others => '0');
if bIsPcp = true then
apIrqControlOut(7) <= '0';
apIrqControlOut(6) <= '0';
end if;
if bIsPcp = false then
apIrqControlOut(15) <= '0';
end if;
apIrqControlOut(0) <= '0';
if genEvent_g then
if bIsPcp = false then
asyncIrqCtrlOut(0) <= '0';
asyncIrqCtrlOut(15) <= '0';
end if;
eventAckOut(7 downto 0) <= (others => '0');
end if;
if genLedGadget_g then
ledCtrlOut(7 downto 0) <= (others => '0');
ledCnfgOut(7 downto 0) <= (others => '0');
end if;
if bIsPcp then
rpdo_change_tog_l <= (others => '0');
tpdo_change_tog_l <= '0';
end if;
elsif clk = '1' and clk'event then
--default assignments
tPdoTrigger <= '0';
rPdoTrigger <= (others => '0');
apIrqControlOut(0) <= '0'; --PCP: set pulse // AP: ack pulse
if genEvent_g then
eventAckOut(7 downto 0) <= (others => '0'); --PCP: set pulse // AP: ack pulse
end if;
if bIsPcp then
--shift register for edge det
rpdo_change_tog_l <= rpdo_change_tog;
tpdo_change_tog_l <= tpdo_change_tog;
--edge detection
---tpdo
if tpdo_change_tog_l /= tpdo_change_tog then
tPdoTrigger <= '1';
end if;
---rpdo
for i in rpdo_change_tog'range loop
if rpdo_change_tog_l(i) /= rpdo_change_tog(i) then
rPdoTrigger(i) <= '1';
end if;
end loop;
end if;
if wr = '1' and sel = '1' and selDpr = '0' then
case conv_integer(addr)*4 is
when 16#00# =>
--RO
when 16#04# =>
--RO
when 16#08# =>
--STORED IN DPR
when 16#0C# =>
--STORED IN DPR
when 16#10# =>
--STORED IN DPR
when 16#14# =>
--STORED IN DPR
when 16#18# =>
--STORED IN DPR
when 16#1C# =>
--STORED IN DPR
when 16#20# =>
--STORED IN DPR
when 16#24# =>
--STORED IN DPR
when 16#28# =>
--STORED IN DPR
when 16#2C# =>
--STORED IN DPR
when 16#30# =>
--STORED IN DPR
when 16#34# =>
--STORED IN DPR RESERVED
when 16#38# =>
--STORED IN DPR RESERVED
when 16#3C# =>
--STORED IN DPR RESERVED
when 16#40# =>
--STORED IN DPR x2
when 16#44# =>
--STORED IN DPR x2
when 16#48# =>
--STORED IN DPR x2
when 16#4C# =>
--STORED IN DPR x2
when c_addr_time_after_sync =>
--RO
when 16#54# =>
--AP ONLY
if genEvent_g then
if be(0) = '1' and bIsPcp = false then
--asyncIrqCtrlOut(7 downto 0) <= din(7 downto 0);
asyncIrqCtrlOut(0) <= din(0); --rest is ignored
end if;
if be(1) = '1' and bIsPcp = false then
--asyncIrqCtrlOut(15 downto 8) <= din(15 downto 8);
asyncIrqCtrlOut(15) <= din(15); --rest is ignored
end if;
if be(2) = '1' then
eventAckOut(7 downto 0) <= din(23 downto 16);
end if;
--ignore higher byte of event ack
-- if be(3) = '1' then
-- eventAckOut(15 downto 8) <= din(31 downto 24);
-- end if;
end if;
when 16#58# =>
--RO
when 16#5C# =>
--RO
when 16#60# =>
--RO
when 16#64# =>
--RO
when 16#68# =>
--RO
when 16#6C# =>
--RO
when 16#70# =>
--RO
when 16#74# =>
--RO
when 16#78# =>
--RESERVED
when 16#7C# =>
--RESERVED
when 16#80# =>
if be(0) = '1' then
tPdoTrigger <= '1';
end if;
if be(1) = '1' then
tPdoTrigger <= '1';
end if;
if be(2) = '1' then
rPdoTrigger(0) <= '1';
end if;
if be(3) = '1' then
rPdoTrigger(0) <= '1';
end if;
when 16#84# =>
if be(0) = '1' then
rPdoTrigger(1) <= '1';
end if;
if be(1) = '1' then
rPdoTrigger(1) <= '1';
end if;
if be(2) = '1' then
rPdoTrigger(2) <= '1';
end if;
if be(3) = '1' then
rPdoTrigger(2) <= '1';
end if;
when 16#88# =>
if be(0) = '1' then
--apIrqControlOut(7 downto 0) <= din(7 downto 0);
if bIsPcp = true then
apIrqControlOut(7) <= din(7);
apIrqControlOut(6) <= din(6);
end if;
apIrqControlOut(0) <= din(0);
end if;
if be(1) = '1' then
--apIrqControlOut(15 downto 8) <= din(15 downto 8);
if bIsPcp = false then
apIrqControlOut(15) <= din(15);
end if;
end if;
when 16#8C# =>
--RESERVED
when 16#90# =>
--RESERVED
when 16#94# =>
if genLedGadget_g then
if be(0) = '1' then
ledCtrlOut(7 downto 0) <= din(7 downto 0);
end if;
if be(1) = '1' then
ledCtrlOut(15 downto 8) <= din(15 downto 8);
end if;
if be(2) = '1' then
ledCnfgOut(7 downto 0) <= din(23 downto 16);
end if;
if be(3) = '1' then
ledCnfgOut(15 downto 8) <= din(31 downto 24);
end if;
end if;
when others =>
end case;
end if;
end if;
end process;
end architecture rtl; | gpl-2.0 | 17eef8fab3bb9f74fb548a0ca0e57d99 | 0.575454 | 3.164514 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/SignalGenerator/SignalGeneratorTB.vhd | 1 | 2,134 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.MathHelpers.all;
library hdl_library_ClockGenerator;
use hdl_library_ClockGenerator.all;
library hdl_library_SignalGenerator;
use hdl_library_SignalGenerator.all;
entity SignalGeneratorTB is
end entity; --SignalGeneratorTB
architecture tb of SignalGeneratorTB is
constant G_CLOCK_FREQUENCY : integer := 100E6;
constant G_CLOCK_DIVIDER : integer := 100;
constant G_SIGNAL_OUTPUT_RESOLUTION : integer := 16;
constant G_SIGNAL_SHAPE_TYPES : integer := 4;
constant SIGNAL_TYPE_SAW : integer := 0;
constant SIGNAL_TYPE_SINE : integer := 1;
constant SIGNAL_TYPE_TRIANGLE : integer := 2;
constant SIGNAL_TYPE_SQUARE : integer := 3;
constant SIGNAL_TYPE_RANDOM : integer := 4;
constant SIGNAL_TYPE_DIRAC : integer := 5;
constant G_CLOCK_COUNTER : integer := 100;
--declarations
signal clock : std_logic := '0';
signal clock_n : std_logic := '0';
signal enable : std_logic := '0';
signal ready : std_logic := '0';
signal clock_divider1 : integer := 1000;
signal clock_output : std_logic := '0';
signal clock_output_n : std_logic := '0';
-- signal signal_shape : std_logic_vector(log2(G_SIGNAL_SHAPE_TYPES) - 1 downto 0);
signal output_signal : std_logic_vector(G_SIGNAL_OUTPUT_RESOLUTION - 1 downto 0);
signal dirac_index : integer := 50;
begin
clock <= not clock after (1 sec / G_CLOCK_FREQUENCY) / 2;
clock_n <= not clock;
enable <= '1' after 100 ns;
dut : entity hdl_library_SignalGenerator.SignalGenerator
generic map
(
G_CLOCK_FREQUENCY => G_CLOCK_FREQUENCY,
G_SIGNAL_OUTPUT_RESOLUTION => G_SIGNAL_OUTPUT_RESOLUTION,
G_CLOCK_COUNTER => G_CLOCK_COUNTER,
G_SIGNAL_SHAPE => SIGNAL_TYPE_DIRAC
)
port map
(
clock => clock,
enable => enable,
output_signal => output_signal,
dirac_index => dirac_index
--ready => ready
);
end architecture; -- tb
| gpl-3.0 | de1f432770918314eb44f859c5814cc0 | 0.6612 | 3.061693 | false | false | false | false |
foresterre/mal | vhdl/env.vhdl | 17 | 2,358 | library STD;
use STD.textio.all;
library WORK;
use WORK.types.all;
package env is
procedure new_env(e: out env_ptr; an_outer: inout env_ptr);
procedure new_env(e: out env_ptr; an_outer: inout env_ptr; binds: inout mal_val_ptr; exprs: inout mal_val_ptr);
procedure env_set(e: inout env_ptr; key: inout mal_val_ptr; val: inout mal_val_ptr);
procedure env_get(e: inout env_ptr; key: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr);
end package env;
package body env is
procedure new_env(e: out env_ptr; an_outer: inout env_ptr) is
variable null_list: mal_val_ptr;
begin
null_list := null;
new_env(e, an_outer, null_list, null_list);
end procedure new_env;
procedure new_env(e: out env_ptr; an_outer: inout env_ptr; binds: inout mal_val_ptr; exprs: inout mal_val_ptr) is
variable the_data, more_exprs: mal_val_ptr;
variable i: integer;
begin
new_empty_hashmap(the_data);
if binds /= null then
for i in binds.seq_val'range loop
if binds.seq_val(i).string_val.all = "&" then
seq_drop_prefix(exprs, i, more_exprs);
hashmap_put(the_data, binds.seq_val(i + 1), more_exprs);
exit;
else
hashmap_put(the_data, binds.seq_val(i), exprs.seq_val(i));
end if;
end loop;
end if;
e := new env_record'(outer => an_outer, data => the_data);
end procedure new_env;
procedure env_set(e: inout env_ptr; key: inout mal_val_ptr; val: inout mal_val_ptr) is
begin
hashmap_put(e.data, key, val);
end procedure env_set;
procedure env_find(e: inout env_ptr; key: inout mal_val_ptr; found_env: out env_ptr) is
variable found: boolean;
begin
hashmap_contains(e.data, key, found);
if found then
found_env := e;
else
if e.outer = null then
found_env := null;
else
env_find(e.outer, key, found_env);
end if;
end if;
end procedure env_find;
procedure env_get(e: inout env_ptr; key: inout mal_val_ptr; result: out mal_val_ptr; err: out mal_val_ptr) is
variable found_env: env_ptr;
begin
env_find(e, key, found_env);
if found_env = null then
new_string("'" & key.string_val.all & "' not found", err);
result := null;
return;
end if;
hashmap_get(found_env.data, key, result);
end procedure env_get;
end package body env;
| mpl-2.0 | c6163c672bfdf0317cebedc60e2cb084 | 0.637829 | 3.007653 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/altera_nios2/EBV_DBC3C40/design_nios2_directIO/altpll_0.vhd | 1 | 16,065 | --altpll_avalon avalon_use_separate_sysclk="NO" CBX_SINGLE_OUTPUT_FILE="ON" CBX_SUBMODULE_USED_PORTS="altpll:clk,locked,inclk" address c0 c1 c2 c3 c4 clk locked phasedone read readdata reset write writedata bandwidth_type="AUTO" clk0_divide_by=1 clk0_duty_cycle=50 clk0_multiply_by=1 clk0_phase_shift="0" clk1_divide_by=1 clk1_duty_cycle=50 clk1_multiply_by=2 clk1_phase_shift="0" clk2_divide_by=2 clk2_duty_cycle=50 clk2_multiply_by=1 clk2_phase_shift="0" clk3_divide_by=1 clk3_duty_cycle=50 clk3_multiply_by=1 clk3_phase_shift="0" clk4_divide_by=1 clk4_duty_cycle=50 clk4_multiply_by=1 clk4_phase_shift="-5000" compensate_clock="CLK0" device_family="CYCLONEIII" inclk0_input_frequency=20000 intended_device_family="Cyclone III" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_USED" port_clk2="PORT_USED" port_clk3="PORT_USED" port_clk4="PORT_USED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5
--VERSION_BEGIN 10.1SP1 cbx_altclkbuf 2011:01:19:21:13:40:SJ cbx_altiobuf_bidir 2011:01:19:21:13:40:SJ cbx_altiobuf_in 2011:01:19:21:13:40:SJ cbx_altiobuf_out 2011:01:19:21:13:40:SJ cbx_altpll 2011:01:19:21:13:40:SJ cbx_altpll_avalon 2011:01:19:21:13:40:SJ cbx_cycloneii 2011:01:19:21:13:40:SJ cbx_lpm_add_sub 2011:01:19:21:13:40:SJ cbx_lpm_compare 2011:01:19:21:13:40:SJ cbx_lpm_decode 2011:01:19:21:13:40:SJ cbx_lpm_mux 2011:01:19:21:13:40:SJ cbx_lpm_shiftreg 2011:01:19:21:13:40:SJ cbx_mgl 2011:01:19:21:15:40:SJ cbx_stratix 2011:01:19:21:13:40:SJ cbx_stratixii 2011:01:19:21:13:40:SJ cbx_stratixiii 2011:01:19:21:13:40:SJ cbx_stratixv 2011:01:19:21:13:40:SJ cbx_util_mgl 2011:01:19:21:13:40:SJ VERSION_END
-- Copyright (C) 1991-2011 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
--altera_std_synchronizer CBX_SINGLE_OUTPUT_FILE="ON" clk din dout reset_n
--VERSION_BEGIN 10.1SP1 cbx_mgl 2011:01:19:21:15:40:SJ cbx_stratixii 2011:01:19:21:13:40:SJ cbx_util_mgl 2011:01:19:21:13:40:SJ VERSION_END
--dffpipe CBX_SINGLE_OUTPUT_FILE="ON" DELAY=3 WIDTH=1 clock clrn d q ALTERA_INTERNAL_OPTIONS=AUTO_SHIFT_REGISTER_RECOGNITION=OFF
--VERSION_BEGIN 10.1SP1 cbx_mgl 2011:01:19:21:15:40:SJ cbx_stratixii 2011:01:19:21:13:40:SJ cbx_util_mgl 2011:01:19:21:13:40:SJ VERSION_END
--synthesis_resources = reg 3
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY altpll_0_dffpipe_l2c IS
PORT
(
clock : IN STD_LOGIC := '0';
clrn : IN STD_LOGIC := '1';
d : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
);
END altpll_0_dffpipe_l2c;
ARCHITECTURE RTL OF altpll_0_dffpipe_l2c IS
ATTRIBUTE synthesis_clearbox : natural;
ATTRIBUTE synthesis_clearbox OF RTL : ARCHITECTURE IS 1;
ATTRIBUTE ALTERA_ATTRIBUTE : string;
ATTRIBUTE ALTERA_ATTRIBUTE OF RTL : ARCHITECTURE IS "AUTO_SHIFT_REGISTER_RECOGNITION=OFF";
SIGNAL dffe4a : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL dffe5a : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL dffe6a : STD_LOGIC_VECTOR(0 DOWNTO 0)
-- synopsys translate_off
:= (OTHERS => '0')
-- synopsys translate_on
;
SIGNAL wire_dffpipe3_w_lg_sclr34w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL ena : STD_LOGIC;
SIGNAL prn : STD_LOGIC;
SIGNAL sclr : STD_LOGIC;
BEGIN
wire_dffpipe3_w_lg_sclr34w(0) <= NOT sclr;
ena <= '1';
prn <= '1';
q <= dffe6a;
sclr <= '0';
PROCESS (clock, prn, clrn)
BEGIN
IF (prn = '0') THEN dffe4a <= (OTHERS => '1');
ELSIF (clrn = '0') THEN dffe4a <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (ena = '1') THEN dffe4a(0) <= (d(0) AND wire_dffpipe3_w_lg_sclr34w(0));
END IF;
END IF;
END PROCESS;
PROCESS (clock, prn, clrn)
BEGIN
IF (prn = '0') THEN dffe5a <= (OTHERS => '1');
ELSIF (clrn = '0') THEN dffe5a <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (ena = '1') THEN dffe5a(0) <= (dffe4a(0) AND wire_dffpipe3_w_lg_sclr34w(0));
END IF;
END IF;
END PROCESS;
PROCESS (clock, prn, clrn)
BEGIN
IF (prn = '0') THEN dffe6a <= (OTHERS => '1');
ELSIF (clrn = '0') THEN dffe6a <= (OTHERS => '0');
ELSIF (clock = '1' AND clock'event) THEN
IF (ena = '1') THEN dffe6a(0) <= (dffe5a(0) AND wire_dffpipe3_w_lg_sclr34w(0));
END IF;
END IF;
END PROCESS;
END RTL; --altpll_0_dffpipe_l2c
--synthesis_resources = reg 3
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY altpll_0_stdsync_sv6 IS
PORT
(
clk : IN STD_LOGIC;
din : IN STD_LOGIC;
dout : OUT STD_LOGIC;
reset_n : IN STD_LOGIC
);
END altpll_0_stdsync_sv6;
ARCHITECTURE RTL OF altpll_0_stdsync_sv6 IS
ATTRIBUTE synthesis_clearbox : natural;
ATTRIBUTE synthesis_clearbox OF RTL : ARCHITECTURE IS 1;
SIGNAL wire_dffpipe3_q : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll_0_dffpipe_l2c
PORT
(
clock : IN STD_LOGIC := '0';
clrn : IN STD_LOGIC := '1';
d : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)
);
END COMPONENT;
BEGIN
dout <= wire_dffpipe3_q(0);
dffpipe3 : altpll_0_dffpipe_l2c
PORT MAP (
clock => clk,
clrn => reset_n,
d(0) => din,
q => wire_dffpipe3_q
);
END RTL; --altpll_0_stdsync_sv6
--altpll bandwidth_type="AUTO" CBX_SINGLE_OUTPUT_FILE="ON" clk0_divide_by=1 clk0_duty_cycle=50 clk0_multiply_by=1 clk0_phase_shift="0" clk1_divide_by=1 clk1_duty_cycle=50 clk1_multiply_by=2 clk1_phase_shift="0" clk2_divide_by=2 clk2_duty_cycle=50 clk2_multiply_by=1 clk2_phase_shift="0" clk3_divide_by=1 clk3_duty_cycle=50 clk3_multiply_by=1 clk3_phase_shift="0" clk4_divide_by=1 clk4_duty_cycle=50 clk4_multiply_by=1 clk4_phase_shift="-5000" compensate_clock="CLK0" device_family="CYCLONEIII" inclk0_input_frequency=20000 intended_device_family="Cyclone III" operation_mode="normal" pll_type="AUTO" port_clk0="PORT_USED" port_clk1="PORT_USED" port_clk2="PORT_USED" port_clk3="PORT_USED" port_clk4="PORT_USED" port_clk5="PORT_UNUSED" port_extclk0="PORT_UNUSED" port_extclk1="PORT_UNUSED" port_extclk2="PORT_UNUSED" port_extclk3="PORT_UNUSED" port_inclk1="PORT_UNUSED" port_phasecounterselect="PORT_UNUSED" port_phasedone="PORT_UNUSED" port_scandata="PORT_UNUSED" port_scandataout="PORT_UNUSED" width_clock=5 clk inclk locked
--VERSION_BEGIN 10.1SP1 cbx_altclkbuf 2011:01:19:21:13:40:SJ cbx_altiobuf_bidir 2011:01:19:21:13:40:SJ cbx_altiobuf_in 2011:01:19:21:13:40:SJ cbx_altiobuf_out 2011:01:19:21:13:40:SJ cbx_altpll 2011:01:19:21:13:40:SJ cbx_cycloneii 2011:01:19:21:13:40:SJ cbx_lpm_add_sub 2011:01:19:21:13:40:SJ cbx_lpm_compare 2011:01:19:21:13:40:SJ cbx_lpm_decode 2011:01:19:21:13:40:SJ cbx_lpm_mux 2011:01:19:21:13:40:SJ cbx_mgl 2011:01:19:21:15:40:SJ cbx_stratix 2011:01:19:21:13:40:SJ cbx_stratixii 2011:01:19:21:13:40:SJ cbx_stratixiii 2011:01:19:21:13:40:SJ cbx_stratixv 2011:01:19:21:13:40:SJ cbx_util_mgl 2011:01:19:21:13:40:SJ VERSION_END
LIBRARY cycloneiii;
USE cycloneiii.all;
--synthesis_resources = cycloneiii_pll 1
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY altpll_0_altpll_rnt2 IS
PORT
(
clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0);
inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
locked : OUT STD_LOGIC
);
END altpll_0_altpll_rnt2;
ARCHITECTURE RTL OF altpll_0_altpll_rnt2 IS
ATTRIBUTE synthesis_clearbox : natural;
ATTRIBUTE synthesis_clearbox OF RTL : ARCHITECTURE IS 1;
SIGNAL wire_pll7_clk : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_pll7_fbout : STD_LOGIC;
SIGNAL wire_pll7_locked : STD_LOGIC;
COMPONENT cycloneiii_pll
GENERIC
(
BANDWIDTH_TYPE : STRING := "auto";
CLK0_DIVIDE_BY : NATURAL := 1;
CLK0_DUTY_CYCLE : NATURAL := 50;
CLK0_MULTIPLY_BY : NATURAL := 0;
CLK0_PHASE_SHIFT : STRING := "UNUSED";
CLK1_DIVIDE_BY : NATURAL := 1;
CLK1_DUTY_CYCLE : NATURAL := 50;
CLK1_MULTIPLY_BY : NATURAL := 0;
CLK1_PHASE_SHIFT : STRING := "UNUSED";
CLK2_DIVIDE_BY : NATURAL := 1;
CLK2_DUTY_CYCLE : NATURAL := 50;
CLK2_MULTIPLY_BY : NATURAL := 0;
CLK2_PHASE_SHIFT : STRING := "UNUSED";
CLK3_DIVIDE_BY : NATURAL := 1;
CLK3_DUTY_CYCLE : NATURAL := 50;
CLK3_MULTIPLY_BY : NATURAL := 0;
CLK3_PHASE_SHIFT : STRING := "UNUSED";
CLK4_DIVIDE_BY : NATURAL := 1;
CLK4_DUTY_CYCLE : NATURAL := 50;
CLK4_MULTIPLY_BY : NATURAL := 0;
CLK4_PHASE_SHIFT : STRING := "UNUSED";
COMPENSATE_CLOCK : STRING := "clk0";
INCLK0_INPUT_FREQUENCY : NATURAL := 0;
OPERATION_MODE : STRING := "normal";
PLL_TYPE : STRING := "auto"
);
PORT
(
clk : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
fbin : IN STD_LOGIC := '0';
fbout : OUT STD_LOGIC;
inclk : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
locked : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
clk <= ( wire_pll7_clk(4 DOWNTO 0));
locked <= wire_pll7_locked;
pll7 : cycloneiii_pll
GENERIC MAP (
BANDWIDTH_TYPE => "auto",
CLK0_DIVIDE_BY => 1,
CLK0_DUTY_CYCLE => 50,
CLK0_MULTIPLY_BY => 1,
CLK0_PHASE_SHIFT => "0",
CLK1_DIVIDE_BY => 1,
CLK1_DUTY_CYCLE => 50,
CLK1_MULTIPLY_BY => 2,
CLK1_PHASE_SHIFT => "0",
CLK2_DIVIDE_BY => 2,
CLK2_DUTY_CYCLE => 50,
CLK2_MULTIPLY_BY => 1,
CLK2_PHASE_SHIFT => "0",
CLK3_DIVIDE_BY => 1,
CLK3_DUTY_CYCLE => 50,
CLK3_MULTIPLY_BY => 1,
CLK3_PHASE_SHIFT => "0",
CLK4_DIVIDE_BY => 1,
CLK4_DUTY_CYCLE => 50,
CLK4_MULTIPLY_BY => 1,
CLK4_PHASE_SHIFT => "-5000",
COMPENSATE_CLOCK => "clk0",
INCLK0_INPUT_FREQUENCY => 20000,
OPERATION_MODE => "normal",
PLL_TYPE => "auto"
)
PORT MAP (
clk => wire_pll7_clk,
fbin => wire_pll7_fbout,
fbout => wire_pll7_fbout,
inclk => inclk,
locked => wire_pll7_locked
);
END RTL; --altpll_0_altpll_rnt2
--synthesis_resources = cycloneiii_pll 1 reg 5
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY altpll_0 IS
PORT
(
address : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
c0 : OUT STD_LOGIC;
c1 : OUT STD_LOGIC;
c2 : OUT STD_LOGIC;
c3 : OUT STD_LOGIC;
c4 : OUT STD_LOGIC;
clk : IN STD_LOGIC;
locked : OUT STD_LOGIC;
phasedone : OUT STD_LOGIC;
read : IN STD_LOGIC;
readdata : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
reset : IN STD_LOGIC;
write : IN STD_LOGIC;
writedata : IN STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END altpll_0;
ARCHITECTURE RTL OF altpll_0 IS
ATTRIBUTE synthesis_clearbox : natural;
ATTRIBUTE synthesis_clearbox OF RTL : ARCHITECTURE IS 1;
ATTRIBUTE ALTERA_ATTRIBUTE : string;
SIGNAL wire_stdsync2_dout : STD_LOGIC;
SIGNAL wire_stdsync2_reset_n : STD_LOGIC;
SIGNAL wire_sd1_clk : STD_LOGIC_VECTOR (4 DOWNTO 0);
SIGNAL wire_sd1_inclk : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL wire_sd1_locked : STD_LOGIC;
SIGNAL pfdena_reg : STD_LOGIC
-- synopsys translate_off
:= '1'
-- synopsys translate_on
;
ATTRIBUTE ALTERA_ATTRIBUTE OF pfdena_reg : SIGNAL IS "POWER_UP_LEVEL=HIGH";
SIGNAL wire_pfdena_reg_ena : STD_LOGIC;
SIGNAL prev_reset : STD_LOGIC
-- synopsys translate_off
:= '0'
-- synopsys translate_on
;
SIGNAL wire_w_lg_read17w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_read23w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_select_control15w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_select_control21w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_select_status20w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_select_status14w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_reset11w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_address_range1w3w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_select_control15w16w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_lg_w_lg_w_select_control21w22w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL w_locked : STD_LOGIC;
SIGNAL w_pfdena : STD_LOGIC;
SIGNAL w_phasedone : STD_LOGIC;
SIGNAL w_pll_areset_in : STD_LOGIC;
SIGNAL w_reset : STD_LOGIC;
SIGNAL w_select_control : STD_LOGIC;
SIGNAL w_select_status : STD_LOGIC;
SIGNAL wire_w_address_range1w : STD_LOGIC_VECTOR (0 DOWNTO 0);
SIGNAL wire_w_address_range2w : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll_0_stdsync_sv6
PORT
(
clk : IN STD_LOGIC;
din : IN STD_LOGIC;
dout : OUT STD_LOGIC;
reset_n : IN STD_LOGIC
);
END COMPONENT;
COMPONENT altpll_0_altpll_rnt2
PORT
(
clk : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
inclk : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
locked : OUT STD_LOGIC
);
END COMPONENT;
BEGIN
wire_w_lg_read17w(0) <= read AND wire_w_lg_w_lg_w_select_control15w16w(0);
wire_w_lg_read23w(0) <= read AND wire_w_lg_w_lg_w_select_control21w22w(0);
wire_w_lg_w_select_control15w(0) <= w_select_control AND w_pfdena;
wire_w_lg_w_select_control21w(0) <= w_select_control AND w_pll_areset_in;
wire_w_lg_w_select_status20w(0) <= w_select_status AND w_locked;
wire_w_lg_w_select_status14w(0) <= w_select_status AND w_phasedone;
wire_w_lg_reset11w(0) <= NOT reset;
wire_w_lg_w_address_range1w3w(0) <= NOT wire_w_address_range1w(0);
wire_w_lg_w_lg_w_select_control15w16w(0) <= wire_w_lg_w_select_control15w(0) OR wire_w_lg_w_select_status14w(0);
wire_w_lg_w_lg_w_select_control21w22w(0) <= wire_w_lg_w_select_control21w(0) OR wire_w_lg_w_select_status20w(0);
c0 <= wire_sd1_clk(0);
c1 <= wire_sd1_clk(1);
c2 <= wire_sd1_clk(2);
c3 <= wire_sd1_clk(3);
c4 <= wire_sd1_clk(4);
locked <= wire_sd1_locked;
phasedone <= '0';
readdata <= ( "000000000000000000000000000000" & wire_w_lg_read17w & wire_w_lg_read23w);
w_locked <= wire_stdsync2_dout;
w_pfdena <= pfdena_reg;
w_phasedone <= '1';
w_pll_areset_in <= prev_reset;
w_reset <= ((write AND w_select_control) AND writedata(0));
w_select_control <= ((NOT address(1)) AND address(0));
w_select_status <= ((NOT address(1)) AND wire_w_lg_w_address_range1w3w(0));
wire_w_address_range1w(0) <= address(0);
wire_w_address_range2w(0) <= address(1);
wire_stdsync2_reset_n <= wire_w_lg_reset11w(0);
stdsync2 : altpll_0_stdsync_sv6
PORT MAP (
clk => clk,
din => wire_sd1_locked,
dout => wire_stdsync2_dout,
reset_n => wire_stdsync2_reset_n
);
wire_sd1_inclk <= ( "0" & clk);
sd1 : altpll_0_altpll_rnt2
PORT MAP (
clk => wire_sd1_clk,
inclk => wire_sd1_inclk,
locked => wire_sd1_locked
);
PROCESS (clk, reset)
BEGIN
IF (reset = '1') THEN pfdena_reg <= '1';
ELSIF (clk = '1' AND clk'event) THEN
IF (wire_pfdena_reg_ena = '1') THEN pfdena_reg <= writedata(1);
END IF;
END IF;
END PROCESS;
wire_pfdena_reg_ena <= (write AND w_select_control);
PROCESS (clk, reset)
BEGIN
IF (reset = '1') THEN prev_reset <= '0';
ELSIF (clk = '1' AND clk'event) THEN prev_reset <= w_reset;
END IF;
END PROCESS;
END RTL; --altpll_0
--VALID FILE
| gpl-2.0 | 916fbfd941121ef8cf8bb9793ef6a856 | 0.662434 | 2.670379 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/clk_x_pntrs_builtin.vhd | 6 | 43,418 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30400)
`protect data_block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`protect end_protected
| gpl-3.0 | f991f1538015e5ebc33122e18aa308ce | 0.948547 | 1.824132 | false | false | false | false |
estadofinito/biblioteca-vhdl | modulos/mod011-contador-0-499/contador_up_down_0_499.vhd | 1 | 1,465 | ----------------------------------------------------------------------------------
-- Compaa: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creacin: 2014/05/20 15:32:00
-- Nombre del mdulo: contador_up_down_0_511 - Behavioral
-- Comentarios adicionales:
-- Contador de 9 bits (de 0 a 499), con valor después del reset igual a 59, y
-- con dos entradas: una para marcar incremento y otra para marcar decremento.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_1164.ALL;
entity contador_up_down_0_499 is
PORT(
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
cnt_up : IN STD_LOGIC;
cnt_down: IN STD_LOGIC;
contador: OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
end contador_up_down_0_499;
architecture Behavioral of contador_up_down_0_499 is
signal temporal: UNSIGNED(8 DOWNTO 0) := "000111011";
begin
proceso_contador: process (clk, reset, cnt_up, cnt_down) begin
if (reset = '1') then
temporal <= "000111011";
elsif rising_edge(clk) then
if (cnt_up = '1' AND temporal < 499) then
temporal <= temporal + 1;
elsif (cnt_down = '1' AND temporal > 0) then
temporal <= temporal - 1;
end if;
end if;
end process;
contador <= STD_LOGIC_VECTOR(temporal);
end Behavioral; | lgpl-2.1 | 23522c737481380505d97a89b3eb12bf | 0.53347 | 3.792746 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_axi_write_wrapper.vhd | 8 | 66,283 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 47328)
`protect data_block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`protect end_protected
| gpl-3.0 | e6c205071a8e045ba3d22631392d4f4b | 0.9505 | 1.821462 | false | false | false | false |
rflamino/StellaBlue | core/A2601/src/Bench.vhd | 1 | 12,720 | -- A2601 Main Bench
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
--
library std;
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_textio.all;
entity bench is
port (verbose: in std_logic);
end bench;
architecture bench of bench is
component A2601 is
port(vid_clk: in std_logic;
rst: in std_logic;
d: inout std_logic_vector(7 downto 0);
a: out std_logic_vector(12 downto 0);
r: out std_logic;
pa: inout std_logic_vector(7 downto 0);
pb: inout std_logic_vector(7 downto 0);
inpt4: in std_logic;
inpt5: in std_logic;
colu: out std_logic_vector(6 downto 0);
csyn: out std_logic;
vsyn: out std_logic;
hsyn: out std_logic;
au0: out std_logic;
au1: out std_logic;
av0: out std_logic_vector(3 downto 0);
av1: out std_logic_vector(3 downto 0);
ph0_out: out std_logic;
ph1_out: out std_logic);
end component;
component ram128x8 is
port(clk: in std_logic;
r: in std_logic;
d_in: in std_logic_vector(7 downto 0);
d_out: out std_logic_vector(7 downto 0);
a: in std_logic_vector(6 downto 0));
end component;
signal vid_clk: std_logic;
signal rst: std_logic;
signal d: std_logic_vector(7 downto 0);
signal a: std_logic_vector(15 downto 0);
signal pa: std_logic_vector(7 downto 0);
signal pb: std_logic_vector(7 downto 0);
signal inpt4: std_logic;
signal inpt5: std_logic;
signal colu: std_logic_vector(6 downto 0);
signal csyn: std_logic;
signal vsyn: std_logic;
signal hsyn: std_logic;
signal au0: std_logic;
signal au1: std_logic;
signal av0: std_logic_vector(3 downto 0);
signal av1: std_logic_vector(3 downto 0);
signal ph0: std_logic;
signal ph1: std_logic;
signal sc_clk: std_logic;
signal sc_r: std_logic;
signal sc_d_in: std_logic_vector(7 downto 0);
signal sc_d_out: std_logic_vector(7 downto 0);
signal sc_a: std_logic_vector(6 downto 0);
subtype bss_type is std_logic_vector(2 downto 0);
constant BANK00: bss_type := "000";
constant BANKF8: bss_type := "001";
constant BANKF6: bss_type := "010";
constant BANKFE: bss_type := "011";
constant BANKE0: bss_type := "100";
constant BANK3F: bss_type := "101";
signal bank: std_logic_vector(3 downto 0) := "0000";
signal tf_bank: std_logic_vector(1 downto 0);
signal e0_bank: std_logic_vector(2 downto 0);
signal e0_bank0: std_logic_vector(2 downto 0) := "000";
signal e0_bank1: std_logic_vector(2 downto 0) := "000";
signal e0_bank2: std_logic_vector(2 downto 0) := "000";
signal bss: bss_type;
signal sc: std_logic;
signal cpu_d: std_logic_vector(7 downto 0);
signal cpu_a: std_logic_vector(12 downto 0);
signal r: std_logic;
constant vid_clk_period: time := 17.5 ns;
--constant vid_clk_period: time := 17.4375 ns;
--constant vid_clk_period: time := 69.75 ns;
--constant clk_period: time := 279.365 ns;
--constant clk_period: time := 279 ns;
constant clk_period: time := 280 ns;
constant aud_period: time := 22.676 us;
procedure print_msg(
constant msg: in string;
constant val: in std_logic_vector(7 downto 0)) is
variable l: line;
begin
write(l, now);
write(l, string'(": "));
write(l, msg);
write(l, string'(": "));
hwrite(l, val);
writeline(output, l);
end print_msg;
procedure print_msg(
constant msg: in string;
constant val: in integer) is
variable l: line;
begin
write(l, now);
write(l, string'(": "));
write(l, msg);
write(l, string'(": "));
write(l, val);
writeline(output, l);
end print_msg;
procedure print_a_d(
constant a: in std_logic_vector(15 downto 0);
constant d: in std_logic_vector(7 downto 0)
) is
variable l: line;
begin
write(l, now);
write(l, string'(" A: "));
hwrite(l, a);
write(l, string'(" D: "));
hwrite(l, d);
writeline(output, l);
end print_a_d;
procedure status_report(
constant msg: in string) is
variable l: line;
begin
write(l, msg);
writeline(output, l);
end status_report;
type rom_array is array(0 to 65535) of std_logic_vector(7 downto 0);
shared variable rom: rom_array;
procedure load_rom(
constant fln: in string) is
file rf: text;
variable status: file_open_status;
variable l: line;
variable i: integer;
variable d: integer;
begin
file_open(status, rf, fln, read_mode);
assert (status = open_ok) report "Cannot open file.";
i := 0;
while not (endfile(rf)) loop
readline(rf, l);
read(l, d);
rom(i) := std_logic_vector(to_unsigned(d, 8));
i := i + 1 ;
end loop;
end procedure load_rom;
procedure write_byte(
file f: text;
constant val: in std_logic_vector(7 downto 0)) is
variable l: line;
begin
write(l, to_integer(unsigned(val)));
writeline(f, l);
end procedure write_byte;
procedure write_vsync(
file f: text) is
variable l: line;
begin
write(l, string'("VSYNC"));
writeline(f, l);
end procedure write_vsync;
begin
test_A2601: A2601
port map(vid_clk, rst, cpu_d, cpu_a, r, pa, pb, inpt4, inpt5, colu, csyn, vsyn, hsyn, au0, au1, av0, av1, ph0, ph1);
sc_ram128x8: ram128x8
port map(sc_clk, sc_r, sc_d_in, sc_d_out, sc_a);
-- This clock is phase shifted so that we can use Xilinx synchronous block RAM.
sc_clk <= not ph1;
sc_r <= '0' when cpu_a(12 downto 7) = "100000" else '1';
sc_d_in <= cpu_d;
sc_a <= cpu_a(6 downto 0);
-- ROM and SC output
process(cpu_a, d, sc_d_out, sc)
begin
if (cpu_a(12 downto 7) = "100001" and sc = '1') then
cpu_d <= sc_d_out;
elsif (cpu_a(12 downto 7) = "100000" and sc = '1') then
cpu_d <= "ZZZZZZZZ";
elsif (cpu_a(12) = '1') then
cpu_d <= d;
else
cpu_d <= "ZZZZZZZZ";
end if;
end process;
with cpu_a(11 downto 10) select e0_bank <=
e0_bank0 when "00",
e0_bank1 when "01",
e0_bank2 when "10",
"111" when "11",
"---" when others;
tf_bank <= bank(1 downto 0) when (cpu_a(11) = '0') else "11";
with bss select a <=
"0000" & cpu_a(11 downto 0) when BANK00,
"000" & bank(0) & cpu_a(11 downto 0) when BANKF8,
"00" & bank(1 downto 0) & cpu_a(11 downto 0) when BANKF6,
"000" & bank(0) & cpu_a(11 downto 0) when BANKFE,
"000" & e0_bank & cpu_a(9 downto 0) when BANKE0,
"000" & tf_bank & cpu_a(10 downto 0) when BANK3F,
"----------------" when others;
bankswch: process(ph0)
begin
if (ph0'event and ph0 = '1') then
if (rst = '1') then
bank <= "0000";
e0_bank0 <= "000";
e0_bank1 <= "000";
e0_bank2 <= "000";
else
case bss is
when BANKF8 =>
if (cpu_a = "1" & X"FF8") then
bank <= "0000";
elsif (cpu_a = "1" & X"FF9") then
bank <= "0001";
end if;
when BANKF6 =>
if (cpu_a = "1" & X"FF6") then
bank <= "0000";
elsif (cpu_a = "1" & X"FF7") then
bank <= "0001";
elsif (cpu_a = "1" & X"FF8") then
bank <= "0010";
elsif (cpu_a = "1" & X"FF9") then
bank <= "0011";
end if;
when BANKFE =>
if (cpu_a = "0" & X"1FE") then
bank <= "0000";
elsif (cpu_a = "1" & X"1FE") then
bank <= "0001";
end if;
when BANKE0 =>
if (cpu_a(12 downto 4) = "1" & X"FE" and cpu_a(3) = '0') then
e0_bank0 <= cpu_a(2 downto 0);
elsif (cpu_a(12 downto 4) = "1" & X"FE" and cpu_a(3) = '1') then
e0_bank1 <= cpu_a(2 downto 0);
elsif (cpu_a(12 downto 4) = "1" & X"FF" and cpu_a(3) = '0') then
e0_bank2 <= cpu_a(2 downto 0);
end if;
when BANK3F =>
--if (cpu_a(12 downto 6) = "0000000") then
if (cpu_a = "0" & X"03F") then
bank(1 downto 0) <= cpu_d(1 downto 0);
end if;
when others =>
null;
end case;
end if;
end if;
end process;
bss <= BANK00;
sc <= '0';
pa <= "11111111";
pb(7 downto 1) <= "1111111";
inpt4 <= '1';
inpt5 <= '1';
rst_sig: process
begin
rst <= '1';
wait for 3 * clk_period / 2;
rst <= '0';
wait;
end process;
vid_clk_sig: process
begin
vid_clk <= '1';
wait for vid_clk_period / 2;
vid_clk <= '0';
wait for vid_clk_period / 2;
end process;
d <= rom(to_integer(unsigned(a)));
video: process
variable i: integer;
variable hcnt: integer;
variable vcnt: integer;
file ff: text;
begin
i := 0;
file_open(ff, "..\video\video.txt", write_mode);
wait for clk_period / 2;
while (true) loop
while (vsyn /= '1') loop
wait for clk_period;
end loop;
while (vsyn /= '0') loop
wait for clk_period;
end loop;
vcnt := 0;
while (vsyn /= '1') loop
if (hsyn = '1') then
if (hcnt /= 0) then
vcnt := vcnt + 1;
end if;
hcnt := 0;
write_byte(ff, X"FF");
else
write_byte(ff, colu & "0");
hcnt := hcnt + 1;
end if;
wait for clk_period;
end loop;
print_msg("Frame", i);
write_vsync(ff);
i := i + 1;
end loop;
file_close(ff);
end process;
audio: process
variable val: unsigned(4 downto 0);
file fa: text;
variable auv0: unsigned(3 downto 0);
variable auv1: unsigned(3 downto 0);
begin
file_open(fa, "..\audio\audio.txt", write_mode);
while (true) loop
val := "00000";
if (au0 = '1') then
val := "0" & unsigned(av0);
end if;
if (au1 = '1') then
val := val + ("0" & unsigned(av1));
end if;
write_byte(fa, std_logic_vector("000" & val));
wait for aud_period;
end loop;
end process;
process
begin
load_rom("..\rom\astrblst.txt");
wait;
end process;
end bench;
| mit | d070037ad776991371d98cabf133f269 | 0.479088 | 3.66465 | false | false | false | false |
richard42/CoCo3FPGA | T65.vhd | 1 | 15,927 | -- ****
-- T65(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 301 more merging
-- Ver 300 Bugfixes by ehenciak added, started tidyup *bust*
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- 65xx compatible microprocessor core
--
-- Version : 0246
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t65/
--
-- Limitations :
--
-- 65C02 and 65C816 modes are incomplete
-- Undocumented instructions are not supported
-- Some interface signals behaves incorrect
--
-- File history :
--
-- 0246 : First release
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T65_Pack.all;
-- ehenciak 2-23-2005 : Added the enable signal so that one doesn't have to use
-- the ready signal to limit the CPU.
entity T65 is
port(
Mode : in std_logic_vector(1 downto 0); -- "00" => 6502, "01" => 65C02, "10" => 65C816
Res_n : in std_logic;
Enable : in std_logic;
Clk : in std_logic;
Rdy : in std_logic;
Abort_n : in std_logic;
IRQ_n : in std_logic;
NMI_n : in std_logic;
SO_n : in std_logic;
R_W_n : out std_logic;
Sync : out std_logic;
EF : out std_logic;
MF : out std_logic;
XF : out std_logic;
ML_n : out std_logic;
VP_n : out std_logic;
VDA : out std_logic;
VPA : out std_logic;
A : out std_logic_vector(23 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0)
);
end T65;
architecture rtl of T65 is
-- Registers
signal ABC, X, Y, D : std_logic_vector(15 downto 0);
signal P, AD, DL : std_logic_vector(7 downto 0) := x"00";
signal BAH : std_logic_vector(7 downto 0);
signal BAL : std_logic_vector(8 downto 0);
signal PBR : std_logic_vector(7 downto 0);
signal DBR : std_logic_vector(7 downto 0);
signal PC : unsigned(15 downto 0);
signal S : unsigned(15 downto 0);
signal EF_i : std_logic;
signal MF_i : std_logic;
signal XF_i : std_logic;
signal IR : std_logic_vector(7 downto 0);
signal MCycle : std_logic_vector(2 downto 0);
signal Mode_r : std_logic_vector(1 downto 0);
signal ALU_Op_r : std_logic_vector(3 downto 0);
signal Write_Data_r : std_logic_vector(2 downto 0);
signal Set_Addr_To_r : std_logic_vector(1 downto 0);
signal PCAdder : unsigned(8 downto 0);
signal RstCycle : std_logic;
signal IRQCycle : std_logic;
signal NMICycle : std_logic;
signal B_o : std_logic;
signal SO_n_o : std_logic;
signal IRQ_n_o : std_logic;
signal NMI_n_o : std_logic;
signal NMIAct : std_logic;
signal Break : std_logic;
-- ALU signals
signal BusA : std_logic_vector(7 downto 0);
signal BusA_r : std_logic_vector(7 downto 0);
signal BusB : std_logic_vector(7 downto 0);
signal ALU_Q : std_logic_vector(7 downto 0);
signal P_Out : std_logic_vector(7 downto 0);
-- Micro code outputs
signal LCycle : std_logic_vector(2 downto 0);
signal ALU_Op : std_logic_vector(3 downto 0);
signal Set_BusA_To : std_logic_vector(2 downto 0);
signal Set_Addr_To : std_logic_vector(1 downto 0);
signal Write_Data : std_logic_vector(2 downto 0);
signal Jump : std_logic_vector(1 downto 0);
signal BAAdd : std_logic_vector(1 downto 0);
signal BreakAtNA : std_logic;
signal ADAdd : std_logic;
signal AddY : std_logic;
signal PCAdd : std_logic;
signal Inc_S : std_logic;
signal Dec_S : std_logic;
signal LDA : std_logic;
signal LDP : std_logic;
signal LDX : std_logic;
signal LDY : std_logic;
signal LDS : std_logic;
signal LDDI : std_logic;
signal LDALU : std_logic;
signal LDAD : std_logic;
signal LDBAL : std_logic;
signal LDBAH : std_logic;
signal SaveP : std_logic;
signal Write : std_logic;
signal really_rdy : std_logic;
signal R_W_n_i : std_logic;
begin
-- ehenciak : gate Rdy with read/write to make an "OK, it's
-- really OK to stop the processor now if Rdy is
-- deasserted" signal
really_rdy <= Rdy or not(R_W_n_i);
-- ehenciak : Drive R_W_n_i off chip.
R_W_n <= R_W_n_i;
Sync <= '1' when MCycle = "000" else '0';
EF <= EF_i;
MF <= MF_i;
XF <= XF_i;
ML_n <= '0' when IR(7 downto 6) /= "10" and IR(2 downto 1) = "11" and MCycle(2 downto 1) /= "00" else '1';
VP_n <= '0' when IRQCycle = '1' and (MCycle = "101" or MCycle = "110") else '1';
VDA <= '1' when Set_Addr_To_r /= "000" else '0'; -- Incorrect !!!!!!!!!!!!
VPA <= '1' when Jump(1) = '0' else '0'; -- Incorrect !!!!!!!!!!!!
mcode : T65_MCode
port map(
Mode => Mode_r,
IR => IR,
MCycle => MCycle,
P => P,
LCycle => LCycle,
ALU_Op => ALU_Op,
Set_BusA_To => Set_BusA_To,
Set_Addr_To => Set_Addr_To,
Write_Data => Write_Data,
Jump => Jump,
BAAdd => BAAdd,
BreakAtNA => BreakAtNA,
ADAdd => ADAdd,
AddY => AddY,
PCAdd => PCAdd,
Inc_S => Inc_S,
Dec_S => Dec_S,
LDA => LDA,
LDP => LDP,
LDX => LDX,
LDY => LDY,
LDS => LDS,
LDDI => LDDI,
LDALU => LDALU,
LDAD => LDAD,
LDBAL => LDBAL,
LDBAH => LDBAH,
SaveP => SaveP,
Write => Write
);
alu : T65_ALU
port map(
Mode => Mode_r,
Op => ALU_Op_r,
BusA => BusA_r,
BusB => BusB,
P_In => P,
P_Out => P_Out,
Q => ALU_Q
);
process (Res_n, Clk)
begin
if Res_n = '0' then
PC <= (others => '0'); -- Program Counter
IR <= "00000000";
S <= (others => '0'); -- Dummy !!!!!!!!!!!!!!!!!!!!!
D <= (others => '0');
PBR <= (others => '0');
DBR <= (others => '0');
Mode_r <= (others => '0');
ALU_Op_r <= "1100";
Write_Data_r <= "000";
Set_Addr_To_r <= "00";
R_W_n_i <= '1';
EF_i <= '1';
MF_i <= '1';
XF_i <= '1';
elsif Clk'event and Clk = '1' then
if (Enable = '1') then
if (really_rdy = '1') then
R_W_n_i <= not Write or RstCycle;
D <= (others => '1'); -- Dummy
PBR <= (others => '1'); -- Dummy
DBR <= (others => '1'); -- Dummy
EF_i <= '0'; -- Dummy
MF_i <= '0'; -- Dummy
XF_i <= '0'; -- Dummy
if MCycle = "000" then
Mode_r <= Mode;
if IRQCycle = '0' and NMICycle = '0' then
PC <= PC + 1;
end if;
if IRQCycle = '1' or NMICycle = '1' then
IR <= "00000000";
else
IR <= DI;
end if;
end if;
ALU_Op_r <= ALU_Op;
Write_Data_r <= Write_Data;
if Break = '1' then
Set_Addr_To_r <= "00";
else
Set_Addr_To_r <= Set_Addr_To;
end if;
if Inc_S = '1' then
S <= S + 1;
end if;
if Dec_S = '1' and RstCycle = '0' then
S <= S - 1;
end if;
if LDS = '1' then
S(7 downto 0) <= unsigned(ALU_Q);
end if;
if IR = "00000000" and MCycle = "001" and IRQCycle = '0' and NMICycle = '0' then
PC <= PC + 1;
end if;
--
-- jump control logic
--
case Jump is
when "01" =>
PC <= PC + 1;
when "10" =>
PC <= unsigned(DI & DL);
when "11" =>
if PCAdder(8) = '1' then
if DL(7) = '0' then
PC(15 downto 8) <= PC(15 downto 8) + 1;
else
PC(15 downto 8) <= PC(15 downto 8) - 1;
end if;
end if;
PC(7 downto 0) <= PCAdder(7 downto 0);
when others => null;
end case;
end if;
end if;
end if;
end process;
PCAdder <= resize(PC(7 downto 0),9) + resize(unsigned(DL(7) & DL),9) when PCAdd = '1'
else "0" & PC(7 downto 0);
process (Clk)
begin
if Clk'event and Clk = '1' then
if (Enable = '1') then
if (really_rdy = '1') then
if MCycle = "000" then
if LDA = '1' then
ABC(7 downto 0) <= ALU_Q;
end if;
if LDX = '1' then
X(7 downto 0) <= ALU_Q;
end if;
if LDY = '1' then
Y(7 downto 0) <= ALU_Q;
end if;
if (LDA or LDX or LDY) = '1' then
P <= P_Out;
end if;
end if;
if SaveP = '1' then
P <= P_Out;
end if;
if LDP = '1' then
P <= ALU_Q;
end if;
if IR(4 downto 0) = "11000" then
case IR(7 downto 5) is
when "000" =>
P(Flag_C) <= '0';
when "001" =>
P(Flag_C) <= '1';
when "010" =>
P(Flag_I) <= '0';
when "011" =>
P(Flag_I) <= '1';
when "101" =>
P(Flag_V) <= '0';
when "110" =>
P(Flag_D) <= '0';
when "111" =>
P(Flag_D) <= '1';
when others =>
end case;
end if;
if IR = "00000000" and MCycle = "011" and RstCycle = '0' and NMICycle = '0' and IRQCycle = '0' then
P(Flag_B) <= '1';
end if;
if IR = "00000000" and MCycle = "100" and RstCycle = '0' and (NMICycle = '1' or IRQCycle = '1') then
P(Flag_I) <= '1';
P(Flag_B) <= B_o;
end if;
if SO_n_o = '1' and SO_n = '0' then
P(Flag_V) <= '1';
end if;
if RstCycle = '1' and Mode_r /= "00" then
P(Flag_1) <= '1';
P(Flag_D) <= '0';
P(Flag_I) <= '1';
end if;
P(Flag_1) <= '1';
B_o <= P(Flag_B);
SO_n_o <= SO_n;
IRQ_n_o <= IRQ_n;
NMI_n_o <= NMI_n;
end if;
end if;
end if;
end process;
---------------------------------------------------------------------------
--
-- Buses
--
---------------------------------------------------------------------------
process (Res_n, Clk)
begin
if Res_n = '0' then
BusA_r <= (others => '0');
BusB <= (others => '0');
AD <= (others => '0');
BAL <= (others => '0');
BAH <= (others => '0');
DL <= (others => '0');
elsif Clk'event and Clk = '1' then
if (Enable = '1') then
if (Rdy = '1') then
BusA_r <= BusA;
BusB <= DI;
case BAAdd is
when "01" =>
-- BA Inc
AD <= std_logic_vector(unsigned(AD) + 1);
BAL <= std_logic_vector(unsigned(BAL) + 1);
when "10" =>
-- BA Add
BAL <= std_logic_vector(resize(unsigned(BAL(7 downto 0)),9) + resize(unsigned(BusA),9));
when "11" =>
-- BA Adj
if BAL(8) = '1' then
BAH <= std_logic_vector(unsigned(BAH) + 1);
end if;
when others =>
end case;
-- ehenciak : modified to use Y register as well (bugfix)
if ADAdd = '1' then
if (AddY = '1') then
AD <= std_logic_vector(unsigned(AD) + unsigned(Y(7 downto 0)));
else
AD <= std_logic_vector(unsigned(AD) + unsigned(X(7 downto 0)));
end if;
end if;
if IR = "00000000" then
BAL <= (others => '1');
BAH <= (others => '1');
if RstCycle = '1' then
BAL(2 downto 0) <= "100";
elsif NMICycle = '1' then
BAL(2 downto 0) <= "010";
else
BAL(2 downto 0) <= "110";
end if;
if Set_addr_To_r = "11" then
BAL(0) <= '1';
end if;
end if;
if LDDI = '1' then
DL <= DI;
end if;
if LDALU = '1' then
DL <= ALU_Q;
end if;
if LDAD = '1' then
AD <= DI;
end if;
if LDBAL = '1' then
BAL(7 downto 0) <= DI;
end if;
if LDBAH = '1' then
BAH <= DI;
end if;
end if;
end if;
end if;
end process;
Break <= (BreakAtNA and not BAL(8)) or (PCAdd and not PCAdder(8));
with Set_BusA_To select
BusA <= DI when "000",
ABC(7 downto 0) when "001",
X(7 downto 0) when "010",
Y(7 downto 0) when "011",
std_logic_vector(S(7 downto 0)) when "100",
P when "101",
(others => '-') when others;
with Set_Addr_To_r select
A <= "0000000000000001" & std_logic_vector(S(7 downto 0)) when "01",
DBR & "00000000" & AD when "10",
"00000000" & BAH & BAL(7 downto 0) when "11",
PBR & std_logic_vector(PC(15 downto 8)) & std_logic_vector(PCAdder(7 downto 0)) when others;
with Write_Data_r select
DO <= DL when "000",
ABC(7 downto 0) when "001",
X(7 downto 0) when "010",
Y(7 downto 0) when "011",
std_logic_vector(S(7 downto 0)) when "100",
P when "101",
std_logic_vector(PC(7 downto 0)) when "110",
std_logic_vector(PC(15 downto 8)) when others;
-------------------------------------------------------------------------
--
-- Main state machine
--
-------------------------------------------------------------------------
process (Res_n, Clk)
begin
if Res_n = '0' then
MCycle <= "001";
RstCycle <= '1';
IRQCycle <= '0';
NMICycle <= '0';
NMIAct <= '0';
elsif Clk'event and Clk = '1' then
if (Enable = '1') then
if (really_rdy = '1') then
if MCycle = LCycle or Break = '1' then
MCycle <= "000";
RstCycle <= '0';
IRQCycle <= '0';
NMICycle <= '0';
if NMIAct = '1' then
NMICycle <= '1';
elsif IRQ_n_o = '0' and P(Flag_I) = '0' then
IRQCycle <= '1';
end if;
else
MCycle <= std_logic_vector(unsigned(MCycle) + 1);
end if;
if NMICycle = '1' then
NMIAct <= '0';
end if;
if NMI_n_o = '1' and NMI_n = '0' then
NMIAct <= '1';
end if;
end if;
end if;
end if;
end process;
end;
| bsd-3-clause | ff1c2e2ec71b07001adcf93c47bc2e19 | 0.50361 | 3.054075 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/SPI/SPI_Slave.vhd | 1 | 3,300 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.MathHelpers.all;
--library hdl_library_CommonFunctions;
--use hdl_library_CommonFunctions.CommonFunctions.all;
entity SPI_Slave is
generic
(
--G_SPI_FREQUENCY : integer;
G_SPI_TRANSACTION_SIZE : integer
);
port
(
clock : in std_logic;
enable : in std_logic;
SCLK : in std_logic;
MISO : out std_logic;
MOSI : in std_logic;
SS_n : in std_logic;
ready : out std_logic
);
end entity; --SPI_Slave
architecture arch of SPI_Slave is
type T_SPI_STATES is (SPI_IDLE, SPI_SERIAL_RECEIVE, SPI_RX_FINISHED);
signal SPI_current_state : T_SPI_STATES := SPI_IDLE;
signal SPI_next_state : T_SPI_STATES;
signal SPI_bit_counter : std_logic_vector(log2(G_SPI_TRANSACTION_SIZE) -1 downto 0) := (others => '0');
signal SPI_previous_bit_counter : std_logic_vector(log2(G_SPI_TRANSACTION_SIZE) -1 downto 0) := (others => '0');
signal SPI_SCLK_bufclock : std_logic := '0';
signal SPI_SCLK_rising : std_logic := '0';
signal SPI_SS_n_bufclock : std_logic := '0';
signal SPI_SS_n_falling : std_logic := '0';
signal counter_enable : std_logic := '0';
signal counter_reset : std_logic := '0';
signal received_data : std_logic_vector(G_SPI_TRANSACTION_SIZE -1 downto 0) := (others => '0');
begin
Delayer:process(clock)
begin
if rising_edge(clock) then
SPI_SS_n_bufclock <= SS_n;
SPI_SCLK_bufclock <= SCLK;
end if;
end process Delayer; -- Delayer
SPI_SS_n_falling <= '1' when SPI_SS_n_bufclock = '1' and SS_n = '0' else '0';
SPI_SCLK_rising <= '1' when SPI_SCLK_bufclock = '0' and SCLK = '1' else '0';
SPI_States_Updater:process(clock)
begin
if rising_edge(clock) then
if SCLK = '1' then
SPI_current_state <= SPI_next_state;
end if;
end if;
end process ; -- SPI_States_Updater
SPI_FSM_logic:process(SPI_current_state, SPI_SS_n_falling, SPI_previous_bit_counter, counter_reset, counter_enable)
begin
ready <= '1';
case(SPI_current_state) is
when SPI_IDLE =>
--counter_reset <= '1';
if SPI_SS_n_falling = '1' then
counter_reset <= '0';
counter_enable <= '1';
SPI_next_state <= SPI_SERIAL_RECEIVE;
end if;
when SPI_SERIAL_RECEIVE =>
ready <= '0';
if SS_n = '1' and SPI_previous_bit_counter = (G_SPI_TRANSACTION_SIZE - 1) then
counter_enable <= '0';
SPI_next_state <= SPI_IDLE;
end if;
--when SPI_RX_FINISHED =>
-- counter_reset <= '1';
-- counter_enable <= '0';
-- SPI_next_state <= SPI_IDLE;
when others => report "Unreachable state" severity failure;
end case;
end process ; -- SPI_FSM_logic
SPI_Counter:process(SCLK)
begin
if rising_edge(SCLK) then
if counter_enable = '1' then
received_data((G_SPI_TRANSACTION_SIZE - 1) - conv_integer(SPI_previous_bit_counter)) <= MOSI;
SPI_bit_counter <= SPI_bit_counter + 1;
SPI_previous_bit_counter <= SPI_bit_counter;
end if;
if counter_reset = '1' then
SPI_bit_counter <= (others => '0');
SPI_previous_bit_counter <= (others => '0');
end if;
end if;
end process SPI_Counter; -- SPI_Counter
end architecture; -- arch | gpl-3.0 | 9c0cd4761ea3aae680a1ddb93832a937 | 0.637576 | 2.770781 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/contador_reloj.vhd | 3 | 2,130 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/10/26 12:26:23
-- Nombre del módulo: contador_reloj - Behavioral
-- Descripción:
-- Contador para el reloj. Se encarga de recibir una señal de 1/60Hz (1 minuto)
-- y contar el tiempo para mostrar la hora y los minutos.
-- A la salida, entrega los cuatro dígitos correspondientes a HH:mm.
--
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_1164.ALL;
entity contador_reloj is
PORT (
clk : IN STD_LOGIC; --Reloj de 1Hz.
reset: IN STD_LOGIC; --Señal de reset.
H1 : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); --Segundo digito de la hora.
H0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); --Primer digito de la hora.
M1 : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); --Segundo digito de los minutos.
M0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) --Primer digito de los minutos.
);
end contador_reloj;
architecture Behavioral of contador_reloj is
signal mm1: UNSIGNED(2 downto 0) := "000" ;
signal mm0: UNSIGNED(3 downto 0) := "0000";
signal hh1: UNSIGNED(2 downto 0) := "000" ;
signal hh0: UNSIGNED(3 downto 0) := "0000";
begin
reloj: process (clk, reset) begin
if reset = '1' then
hh1 <= "000" ;
hh0 <= "0000";
mm1 <= "000" ;
mm0 <= "0000";
elsif rising_edge(clk) then
mm0 <= mm0 + 1;
if mm0 = 9 then
mm1 <= mm1 + 1;
mm0 <= "0000";
end if;
-- Al pasar 59 minutos, contar una hora.
if mm1 = 5 AND mm0 = 9 then
hh0 <= hh0 + 1;
mm1 <= "000";
end if;
if hh0 = 9 then
hh1 <= hh1 + 1;
hh0 <= "0000";
end if;
-- Al pasar 23:59, regresar a 00:00.
if hh1 = 2 AND hh0 = 3 AND mm1 = 5 AND mm0 = 9 then
hh1 <= "000";
hh0 <= "0000";
end if;
end if;
end process;
--Asignación de señales.
H1 <= STD_LOGIC_VECTOR(hh1);
H0 <= STD_LOGIC_VECTOR(hh0);
M1 <= STD_LOGIC_VECTOR(mm1);
M0 <= STD_LOGIC_VECTOR(mm0);
end Behavioral; | lgpl-2.1 | 0d34f49121626fbdbd4d535cb2feb901 | 0.570423 | 2.851406 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/SPI/SPI_SlaveTB.vhd | 1 | 3,285 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.MathHelpers.all;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.CommonFunctions.all;
library hdl_library_ClockGenerator;
use hdl_library_ClockGenerator.all;
entity SPI_SlaveTB is
end entity; --SPI_SlaveTB
architecture tb of SPI_SlaveTB is
constant G_CLOCK_FREQUENCY : integer := 100E6;
constant G_CLOCK_DIVIDER : integer := 100;
constant G_SPI_TRANSACTION_SIZE : integer := 32;
--declarations
signal clock : std_logic := '0';
signal clock_n : std_logic := '0';
signal SCLK : std_logic := '0';
signal MISO : std_logic := '0';
signal MOSI : std_logic := '0';
signal SS_n : std_logic := '0';
signal enable : std_logic := '0';
signal ready : std_logic := '0';
signal clock_divider1 : integer := 1000;
signal clock_output : std_logic := '0';
signal clock_output_n : std_logic := '0';
--signal clock_counter : std_logic_vector(log2(G_CLOCK_FREQUENCY/G_SPI_FREQUENCY) - 1 downto 0) := (others => '0');
signal data : std_logic_vector(G_SPI_TRANSACTION_SIZE -1 downto 0) := X"A0B0C0D0";
begin
clock <= not clock after (1 sec / G_CLOCK_FREQUENCY) / 2;
clock_n <= not clock;
Test:process
begin
SS_n <= '1';
enable <= '1';
wait_until_rising_edges(clock, 1000);
SS_n <= '0';
wait_until_rising_edges(SCLK, G_SPI_TRANSACTION_SIZE);
SS_n <= '1';
wait_until_rising_edges(clock, 1000);
SS_n <= '0';
wait_until_rising_edges(SCLK, G_SPI_TRANSACTION_SIZE);
SS_n <= '1';
wait for 10 us;
report "End of test"
severity FAILURE;
end process; --Test
clock_generator : entity hdl_library_ClockGenerator.ClockGenerator
generic map
(
G_CLOCK_FREQUENCY => G_CLOCK_FREQUENCY,
G_CLOCK_DIVIDER => G_CLOCK_DIVIDER
)
port map
(
clock => clock,
enable => enable,
clock_output => SCLK,
clock_output_n => clock_output_n
);
--SLCK_generator:process(clock)
--begin
-- if rising_edge(clock) then
-- if enable = '1' then
-- clock_counter <= clock_counter + 1;
-- if clock_counter = (G_CLOCK_FREQUENCY/G_SPI_FREQUENCY) -1 then
-- clock_counter <= (others => '0');
-- SCLK <= not SCLK;
-- end if;
-- end if;
-- end if;
--end process SLCK_generator; -- SLCK_generator
MOSI_generator:process(SCLK)
begin
--if rising_edge(clock) then
if enable = '1' then
if rising_edge(SCLK) and SS_n = '0' then
MOSI <= data(data'high);
data <= data(data'high-1 downto 0) & '1';
--data <= data(data'high-1 downto 0) & data(data'high);
end if;
end if;
--end if;
end process MOSI_generator; -- SLCK_generator
dut : entity work.SPI_Slave
generic map
(
-- G_CLOCK_FREQUENCY => G_CLOCK_FREQUENCY,
-- G_BASE_FREQUENCY => G_BASE_FREQUENCY,
-- G_SPI_FREQUENCY => G_SPI_FREQUENCY,
G_SPI_TRANSACTION_SIZE => G_SPI_TRANSACTION_SIZE
)
port map
(
clock => clock,
enable => enable,
SCLK => SCLK,
MISO => MISO,
MOSI => MOSI,
SS_n => SS_n,
ready => ready
);
end architecture; -- tb | gpl-3.0 | e34a29bcafbda4638135613ad258f3ae | 0.614307 | 2.78626 | false | false | false | false |
hgunicamp/Mips8B | src_test/memory_test.vhdl | 1 | 3,820 | -- Teste geral para a estrutura do Processador Mips8B
Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Ieee.Numeric_Std.all;
Entity memory_test is
Port(Clock_Mem: In Std_Logic;
MAddr: In Std_Logic_Vector(7 downto 0);
MCmd: In Std_Logic_Vector(1 downto 0);
MData: In Std_Logic_Vector(7 downto 0);
SData: Out Std_Logic_Vector(7 downto 0);
SCmdAccept: Out Std_Logic);
End Entity memory_test;
Architecture behave of memory_test is
Type Memory_Array is Array(Natural Range <>) of Std_Logic_Vector(7 downto 0);
Use Work.MIPS8B_Base.ocpIDLE_little;
Use Work.MIPS8B_Base.ocpWR_little;
Use Work.MIPS8B_Base.ocpRD_little;
Use Work.MIPS8B_Base.ocpNULL_little;
Use Work.MIPS8B_Base.ocpDVA_little;
Begin
Memory: Process
Variable int_SCmdAccept: Std_Logic;
Variable address: Unsigned(7 downto 0);
Variable mem_int: Memory_Array(0 to 255) := (
"00100000", "00000001", "00000000", "11001000",
"00100000", "00000010", "00000000", "10001001",
"00100000", "00000011", "00000000", "11001101",
"10100000", "00100010", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00100000", "01000010", "00000000", "11101111",
"00010000", "01100001", "00000000", "00000010",
"00010000", "00000000", "00000000", "11111100",
"00000000", "00000000", "00001000", "00100101",
"00100000", "00000110", "00000000", "11001000",
"00100000", "11000111", "00000000", "00000001",
"00100000", "00000101", "00000000", "11001101",
"00010000", "10100111", "00000000", "00001011",
"10000000", "11000011", "00000000", "00000000",
"10000000", "11100100", "00000000", "00000000",
"00000000", "10000011", "00010000", "00101010",
"00010000", "01000000", "00000000", "00000100",
"00100000", "00100001", "00000000", "00000001",
"10100000", "11000100", "00000000", "00000000",
"10100000", "11100011", "00000000", "00000000",
"00000000", "11100000", "00110000", "00100000",
"00100000", "11100111", "00000000", "00000001",
"00010000", "00000000", "00000000", "11110110",
"00010000", "00000001", "00000000", "00000010",
"00010000", "00000000", "00000000", "11110000",
"00100000", "00000011", "00000000", "11001101",
"00100000", "00000001", "00000000", "11001000",
"10000000", "00100010", "00000000", "00000000",
"10100000", "00100010", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00010000", "01100001", "00000000", "11111100",
"00010000", "00000000", "00000000", "11111100",
Others => "00000000");
Begin
Wait Until Clock_Mem'Event and Clock_Mem='1';
Case MCmd is
When ocpWR_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
mem_int(to_integer(address)) := MData;
Else
int_SCmdAccept := ocpNULL_little;
End If;
When ocpRD_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
SData <= mem_int(to_integer(address));
Else
int_SCmdAccept := ocpNULL_little;
End If;
When Others =>
int_SCmdAccept := ocpNULL_little;
End Case;
SCmdAccept <= int_SCmdAccept;
End Process Memory;
End Architecture behave;
Configuration general_test of memory_test is
For behave
End For;
End Configuration general_test;
| unlicense | 13ceffbf325f93c6fbfe3a012e6a86db | 0.579581 | 3.893986 | false | true | false | false |
dskntIndustry/Hardware | hdl_library/DSP/Filter/FIR/FIR_Core.vhd | 1 | 2,069 | library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.ALL;
use IEEE.std_logic_unsigned.ALL;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.MathHelpers.all;
entity FIR_Core is
generic
(
C_FIR_FILTER_ORDER : integer;
C_DATA_IN_WIDTH : integer;
C_DATA_OUT_WIDTH : integer;
C_COEFF_WIDTH : integer;
C_MULTIPLIER_DELAY : integer;
C_ADDER_DELAY : integer
);
port
(
clock : in std_logic;
enable : in std_logic;
xn : in std_logic_vector(C_DATA_IN_WIDTH - 1 downto 0);
xn_nd : in std_logic;
yn : out std_logic_vector(C_DATA_OUT_WIDTH - 1 downto 0);
yn_valid : out std_logic;
current_coefficient : in std_logic_vector(C_COEFF_WIDTH - 1 downto 0);
current_coefficient_address : out std_logic_vector(log2(C_FIR_FILTER_ORDER) - 1 downto 0)
);
end entity ; -- FIR_Core
architecture arch of FIR_Core is
type T_samples_RAM is array (0 to C_FIR_FILTER_ORDER-1) of std_logic_vector(C_DATA_IN_WIDTH - 1 downto 0);
signal samples_RAM : T_samples_RAM := (others => (others => '0'));
signal product : std_logic_vector((xn'length + current_coefficient'length - 1) downto 0) := (others => '0');
signal sum : std_logic_vector((xn'length - 1) downto 0) := (others => '0');
begin
-- 8 clock cycles latency
signed_multiplier : entity work.signed_multiplier
port map
(
A => X"12345678",
B => X"00000001",
P => product,
CLK => clock
);
-- 8 clock cycles latency
signed_adder : entity work.signed_adder
port map
(
A => X"12345678",
B => X"00000001",
S => sum,
CLK => clock
);
sequencer:process(clock)
begin
if rising_edge(clock) then
if xn_nd = '1' then
shift_samples_RAM : for i in 0 to C_FIR_FILTER_ORDER - 1 loop
samples_RAM(i+1) <= samples_RAM(i);
end loop ; -- shift_samples_RAM
samples_RAM(0) <= xn;
end if;
end if;
end process sequencer; -- sequencer
end architecture ; -- arch
| gpl-3.0 | 62ab47dc839279a76fc835afc8c41841 | 0.609473 | 2.845942 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/wr_dc_fwft_ext_as.vhd | 6 | 13,630 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8352)
`protect data_block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`protect end_protected
| gpl-3.0 | dfd2286a0f32c62c9c98312d0db9811c | 0.93573 | 1.877669 | false | false | false | false |
steveEECSrubin/usc_projects | ABB/DSP_RX_FSM_changed.vhd | 1 | 8,012 | -- ***************************************************************************
-- File Name: DSP_RX_FSM.vhd
-- File Description:
-- This module receives the packet from DSP connected to RocketIO module.
-- The reason why dsp_tx and dsp_rx are different processess is because ideally
-- rocketio incoming data would be coming at slightly different phase/freq clock than onboard clock.
-- Therefore the received data must be read using rx recovered clock.
-- The clock to this module should be eventually be the MGT rx recovered clock.
-- As long as the RX K-Char is high nothing happens. The moment k-Char goes low it indicates
-- that the packet is being received. Ideally the K-char should be low for the entire lenght of
-- received packet. But in this case the DSP is unable send packet in such format instead the K-char
-- goes high and low many time during the length of packet.
-- The incoming packet bytes are immediately stored in BRAM2 one by one every clk cycle
-- Since the lenght of packet is known a timer is used to decide when to stop writing in BRAM2.
-- After that timer the k-char should be always high untill the next packet arrives. Also this avoids
-- interprocess handshake sigals which are suspected to be one of the possible reasons for data corruption.
-- ***************************************************************************
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
entity DSP_RX_FSM is
port
(
--%%%%%%%%%%%%%%%%%%%%% INPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%%%%%%%
USER_CLK : in std_logic;
MASTER : in std_logic;
START_OPERATION : in std_logic;
RECEIVER_READY : in std_logic;
RX1_CHAR_IS_K : in std_logic;
HMB : in std_logic_vector(1 downto 0);
RX1_DATA : in std_logic_vector(7 downto 0);
BRAM2_DOA : in std_logic_vector(7 downto 0);
--%%%%%%%%%%%%%%%%%%%%% OUTPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%%%%%%%
PACKET_RECEIVED : out std_logic;
BRAM2_ENA : out std_logic;
BRAM2_WEA : out std_logic;
BRAM2_DIA : out std_logic_vector(7 downto 0);
BRAM2_ADDRA : out std_logic_vector(11 downto 0);
CHIPSCOPE_DEBUG : out std_logic_vector(9 downto 0)
);
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of DSP_RX_FSM : entity is "v4fx_mgtwizard_v1_7, Coregen v12.1";
end DSP_RX_FSM;
architecture RTL of DSP_RX_FSM is
----*********************************Signal Declarations********************************
signal master_i : std_logic := '0';
signal start_operation_i : std_logic := '0';
signal packet_error_i : std_logic := '0';
signal rx1_char_is_k_i : std_logic := '0';
signal rx1_k_i : std_logic := '0';
signal packet_received_i : std_logic := '0';
signal receiver_ready_i : std_logic := '0';
signal bram2_ena_i : std_logic := '0';
signal bram2_wea_i : std_logic := '0';
signal command_available_i : std_logic := '0';
signal command_checked_i : std_logic := '0';
signal hmb_i : std_logic_vector(1 downto 0) := "00";
signal RX_STATE : std_logic_vector(3 downto 0) := x"0";
signal temp_rx : std_logic_vector(7 downto 0) := x"00";
signal rx1_data_i : std_logic_vector(7 downto 0) := x"00";
signal bram2_doa_i : std_logic_vector(7 downto 0) := x"00";
signal bram2_dia_i : std_logic_vector(7 downto 0) := x"00";
signal bram2_addra_i : std_logic_vector(11 downto 0) := x"000";
signal chipscope_debug_i : std_logic_vector(9 downto 0) := "0000000000";
signal TOKEN_TIMER : integer range 0 to 1023 := 0;
-- added by Steve Rubin
signal current_check_sum : std_logic_vector(7 downto 0);
signal check_sum_error : std_logic := '0';
constant PACKET_LENGTH : integer := 300;
--*********************************Main Body of Code**********************************
------------------------------------------------------
begin
--%%%%%%%%%%% signal connections for INPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%
master_i <= MASTER;
start_operation_i <= START_OPERATION;
rx1_char_is_k_i <= RX1_CHAR_IS_K;
hmb_i <= HMB;
rx1_data_i <= RX1_DATA;
bram2_doa_i <= BRAM2_DOA;
--%%%%%%%%%%% signal connections for OUTPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%
BRAM2_ENA <= bram2_ena_i;
BRAM2_WEA <= bram2_wea_i;
BRAM2_DIA <= bram2_dia_i;
BRAM2_ADDRA <= bram2_addra_i;
CHIPSCOPE_DEBUG <= chipscope_debug_i;
process(USER_CLK)
begin
if (rising_edge(USER_CLK)) then
chipscope_debug_i(0) <= RX_STATE(0);
temp_rx <= rx1_data_i;
rx1_k_i <= rx1_char_is_k_i;
case RX_STATE is
when x"0" => -- state 0
bram2_ena_i <= '0';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= x"000";
TOKEN_TIMER <= 0;
-- during power ON this state would wait for start operation but to go high.
-- the initial power on time delay is defined in MMC_top_level module using 3 cascaded counters.
if(start_operation_i = '0')then
RX_STATE <= x"0";
elsif(start_operation_i = '1' and master_i = '0')then
RX_STATE <= x"0";
else -- only go to state 1 if the board is master(meaning connected to DSP)
RX_STATE <= x"1";
end if;
when others => -- state 1
if (rx1_char_is_k_i = '0')
RX_STATE <= x"1";
--/*----------------------------------------------------------------
-- when k-char goes low start timer and enable BRAM2 write and increament address every clk.
if(TOKEN_TIMER = 0 and temp_rx = x"0A")then -- !! WEIRD shoudn't it be rx1_data_i?
TOKEN_TIMER <= TOKEN_TIMER+1;
bram2_ena_i <= '1';
bram2_wea_i <= '1';
bram2_dia_i <= temp_rx; -- !! WEIRD shoudn't it be rx1_data_i?
bram2_addra_i <= bram2_addra_i + x"001";
check_sum_error <= '0';
signal current_check_sum <= x"0A";
elsif(TOKEN_TIMER < PACKET_LENGTH)then
TOKEN_TIMER <= TOKEN_TIMER+1;
bram2_ena_i <= '1';
bram2_wea_i <= '1';
bram2_dia_i <= temp_rx; -- !! WEIRD shoudn't it be rx1_data_i?
bram2_addra_i <= bram2_addra_i + x"001";
check_sum_error <= '0';
current_check_sum <= current_check_sum xor temp_rx;
-- when k_char goes high before timer expires meaning that byte is framing character inserted
-- by DSP and not actual data.therefore disable BRAM2 write for that particular clk cycle.
elsif(TOKEN_TIMER = PACKET_LENGTH)then
TOKEN_TIMER <= TOKEN_TIMER+1;
bram2_ena_i <= '1';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= bram2_addra_i;
if(current_check_sum /= temp_rx) then -- !! WEIRD shoudn't it be rx1_data_i?
check_sum_error <= '1';
end if;
-- The received packet lenght is about 300byte or clk cycles
-- after 300 cycles wait(no operation) until clk cycle 800.
-- because during that time dsp_tx module would be using BRAM2 and therefore to prevent
-- any overwriting on BRAM2(because of false triggering on receiving section) it would be better
-- to wait untill BRAM2 is avaiablable again.
-- BRAM2 is available when dsp_tx finishes transmitting packet to DSP
elsif(TOKEN_TIMER >= PACKET_LENGTH and TOKEN_TIMER < 800)then
TOKEN_TIMER <= TOKEN_TIMER+1;
bram2_ena_i <= '0';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= x"000";
else -- reset to default in any other condition.
TOKEN_TIMER <= 0;
bram2_ena_i <= '0';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= x"000";
check_sum_error <= '1';
end if;
end if;
end case;
end if;
end process;
--
end RTL;
| mit | 23773cc3053702d901f230a404b6d84d | 0.567773 | 3.135812 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/wr_handshaking_flags.vhd | 6 | 12,657 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632)
`protect data_block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`protect end_protected
| gpl-3.0 | 1da337302ba871cb0750ca8ecd58652a | 0.932132 | 1.890798 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/contador_up_down_0_499.vhd | 2 | 1,471 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/05/20 15:32:00
-- Nombre del módulo: contador_up_down_0_511 - Behavioral
-- Comentarios adicionales:
-- Contador de 9 bits (de 0 a 499), con valor después del reset igual a 59, y
-- con dos entradas: una para marcar incremento y otra para marcar decremento.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_1164.ALL;
entity contador_up_down_0_499 is
PORT(
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
cnt_up : IN STD_LOGIC;
cnt_down: IN STD_LOGIC;
contador: OUT STD_LOGIC_VECTOR(8 DOWNTO 0)
);
end contador_up_down_0_499;
architecture Behavioral of contador_up_down_0_499 is
signal temporal: UNSIGNED(8 DOWNTO 0) := "000111011";
begin
proceso_contador: process (clk, reset, cnt_up, cnt_down) begin
if (reset = '1') then
temporal <= "000111011";
elsif rising_edge(clk) then
if (cnt_up = '1' AND temporal < 499) then
temporal <= temporal + 1;
elsif (cnt_down = '1' AND temporal > 0) then
temporal <= temporal - 1;
end if;
end if;
end process;
contador <= STD_LOGIC_VECTOR(temporal);
end Behavioral; | lgpl-2.1 | 1e8eccf6fe989961e250a3f701a5370d | 0.535471 | 3.778351 | false | false | false | false |
hgunicamp/Mips8B | src_design/mips8b_components.vhdl | 1 | 5,768 | Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Work.MIPS8B_Base.all;
Package MIPS8B_Components is
-- Inteface para o PC.
Component PC_System is
Generic(N: Natural := 8);
Port(clock: in Std_Logic;
Reset_n: in Std_Logic;
en_Out_PC: in Std_Logic;
load_PC: in Std_Logic;
inc_PC: in Std_Logic;
in_PC: in Std_Logic_Vector(N-3 downto 0);
out_PC: out Std_Logic_Vector(N-3 downto 0);
address_PC: out Std_Logic_Vector(N-3 downto 0));
End Component;
-- Interface para o sistema de I/O.
Component MIPS8B_IO_System is
Generic(N: Natural := 8);
Port(clock: in Std_Logic;
Reset_n: in Std_Logic;
-- Controle do endereço fornecido pelo sistema
en_RMem: in Std_Logic;
en_RMem_Inc: in Std_Logic;
crt_Mux_IO: in Std_Logic;
crt_MEM: in MemoryOP;
-- Controle dos Dados de I/O.
en_RData_in: in Std_Logic;
en_RData_out: in Std_Logic;
-- Valores de endereço para transações de I/O.
out_PC: in Std_Logic_Vector(N-3 downto 0);
out_DPath: in Std_Logic_Vector(N-1 downto 0);
in_Data: in Std_Logic_Vector(N-1 downto 0);
-- Registradores para dados de I/O.
RMem: out Std_Logic_Vector(N-1 downto 0);
RData_in: out Std_Logic_Vector(N-1 downto 0);
RData_out: out Std_Logic_Vector(N-1 downto 0);
-- Interface de controle.
Cmd: out Std_Logic_Vector(1 downto 0);
CmdAccept: in Std_Logic;
IO_OK: out Std_Logic);
End Component;
-- Interface para o controlador principal.
Component MIPS8B_DP_Control is
Generic(N: Natural := 8;
SH_SIZE: Natural := 3;
RF_ADDR_SIZE: Natural := 3);
Port(clock: in Std_Logic;
Reset_n: in Std_Logic;
IO_OK: in Std_Logic;
eq_Flag: in Std_Logic;
Opcode: in Std_Logic_Vector(4 downto 0);
in_Bus: in Std_Logic_Vector(N-1 downto 0);
-- Controle para o Registrer File.
crt_RFile: out Std_Logic;
en_Raddress_RF: out Std_Logic;
address_RF: out Std_Logic_Vector(RF_ADDR_SIZE-1 downto 0);
-- Controle para os registradores Intermediarios.
en_R1A_ULA: out Std_Logic;
en_R1B_ULA: out Std_Logic;
en_R2_ULA: out Std_Logic;
en_Reg_SH: out Std_Logic;
-- Controle para os multiplexadores.
crt_Mux_ULA: out Std_Logic_Vector(1 downto 0);
crt_Mux_Acc: out Std_Logic;
crt_Mux_RF: out Std_Logic;
-- Controle das unidades funcionais.
crt_ULA: out Std_Logic_Vector(2 downto 0);
crt_SH: out Std_Logic_Vector(1 downto 0);
crt_Acc: out Std_Logic_Vector(1 downto 0);
-- Controle do PC.
en_Out_PC: out Std_Logic;
load_PC: out Std_Logic;
inc_PC: out Std_Logic;
-- Controle para o sistema de IO.
en_ROpcode: out Std_Logic;
en_RMem: out Std_Logic;
en_RMem_Inc: out Std_Logic;
en_RData_in: out Std_Logic;
en_RData_out: out Std_Logic;
crt_Mux_IO: out Std_Logic;
crt_MEM: out MemoryOP;
-- Valor do comprimento do shift.
S_SH: out Std_Logic_Vector(SH_SIZE-1 downto 0);
-- Valor do campo imediato
out_IMM: out Std_Logic_Vector(N-1 downto 0));
End Component;
-- Interface para o Datapath.
Component Mips8B_DataPath is
Generic( N: Natural := 8;
RF_SIZE: Natural := 8;
SH_SIZE: Natural := 3;
RF_ADDR_SIZE: Natural := 3);
Port(clock: in Std_Logic;
-- Controle dos Registradores do Shift Register.
en_Reg_SH: in Std_Logic;
-- Controle para Shifter.
crt_SH: in Std_Logic_Vector(1 downto 0);
S_SH: in Std_Logic_Vector(SH_SIZE-1 downto 0);
-- Controle dos Registradores da ULA.
en_R1A_ULA: in Std_Logic;
en_R1B_ULA: in Std_Logic;
en_R2_ULA: in Std_Logic;
-- Controle para ULA.
crt_ULA: in Std_Logic_Vector(2 downto 0);
crt_Mux_ULA: in Std_Logic_Vector(1 downto 0);
-- Controle para Register File.
crt_RFile: in Std_Logic;
crt_Mux_RF: in Std_Logic;
address_RF: in Std_Logic_Vector(RF_ADDR_SIZE-1 downto 0);
en_Raddress_RF: in Std_logic;
-- Controle para o Acumulador.
crt_Acc: in Std_Logic_Vector(1 downto 0);
crt_Mux_Acc: in Std_Logic;
-- Entradas do Datapath.
in_PC: in Std_Logic_Vector(N-3 downto 0);
in_IMM: in Std_Logic_Vector(N-1 downto 0);
-- Flag de Igualdade de Operandos.
eq_Flag: out Std_Logic;
-- Saida do resultado do Acumulador.
out_Acc: out Std_Logic_Vector(N-1 downto 0));
End Component;
End Package MIPS8B_Components;
| unlicense | f53284c50f0ad12e8d5949c110576588 | 0.485253 | 3.65736 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/auk_dspip_lib_pkg_hpfir.vhd | 2 | 23,067 | -- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Alex, 02-10-07, this package declaration results in error at built time on a new machine
--
use work.auk_dspip_math_pkg_hpfir.all;
package auk_dspip_lib_pkg_hpfir is
--Component names:
--auk_dspip_atlantic_sink
--auk_dspip_atlantic_source
--auk_dspip_interface_controller
--auk_dspip_avalon_streaming_controller_hpfir
--auk_dspip_avalon_streaming_controller_pe_fir_91
--auk_dspip_avalon_streaming_sink_hpfir
--auk_dspip_avalon_streaming_source_hpfir
--auk_dspip_delay_fir_91
--auk_dspip_fastadd_fir_91
--auk_dspip_fastaddsub_fir_91
--auk_dspip_pipelined_adder_fir_91
--auk_dspip_fast_accumulator_fir_91
--auk_dspip_fifo_pfc_fir_91
--auk_dspip_fpcompiler_alufp
--auk_dspip_fpcompiler_aslf
--auk_dspip_fpcompiler_asrf
--auk_dspip_fpcompiler_castftox
--auk_dspip_fpcompiler_castxtof
--auk_dspip_fpcompiler_clzf
--auk_dspip_fpcompiler_mulfp
--auk_dspip_pfc_fir_91
--auk_dspip_roundsat_fir_91
component auk_dspip_atlantic_sink is
generic(
WIDTH : integer := 16;
PACKET_SIZE : natural := 4;
log2packet_size : integer := 2
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data_available : out std_logic; --goes high when new data is available
data : out std_logic_vector(WIDTH-1 downto 0);
sink_ready_ctrl : in std_logic; --the controller will tell
--the interface whether
--new input can be accepted.
sink_stall : out std_logic; --needs to stall the design
--if no new data is coming
packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only.
--when any of these doesn't behave as
--expected, the error is flagged.
send_sop : out std_logic; -- transmit SOP signal to the design.
-- It only transmits the legal SOP.
send_eop : out std_logic; -- transmit EOP signal to the design.
-- It only transmits the legal EOP.
----------------- ATLANTIC SIDE SIGNALS
at_sink_ready : out std_logic; --it will be '1' whenever the
--sink_ready_ctrl signal is high.
at_sink_valid : in std_logic;
at_sink_data : in std_logic_vector(WIDTH-1 downto 0);
at_sink_sop : in std_logic := '0';
at_sink_eop : in std_logic := '0';
at_sink_error : in std_logic_vector(1 downto 0) --it indicates to the data source
--that the SOP and EOP signals
--are not received as expected.
);
end component auk_dspip_atlantic_sink;
component auk_dspip_atlantic_source is
generic(
WIDTH : integer := 16;
packet_size : natural := 4;
LOG2packet_size : integer := 2;
multi_channel : BOOLEAN := TRUE
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data : in std_logic_vector (WIDTH-1 downto 0);
data_count : in std_logic_vector (LOG2packet_size-1 downto 0) := (others => '0');
source_valid_ctrl : in std_logic; --the controller will tell
--the interface whether
--new input can be accepted.
source_stall : out std_logic; --needs to stall the design
--if no new data is coming
packet_error : in std_logic_vector (1 downto 0);
----------------- ATLANTIC SIDE SIGNALS
at_source_ready : in std_logic;
at_source_valid : out std_logic;
at_source_data : out std_logic_vector (WIDTH-1 downto 0);
at_source_channel : out std_logic_vector (log2packet_size-1 downto 0);
at_source_error : out std_logic_vector (1 downto 0);
at_source_sop : out std_logic;
at_source_eop : out std_logic
);
-- Declarations
end component auk_dspip_atlantic_source;
component auk_dspip_interface_controller IS
PORT(
clk : in std_logic;
reset : IN std_logic;
ready : in std_logic;
sink_packet_error : IN std_logic_vector (1 DOWNTO 0);
sink_stall : IN std_logic;
source_stall : IN std_logic;
valid : IN std_logic;
reset_design : OUT std_logic;
reset_n : OUT std_logic;
sink_ready_ctrl : OUT std_logic;
source_packet_error : OUT std_logic_vector (1 DOWNTO 0);
source_valid_ctrl : OUT std_logic;
stall : OUT std_logic
);
-- Declarations
end component auk_dspip_interface_controller ;
component auk_dspip_avalon_streaming_controller_hpfir is
port(
clk : in std_logic;
--clk_en : in std_logic := '1';
reset_n : in std_logic;
--ready : in std_logic;
sink_packet_error : in std_logic_vector (1 downto 0);
--sink_stall : in std_logic;
source_stall : in std_logic;
valid : in std_logic;
reset_design : out std_logic;
sink_ready_ctrl : out std_logic;
source_packet_error : out std_logic_vector (1 downto 0);
source_valid_ctrl : out std_logic;
stall : out std_logic
);
-- Declarations
end component auk_dspip_avalon_streaming_controller_hpfir;
component auk_dspip_avalon_streaming_controller_pe_fir_91 is
generic (
FIFO_WIDTH_g : natural := 8;
ENABLE_PIPELINE_DEPTH_g : natural := 0; -- this value should match the depth of the enable pipeline in the core
FAMILY_g : string := "Stratix II";
MEM_TYPE_g : string := "Auto"
);
port(
clk : in std_logic;
clk_en : in std_logic := '1';
reset_n : in std_logic;
ready : in std_logic;
sink_packet_error : in std_logic_vector (1 downto 0);
sink_stall : in std_logic;
source_stall : in std_logic;
valid : in std_logic;
reset_design : out std_logic;
sink_ready_ctrl : out std_logic;
source_packet_error : out std_logic_vector (1 downto 0);
source_valid_ctrl : out std_logic;
stall : out std_logic;
data_in : in std_logic_vector(FIFO_WIDTH_g-1 downto 0);
data_out : out std_logic_vector(FIFO_WIDTH_g-1 downto 0);
design_stall : out std_logic
);
-- Declarations
end component auk_dspip_avalon_streaming_controller_pe_fir_91;
component auk_dspip_avalon_streaming_sink_hpfir is
generic(
WIDTH_g : integer := 16;
DATA_WIDTH : integer := 8;
DATA_PORT_COUNT : integer := 3;
PACKET_SIZE_g : natural := 4
--FIFO_DEPTH_g : natural := 5 --if PFC mode is selected, this generic
--is used for passing the poly_factor.
--MIN_DATA_COUNT_g : natural := 2;
--PFC_MODE_g : boolean := false;
--SOP_EOP_CALC_g : boolean := false; -- calculate sop and eop rather than
-- reading value from fifo
--FAMILY_g : string := "Stratix II";
--MEM_TYPE_g : string := "Auto"
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data : out std_logic_vector(WIDTH_g-1 downto 0);
data_valid : out std_logic_vector(0 downto 0);
sink_ready_ctrl : in std_logic; --the controller will tell
--the interface whether
--new input can be accepted.
--sink_stall : out std_logic; --needs to stall the design
--if no new data is coming
packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only.
--when any of these doesn't behave as
--expected, the error is flagged.
--send_sop : out std_logic; -- transmit SOP signal to the design.
-- It only transmits the legal SOP.
--send_eop : out std_logic; -- transmit EOP signal to the design.
-- It only transmits the legal EOP.
----------------- ATLANTIC SIDE SIGNALS
at_sink_ready : out std_logic; --it will be '1' whenever the
--sink_ready_ctrl signal is high.
at_sink_valid : in std_logic;
at_sink_data : in std_logic_vector(WIDTH_g-1 downto 0);
at_sink_sop : in std_logic := '0';
at_sink_eop : in std_logic := '0';
at_sink_error : in std_logic_vector(1 downto 0) := "00" --it indicates
--that there is an error in the packet.
);
end component auk_dspip_avalon_streaming_sink_hpfir;
component auk_dspip_avalon_streaming_source_hpfir is
generic(
WIDTH_g : integer := 8;
DATA_WIDTH : integer := 8;
DATA_PORT_COUNT : integer := 1;
PACKET_SIZE_g : natural := 2;
FIFO_DEPTH_g : natural := 0;
HAVE_COUNTER_g : boolean := false;
COUNTER_LIMIT_g : natural := 4;
--MULTI_CHANNEL_g : boolean := true;
USE_PACKETS : integer := 1;
--FAMILY_g : string := "Stratix II";
--MEM_TYPE_g : string := "Auto";
ENABLE_BACKPRESSURE_g : boolean := true
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data_in : in std_logic_vector (WIDTH_g-1 downto 0);
data_count : in std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0) := (others => '0');
source_valid_ctrl : in std_logic;
source_stall : out std_logic;
packet_error : in std_logic_vector (1 downto 0);
----------------- AVALON_STREAMING SIDE SIGNALS
at_source_ready : in std_logic;
at_source_valid : out std_logic;
at_source_data : out std_logic_vector (WIDTH_g-1 downto 0);
at_source_channel : out std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0);
at_source_error : out std_logic_vector (1 downto 0);
at_source_sop : out std_logic;
at_source_eop : out std_logic
);
-- Declarations
end component auk_dspip_avalon_streaming_source_hpfir;
component auk_dspip_roundsat_hpfir is
generic (
IN_WIDTH_g : natural := 8; -- i/p data width
REM_LSB_BIT_g : natural := 2; -- no. of lsb to be removed
REM_LSB_TYPE_g : string := "Truncation"; -- TRUNCATE/ROUND_UP
REM_MSB_BIT_g : natural := 2; -- no. of msb to be removed
REM_MSB_TYPE_g : string := "Truncation" -- TRUNCATE/SATURATE
);
port (
clk : in std_logic;
reset_n : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(IN_WIDTH_g-1 downto 0);
valid : out std_logic;
dataout : out std_logic_vector(IN_WIDTH_g-REM_LSB_BIT_g-REM_MSB_BIT_g-1 downto 0)
);
end component auk_dspip_roundsat_hpfir;
component auk_dspip_delay_fir_91 is
generic (
WIDTH_g : natural := 8; -- data width
DELAY_g : natural := 8;
-- number of clock cycles the input
-- will be delayed by
MEMORY_TYPE_g : string := "AUTO";
-- possible values are "m4k", "m512",
-- "register", "mram", "auto",
-- "lutram", "M9K", "M144K".
-- Any other string will be interpreted
-- as "auto"
REGISTER_FIRST_g : natural := 1;
-- if "1", the first delay is guaranteed
-- to be in registers
REGISTER_LAST_g : natural := 1); -- if "1", the last delay is guaranteed
-- to be in registers
port (
clk : in std_logic;
reset : in std_logic;
enable : in std_logic; -- global clock enable
datain : in std_logic_vector(WIDTH_g-1 downto 0);
dataout : out std_logic_vector(WIDTH_g-1 downto 0)
);
end component auk_dspip_delay_fir_91;
component auk_dspip_fastadd_fir_91 is
generic (
INWIDTH_g : natural := 18;
LABWIDTH_g : natural := 16);
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II. Don't know
-- Stratix III yet.
port (
datain1 : in std_logic_vector(INWIDTH_g-1 downto 0);
datain2 : in std_logic_vector(INWIDTH_g-1 downto 0);
clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
dataout : out std_logic_vector(INWIDTH_g downto 0));
end component auk_dspip_fastadd_fir_91;
component auk_dspip_fastaddsub_fir_91 is
generic (
INWIDTH_g : natural := 18;
LABWIDTH_g : natural := 16);
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II. Don't know
-- Stratix III yet.
port (
datain1 : in std_logic_vector(INWIDTH_g-1 downto 0);
datain2 : in std_logic_vector(INWIDTH_g-1 downto 0);
add_nsub : in std_logic;
clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
dataout : out std_logic_vector(INWIDTH_g downto 0));
end component auk_dspip_fastaddsub_fir_91;
component auk_dspip_pipelined_adder_fir_91 is
generic (
INWIDTH_g : natural := 42;
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II.
-- Alex : should I use 19 bits for Stratix III?
-- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency.
LABWIDTH_g : natural := 38);
port (
datain1 : in std_logic_vector(INWIDTH_g-1 downto 0);
datain2 : in std_logic_vector(INWIDTH_g-1 downto 0);
clk : in std_logic;
enable : in std_logic;
reset : in std_logic;
dataout : out std_logic_vector(INWIDTH_g downto 0));
end component auk_dspip_pipelined_adder_fir_91;
component auk_dspip_fast_accumulator_fir_91 is
generic (
DATA_WIDTH_g : natural := 42;
-- width of lab in selected device ( 10 or 16 in Cyclone,
-- Cylone II, Stratix and Stratix II.
-- for Stratix III is 20 so labwidth should be set to 18.
-- The rational being 10 ALM (2 bits x ALM + the carry chain inside the same LAB for efficiency.
LABWIDTH_g : natural := 38;
NUM_OF_CHANNELS_g : natural := 1;
ACCUM_OUT_WIDTH_g : natural := 48;
ACCUM_MEM_TYPE_g : string := "auto");
port (
reset : in std_logic;
clk : in std_logic;
enb : in std_logic;
add_to_zero : in std_logic;
datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0);
datao : out std_logic_vector(ACCUM_OUT_WIDTH_g-1 downto 0));
end component auk_dspip_fast_accumulator_fir_91;
component auk_dspip_fifo_pfc_fir_91 is
generic (
NUM_CHANNELS_g : integer := 5;
POLY_FACTOR_g : integer := 3;
DATA_WIDTH_g : integer := 16;
ALMOST_FULL_VALUE_g : integer := 2;
RAM_TYPE_g : string := "AUTO";
CALCULATE_USED_WORDS_ONCE : boolean := true
);
port (
datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0);
datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0);
channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0);
used_w : out std_logic_vector(log2_ceil(POLY_FACTOR_g * NUM_CHANNELS_g)+1 downto 0);
wrreq : in std_logic;
rdreq : in std_logic;
almost_full : out std_logic;
empty : out std_logic;
sclr : in std_logic;
clk : in std_logic;
reset : in std_logic;
enable : in std_logic
);
end component auk_dspip_fifo_pfc_fir_91;
component auk_dspip_fpcompiler_alufp is
port (
sysclk : in std_logic;
reset : in std_logic;
enable : in std_logic;
addsub : in std_logic;
aa : in std_logic_vector (42 downto 1);
aasat, aazip : in std_logic;
bb : in std_logic_vector (42 downto 1);
bbsat, bbzip : in std_logic;
cc : out std_logic_vector (42 downto 1);
ccsat, cczip : out std_logic
);
end component auk_dspip_fpcompiler_alufp;
component auk_dspip_fpcompiler_aslf is
port (
inbus : in std_logic_vector (32 downto 1);
shift : in std_logic_vector (5 downto 1);
outbus : out std_logic_vector (32 downto 1)
);
end component auk_dspip_fpcompiler_aslf;
component auk_dspip_fpcompiler_asrf is
port (
inbus : in std_logic_vector (32 downto 1);
shift : in std_logic_vector (5 downto 1);
outbus : out std_logic_vector (32 downto 1)
);
end component auk_dspip_fpcompiler_asrf;
component auk_dspip_fpcompiler_castftox is
port (
aa : in std_logic_vector (32 downto 1);
cc : out std_logic_vector (42 downto 1);
ccsat, cczip : out std_logic
);
end component auk_dspip_fpcompiler_castftox;
component auk_dspip_fpcompiler_castxtof is
port (
sysclk : in std_logic;
reset : in std_logic;
enable : in std_logic;
aa : in std_logic_vector (42 downto 1);
aasat, aazip : in std_logic;
cc : out std_logic_vector (32 downto 1)
);
end component auk_dspip_fpcompiler_castxtof;
component auk_dspip_fpcompiler_clzf is
port (
frac : in std_logic_vector (32 downto 1);
count : out std_logic_vector (5 downto 1)
);
end component auk_dspip_fpcompiler_clzf;
component auk_dspip_fpcompiler_mulfp is
port (
sysclk : in std_logic;
reset : in std_logic;
enable : in std_logic;
aa : in std_logic_vector (42 downto 1);
aasat, aazip : in std_logic;
bb : in std_logic_vector (42 downto 1);
bbsat, bbzip : in std_logic;
cc : out std_logic_vector (42 downto 1);
ccsat, cczip : out std_logic
);
end component auk_dspip_fpcompiler_mulfp;
component auk_dspip_pfc_fir_91 is
generic (
NUM_CHANNELS_g : integer := 5;
POLY_FACTOR_g : integer := 3;
DATA_WIDTH_g : integer := 16;
RAM_TYPE_g : string := "AUTO"
);
port (
datai : in std_logic_vector(DATA_WIDTH_g-1 downto 0);
datao : out std_logic_vector(DATA_WIDTH_g-1 downto 0);
channel_out : out std_logic_vector(log2_ceil(NUM_CHANNELS_g)-1 downto 0);
in_valid : in std_logic;
out_valid : out std_logic;
clk : in std_logic;
reset : in std_logic;
enable : in std_logic
);
end component auk_dspip_pfc_fir_91;
component auk_dspip_roundsat_fir_91 is
generic (
IN_WIDTH_g : natural := 8; -- data width
OUT_WIDTH_g : natural := 8; -- data width
ROUNDING_TYPE_g : string := "TRUNCATE_LOW"
);
port (
clk : in std_logic;
reset : in std_logic;
enable : in std_logic; -- global clock enable
datain : in std_logic_vector(IN_WIDTH_g-1 downto 0);
dataout : out std_logic_vector(OUT_WIDTH_g-1 downto 0));
end component auk_dspip_roundsat_fir_91;
component auk_dspip_avalon_streaming_block_source_fir_91 is
generic (
MAX_BLK_g : natural;
DATAWIDTH_g : natural);
port (
clk : in std_logic;
reset : in std_logic;
in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0);
in_valid : in std_logic;
source_stall : out std_logic;
in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0);
source_valid : out std_logic;
source_ready : in std_logic;
source_sop : out std_logic;
source_eop : out std_logic;
source_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0));
end component auk_dspip_avalon_streaming_block_source_fir_91;
component auk_dspip_avalon_streaming_block_sink_fir_91 is
generic (
MAX_BLK_g : natural;
STALL_g : natural;
DATAWIDTH_g : natural;
-- this generic is specific for the FFT.
NUM_STAGES_g : natural);
port (
clk : in std_logic;
reset : in std_logic;
in_blk : in std_logic_vector(log2_ceil(MAX_BLK_g) downto 0);
in_sop : in std_logic;
in_eop : in std_logic;
in_inverse : in std_logic;
sink_valid : in std_logic;
sink_ready : out std_logic;
source_stall : in std_logic;
in_data : in std_logic_vector(DATAWIDTH_g - 1 downto 0);
processing : in std_logic;
in_error : in std_logic_vector(1 downto 0);
out_error : out std_logic_vector(1 downto 0);
out_valid : out std_logic;
out_sop : out std_logic;
out_eop : out std_logic;
out_data : out std_logic_vector(DATAWIDTH_g - 1 downto 0);
curr_blk : out std_logic_vector(log2_ceil(MAX_BLK_g) downto 0);
-- these are specific to the FFT, no effort has been made to optimize!
curr_pwr_2 : out std_logic;
curr_inverse : out std_logic;
curr_input_sel : out std_logic_vector(NUM_STAGES_g - 1 downto 0));
end component auk_dspip_avalon_streaming_block_sink_fir_91;
end package auk_dspip_lib_pkg_hpfir;
| gpl-2.0 | 838a238270a5fbf837fdcfe07030dc9b | 0.561105 | 3.506156 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2_pkg.vhd | 8 | 127,668 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 92768)
`protect data_block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`protect end_protected
| gpl-3.0 | a899d22101ac9aeaafeb2ea0dd828121 | 0.95381 | 1.810688 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/lib/slow2fastSync.vhd | 5 | 3,843 | -------------------------------------------------------------------------------
--
-- Title : slow2fastSync
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\POWERLINK\src\lib\slow2fastSync.vhd
-- Generated : Tue Aug 9 16:38:41 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-08-09 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY slow2fastSync IS
GENERIC (
doSync_g : BOOLEAN := TRUE
);
PORT (
dataSrc : IN STD_LOGIC;
dataDst : OUT STD_LOGIC;
clkSrc : IN STD_LOGIC;
rstSrc : IN STD_LOGIC;
clkDst : IN STD_LOGIC;
rstDst : IN STD_LOGIC
);
END ENTITY slow2fastSync;
ARCHITECTURE rtl OF slow2fastSync IS
signal toggle, toggleSync, pulse, dataDst_s : std_logic;
begin
dataDst <= dataDst_s when doSync_g = TRUE else dataSrc;
genSync : IF doSync_g = TRUE GENERATE
firstEdgeDet : entity work.edgeDet
port map (
din => dataSrc,
rising => pulse,
falling => open,
any => open,
clk => clkSrc,
rst => rstSrc
);
process(clkSrc, rstSrc)
begin
if rstSrc = '1' then
toggle <= '0';
elsif clkSrc = '1' and clkSrc'event then
if pulse = '1' then
toggle <= not toggle;
end if;
end if;
end process;
sync : entity work.sync
port map (
din => toggle,
dout => toggleSync,
clk => clkDst,
rst => rstDst
);
secondEdgeDet : entity work.edgeDet
port map (
din => toggleSync,
rising => open,
falling => open,
any => dataDst_s,
clk => clkDst,
rst => rstDst
);
END GENERATE;
END ARCHITECTURE rtl; | gpl-2.0 | 7c0980090b0ebbac5522044d00695ff2 | 0.572209 | 3.905488 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/clk0_25.vhd | 2 | 1,365 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/13 08:27:57
-- Nombre del módulo: clk0_25Hz - Behavioral
-- Comentarios adicionales:
-- Implementación mediante aproximación, a caso con escala ajustada par (de 200000000 a 200000000).
-- La frecuencia fue ajustada al entero más próximo.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk0_25Hz is
Port (
clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz.
reset : in STD_LOGIC;
clk_out : out STD_LOGIC -- Reloj de salida de 0.25Hz.
);
end clk0_25Hz;
architecture Behavioral of clk0_25Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 99999999 := 0;
begin
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = 99999999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral; | lgpl-2.1 | f361d213dd075fe4b42193d346821b38 | 0.517216 | 4.038462 | false | false | false | false |
rflamino/StellaBlue | core/A6500/src/Types.vhd | 1 | 3,647 | -- A6500 - 6502 CPU and variants
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package types is
-- ALU
constant A: natural := 0;
constant X: natural := 1;
constant Y: natural := 2;
constant S: natural := 3;
constant PCL: natural := 4;
constant PCH: natural := 5;
constant ADL: natural := 6;
constant DL: natural := 7;
constant P: natural := 8;
constant ADH: natural := 8;
-- PC control
constant PC_MEM: natural := 0;
constant PC_ALU: natural := 1;
constant PC_INC: natural := 2;
-- Data Out control
constant DO_ALU: natural := 0;
constant DO_PCH: natural := 1;
constant DO_PCL: natural := 2;
constant DO_P: natural := 3;
-- Address Bus
constant PCHL: natural := 0;
constant ADHDL: natural := 1;
constant ADHL: natural := 2;
constant DLADL: natural := 3;
constant INTVEC: natural := 4;
constant STAD: natural := 5;
-- Flags
constant C: natural := 0;
constant Z: natural := 1;
constant I: natural := 2;
constant D: natural := 3;
constant B: natural := 4;
constant V: natural := 6;
constant N: natural := 7;
-- Flag controls
constant FLG_ALU: natural := 0;
constant FLG_MEM: natural := 1;
constant FLG_ZERO: natural := 2;
constant FLG_ONE: natural := 3;
subtype datapath_src is bit_vector(8 downto 0);
subtype datapath_dst is bit_vector(8 downto 0);
subtype datapath_adr is bit_vector(5 downto 0);
subtype datapath_pc_ctrl is bit_vector(2 downto 0);
subtype datapath_do_ctrl is bit_vector(3 downto 0);
subtype datapath_flg_ctrl is bit_vector(3 downto 0);
subtype alu_fn is std_logic_vector(3 downto 0);
constant flg_ctrl_alu: datapath_flg_ctrl := "0001";
constant flg_ctrl_mem: datapath_flg_ctrl := "0010";
constant flg_ctrl_zero: datapath_flg_ctrl := "0100";
constant flg_ctrl_one: datapath_flg_ctrl := "1000";
constant do_ctrl_alu: datapath_flg_ctrl := "0001";
constant do_ctrl_pch: datapath_flg_ctrl := "0010";
constant do_ctrl_pcl: datapath_flg_ctrl := "0100";
constant do_ctrl_p: datapath_flg_ctrl := "1000";
constant adr_pchl: datapath_adr := "000001";
constant adr_adhdl: datapath_adr := "000010";
constant adr_adhl: datapath_adr := "000100";
constant adr_dladl: datapath_adr := "001000";
constant adr_intvec: datapath_adr := "010000";
constant adr_stad: datapath_adr := "100000";
constant src_a: datapath_src := "000000001";
constant src_x: datapath_src := "000000010";
constant src_y: datapath_src := "000000100";
constant src_s: datapath_src := "000001000";
constant src_pcl: datapath_src := "000010000";
constant src_pch: datapath_src := "000100000";
constant src_adl: datapath_src := "001000000";
constant src_dl: datapath_src := "010000000";
constant src_p: datapath_src := "100000000";
end;
| mit | 6c76a6753a2ccbfbabbed29361704f45 | 0.654236 | 3.565005 | false | false | false | false |
hgunicamp/Mips8B | src_test/test_Mips_Processor.vhdl | 1 | 4,825 | -- Teste geral para a estrutura do Processador Mips8B
Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Ieee.Numeric_Std.all;
Entity test_processor is
End Entity test_processor;
Architecture test_general of test_processor is
Component Mips8B_Core is
Port(Reset_n: In Std_Logic;
Clock: In Std_Logic;
MAddr: Out Std_Logic_Vector(7 downto 0);
MCmd: Out Std_Logic_Vector(1 downto 0);
MData: Out Std_Logic_Vector(7 downto 0);
SData: In Std_Logic_Vector(7 downto 0);
SCmdAccept: In Std_Logic);
End Component Mips8B_Core;
Type Memory_Array is Array(Natural Range <>) of Std_Logic_Vector(7 downto 0);
Use Work.MIPS8B_Base.ocpIDLE_little;
Use Work.MIPS8B_Base.ocpWR_little;
Use Work.MIPS8B_Base.ocpRD_little;
Use Work.MIPS8B_Base.ocpNULL_little;
Use Work.MIPS8B_Base.ocpDVA_little;
Signal Reset_n: Std_Logic;
Signal Clock: Std_Logic := '0';
Signal Clock_Mem: Std_Logic := '0';
Signal MAddr: Std_Logic_Vector(7 downto 0);
Signal MCmd: Std_Logic_Vector(1 downto 0);
Signal MData: Std_Logic_Vector(7 downto 0);
Signal SData: Std_Logic_Vector(7 downto 0);
Signal SCmdAccept: Std_Logic;
Begin
Reset_n <= '1', '0' after 20 ns, '1' after 40 ns;
Clock <= not Clock after 10 ns;
Clock_Mem <= not Clock_Mem after 15 ns;
Memory: Process
Variable int_SCmdAccept: Std_Logic;
Variable address: Unsigned(7 downto 0);
Variable mem_int: Memory_Array(0 to 255) := (
"00100000", "00000001", "00000000", "11001000",
"00100000", "00000010", "00000000", "10001001",
"00100000", "00000011", "00000000", "11001101",
"10100000", "00100010", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00100000", "01000010", "00000000", "11101111",
"00010000", "01100001", "00000000", "00000010",
"00010000", "00000000", "00000000", "11111100",
"00000000", "00000000", "00001000", "00100101",
"00100000", "00000110", "00000000", "11001000",
"00100000", "11000111", "00000000", "00000001",
"00100000", "00000101", "00000000", "11001101",
"00010000", "10100111", "00000000", "00001011",
"10000000", "11000011", "00000000", "00000000",
"10000000", "11100100", "00000000", "00000000",
"00000000", "10000011", "00010000", "00101010",
"00010000", "01000000", "00000000", "00000100",
"00100000", "00100001", "00000000", "00000001",
"10100000", "11000100", "00000000", "00000000",
"10100000", "11100011", "00000000", "00000000",
"00000000", "11100000", "00110000", "00100000",
"00100000", "11100111", "00000000", "00000001",
"00010000", "00000000", "00000000", "11110110",
"00010000", "00000001", "00000000", "00000010",
"00010000", "00000000", "00000000", "11110000",
"00100000", "00000011", "00000000", "11001101",
"00100000", "00000001", "00000000", "11001000",
"10000000", "00100010", "00000000", "00000000",
"10100000", "00100010", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00010000", "01100001", "00000000", "11111100",
"00010000", "00000000", "00000000", "11111100",
Others => "00000000");
Begin
Wait Until Clock_Mem'Event and Clock_Mem='1';
Case MCmd is
When ocpWR_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
mem_int(to_integer(address)) := MData;
Else
int_SCmdAccept := ocpNULL_little;
End If;
SData <= "ZZZZZZZZ";
When ocpRD_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
SData <= mem_int(to_integer(address));
Else
int_SCmdAccept := ocpNULL_little;
End If;
When Others =>
int_SCmdAccept := ocpNULL_little;
SData <= "ZZZZZZZZ";
End Case;
SCmdAccept <= int_SCmdAccept;
End Process Memory;
DUV: Mips8B_Core
Port Map( Reset_n => Reset_n,
Clock => Clock,
MAddr => MAddr,
MCmd => MCmd,
MData => MData,
SData => SData,
SCmdAccept => SCmdAccept);
End Architecture test_general;
Configuration general_test of test_processor is
For test_general
For DUV: Mips8B_Core Use Configuration Work.Mips8B_Core_struct_conf;
End For;
End For;
End Configuration general_test;
| unlicense | ca92a0e5dbe5ea1ab3ee8d3955e6523f | 0.576373 | 3.751944 | false | true | false | false |
rflamino/StellaBlue | core/TIA/src/Bench.vhd | 1 | 24,194 | -- TV Interface Adapter (TIA)
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
--
library std;
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_signed.all;
use work.TIA_common.all;
entity bench is
end bench;
architecture bench of bench is
component TIA is
port(clk: in std_logic;
rst: in std_logic;
cs: in std_logic;
r: in std_logic;
a: in std_logic_vector(5 downto 0);
d: inout std_logic_vector(7 downto 0);
colu: out std_logic_vector(6 downto 0);
csyn: out std_logic;
hsyn: out std_logic;
vsyn: out std_logic;
rdy: out std_logic;
ph0: out std_logic;
inpt4: in std_logic;
inpt5: in std_logic
);
end component;
signal clk: std_logic;
signal rst: std_logic;
signal cs: std_logic;
signal r: std_logic;
signal a: std_logic_vector(5 downto 0);
signal d: std_logic_vector(7 downto 0);
signal colu: std_logic_vector(6 downto 0);
signal csyn: std_logic;
signal hsyn: std_logic;
signal vsyn: std_logic;
signal rdy: std_logic;
signal ph0: std_logic;
signal inpt4: std_logic;
signal inpt5: std_logic;
constant clk_period: time := 40 ns;
constant ph0_period: time := clk_period * 3;
shared variable pf_grp: std_logic_vector(19 downto 0);
shared variable p0_grp: std_logic_vector(7 downto 0);
shared variable p1_grp: std_logic_vector(7 downto 0);
shared variable bk_colu: std_logic_vector(6 downto 0);
shared variable pf_colu: std_logic_vector(6 downto 0);
shared variable p0_colu: std_logic_vector(6 downto 0);
shared variable p1_colu: std_logic_vector(6 downto 0);
shared variable p0_nusiz: std_logic_vector(2 downto 0);
shared variable p1_nusiz: std_logic_vector(2 downto 0);
shared variable p0_reflect: std_logic;
shared variable p1_reflect: std_logic;
shared variable m0_siz: std_logic_vector(1 downto 0);
shared variable m1_siz: std_logic_vector(1 downto 0);
shared variable m0_en: std_logic;
shared variable m1_en: std_logic;
shared variable bl_siz: std_logic_vector(1 downto 0);
shared variable bl_en: std_logic;
shared variable pf_reflect: std_logic;
shared variable pf_score: std_logic;
shared variable pf_priority: std_logic;
shared variable p0_pos: integer;
shared variable p1_pos: integer;
shared variable m0_pos: integer;
shared variable m1_pos: integer;
shared variable bl_pos: integer;
shared variable p0_pos_new: integer;
shared variable p1_pos_new: integer;
shared variable m0_pos_new: integer;
shared variable m1_pos_new: integer;
shared variable bl_pos_new: integer;
procedure print_msg(
constant msg: in string;
constant val: in std_logic_vector(7 downto 0)) is
variable l: line;
begin
write(l, msg);
write(l, ": ");
hwrite(l, val);
writeline(output, l);
end print_msg;
procedure status_report(
constant msg: in string) is
variable l: line;
begin
write(l, msg);
writeline(output, l);
end status_report;
procedure setup_pf(
signal r: out std_logic;
signal d: out std_logic_vector(7 downto 0);
signal a: out std_logic_vector(5 downto 0);
constant reflect: std_logic;
constant score: std_logic;
constant priority: std_logic;
constant grp: in std_logic_vector(19 downto 0);
constant pfcolu: in std_logic_vector(6 downto 0);
constant bkcolu: in std_logic_vector(6 downto 0)) is
begin
r <= '0';
a <= A_COLUPF;
d(7 downto 1) <= pfcolu;
wait for ph0_period;
pf_colu := pfcolu;
a <= A_COLUBK;
d(7 downto 1) <= bkcolu;
wait for ph0_period;
bk_colu := bkcolu;
a <= A_PF0;
d(7 downto 4) <= grp(3 downto 0);
wait for ph0_period;
a <= A_PF1;
d <= grp(11 downto 4);
wait for ph0_period;
a <= A_PF2;
d <= grp(19 downto 12);
wait for ph0_period;
pf_grp := grp;
a <= A_CTRLPF;
d <= "00" & bl_siz & "0" & priority & score & reflect;
wait for ph0_period;
pf_reflect := reflect;
pf_score := score;
pf_priority := priority;
end procedure setup_pf;
procedure setup_pm0(
signal r: out std_logic;
signal d: out std_logic_vector(7 downto 0);
signal a: out std_logic_vector(5 downto 0);
constant men: in std_logic;
constant reflect: in std_logic;
constant nusiz: in std_logic_vector(2 downto 0);
constant msiz: in std_logic_vector(1 downto 0);
constant grp: in std_logic_vector(7 downto 0);
constant colu: in std_logic_vector(6 downto 0)) is
begin
r <= '0';
a <= A_COLUP0;
d(7 downto 1) <= colu;
wait for ph0_period;
p0_colu := colu;
a <= A_GRP0;
d <= grp;
wait for ph0_period;
p0_grp := grp;
a <= A_VDELP0;
d(0) <= '0';
wait for ph0_period;
a <= A_REFP0;
d <= "0000" & reflect & "000";
wait for ph0_period;
p0_reflect := reflect;
a <= A_NUSIZ0;
d <= "00" & msiz & "0" & nusiz;
wait for ph0_period;
p0_nusiz := nusiz;
m0_siz := msiz;
a <= A_ENAM0;
d(1) <= men;
wait for ph0_period;
m0_en := men;
end procedure setup_pm0;
procedure setup_pm1(
signal r: out std_logic;
signal d: out std_logic_vector(7 downto 0);
signal a: out std_logic_vector(5 downto 0);
constant men: in std_logic;
constant reflect: in std_logic;
constant nusiz: in std_logic_vector(2 downto 0);
constant msiz: in std_logic_vector(1 downto 0);
constant grp: in std_logic_vector(7 downto 0);
constant colu: in std_logic_vector(6 downto 0)) is
begin
r <= '0';
a <= A_COLUP1;
d(7 downto 1) <= colu;
wait for ph0_period;
p1_colu := colu;
a <= A_GRP1;
d <= grp;
wait for ph0_period;
p1_grp := grp;
a <= A_VDELP1;
d(0) <= '0';
wait for ph0_period;
a <= A_REFP1;
d <= "0000" & reflect & "000";
wait for ph0_period;
p1_reflect := reflect;
a <= A_NUSIZ1;
d <= "00" & msiz & "0" & nusiz;
wait for ph0_period;
p1_nusiz := nusiz;
m1_siz := msiz;
a <= A_ENAM1;
d(1) <= men;
wait for ph0_period;
m1_en := men;
end procedure setup_pm1;
procedure setup_bl(
signal r: out std_logic;
signal d: out std_logic_vector(7 downto 0);
signal a: out std_logic_vector(5 downto 0);
constant siz: in std_logic_vector(1 downto 0);
constant enable: in std_logic) is
begin
r <= '0';
a <= A_ENABL;
d(1) <= enable;
wait for ph0_period;
a <= A_CTRLPF;
d <= "00" & siz & "0" & pf_priority & pf_score & pf_reflect;
wait for ph0_period;
bl_siz := siz;
end procedure setup_bl;
procedure setpos_p0(
constant pos: integer) is
begin
p0_pos_new := pos;
end procedure setpos_p0;
procedure setpos_p1(
constant pos: integer) is
begin
p1_pos_new := pos;
end procedure setpos_p1;
procedure setpos_m0(
constant pos: integer) is
begin
m0_pos_new := pos;
end procedure setpos_m0;
procedure setpos_m1(
constant pos: integer) is
begin
m1_pos_new := pos;
end procedure setpos_m1;
procedure wait_hblank(
signal r: out std_logic;
signal a: out std_logic_vector(5 downto 0)) is
begin
r <= '0';
a <= A_WSYNC;
wait for ph0_period;
while rdy = '0' loop
wait for clk_period;
end loop;
end procedure wait_hblank;
function get_pl_pix_adr(
constant scan: integer;
constant pos: integer;
constant nusiz: in std_logic_vector(2 downto 0);
constant reflect: in std_logic)
return integer is
variable result: integer;
begin
result := scan - (pos + 2);
if (nusiz = "101") then
if (result >= 0) then
result := result / 2;
end if;
elsif (nusiz = "111") then
if (result >= 0) then
result := result / 4;
end if;
end if;
if (result >= 0) and (result <= 7) then
if (reflect = '0') then
return 7 - result;
else
return result;
end if;
end if;
if (nusiz = "001") or (nusiz = "011") then
result := scan - (pos + 18);
elsif (nusiz = "010") or (nusiz = "110") then
result := scan - (pos + 35);
elsif (nusiz = "100") then
result := scan - (pos + 68);
end if;
if (result >= 0) and (result <= 7) then
if (reflect = '0') then
return 7 - result;
else
return result;
end if;
end if;
if (nusiz = "011") then
result := scan - (pos + 35);
elsif (nusiz = "110") then
result := scan - (pos + 68);
end if;
if (result >= 0) and (result <= 7) then
if (reflect = '0') then
return 7 - result;
else
return result;
end if;
end if;
return -1;
end function get_pl_pix_adr;
function get_mi_pix_adr(
constant scan: integer;
constant pos: integer;
constant nusiz: in std_logic_vector(2 downto 0);
constant siz: in std_logic_vector(1 downto 0))
return integer is
variable result: integer;
begin
result := scan - (pos + 1);
if (result >= 0) then
case siz is
when "01" => result := result / 2;
when "10" => result := result / 4;
when "11" => result := result / 8;
when others => null;
end case;
end if;
if (result = 0) then
return result;
end if;
if (nusiz = "001") or (nusiz = "011") then
result := scan - (pos + 17);
elsif (nusiz = "010") or (nusiz = "110") then
result := scan - (pos + 34);
elsif (nusiz = "100") then
result := scan - (pos + 67);
else
return -1;
end if;
if (result >= 0) then
case siz is
when "01" => result := result / 2;
when "10" => result := result / 4;
when "11" => result := result / 8;
when others => null;
end case;
end if;
if (result = 0) then
return result;
end if;
if (nusiz = "011") then
result := scan - (pos + 34);
elsif (nusiz = "110") then
result := scan - (pos + 67);
else
return -1;
end if;
if (result >= 0) then
case siz is
when "01" => result := result / 2;
when "10" => result := result / 4;
when "11" => result := result / 8;
when others => null;
end case;
end if;
if (result = 0) then
return result;
end if;
return -1;
end function get_mi_pix_adr;
function get_bl_pix_adr(
constant scan: integer;
constant pos: integer;
constant siz: in std_logic_vector(1 downto 0))
return integer is
variable result: integer;
begin
result := scan - (pos + 1);
if (result >= 0) then
case siz is
when "01" => result := result / 2;
when "10" => result := result / 4;
when "11" => result := result / 8;
when others => null;
end case;
end if;
if (result = 0) then
return result;
end if;
return -1;
end function get_bl_pix_adr;
function get_pf_adr(
constant scan: integer;
constant reflect: std_logic)
return integer is
variable result: integer;
begin
result := scan / 4;
if (result >= 20) then
result := result - 20;
if (reflect = '1') then
result := 19 - result;
end if;
end if;
if (result >= 4) and (result < 12) then
result := (11 - result) + 4;
end if;
return result;
end function get_pf_adr;
procedure test_line(
signal r: out std_logic;
signal cs: out std_logic;
signal a: out std_logic_vector(5 downto 0)) is
variable i: integer;
variable j: integer;
variable ex_colu: std_logic_vector(6 downto 0);
variable p0_adr: integer;
variable p1_adr: integer;
variable m0_adr: integer;
variable m1_adr: integer;
variable pf_adr: integer;
variable bl_adr: integer;
begin
r <= '0';
cs <= '0';
for i in 2 to 68 loop
assert colu = "000000" report "HBLANK failed.";
wait for clk_period;
end loop;
for i in 0 to 159 loop
p0_adr := get_pl_pix_adr(i, p0_pos, p0_nusiz, p0_reflect);
p1_adr := get_pl_pix_adr(i, p1_pos, p1_nusiz, p1_reflect);
m0_adr := get_mi_pix_adr(i, m0_pos, p0_nusiz, m0_siz);
m1_adr := get_mi_pix_adr(i, m1_pos, p1_nusiz, m1_siz);
bl_adr := get_bl_pix_adr(i, bl_pos, bl_siz);
pf_adr := get_pf_adr(i, pf_reflect);
ex_colu := bk_colu;
if (pf_priority = '0') then
if (pf_grp(pf_adr) = '1') or ((bl_adr >= 0) and (bl_en = '1')) then
ex_colu := pf_colu;
end if;
end if;
if (p1_adr >= 0) then
if (p1_grp(p1_adr) = '1') then
ex_colu := p1_colu;
end if;
end if;
if (m1_adr >= 0) and (m1_en = '1') then
ex_colu := p1_colu;
end if;
if (p0_adr >= 0) then
if (p0_grp(p0_adr) = '1') then
ex_colu := p0_colu;
end if;
end if;
if (m0_adr >= 0) and (m0_en = '1') then
ex_colu := p0_colu;
end if;
if (pf_priority = '1') then
if (pf_grp(pf_adr) = '1') or ((bl_adr >= 0) and (bl_en = '1')) then
ex_colu := pf_colu;
end if;
end if;
assert (ex_colu = colu) report "Pixel output failed.";
if not (ex_colu = colu) then
print_msg("Expecting", "0" & ex_colu);
print_msg("Found", "0" & colu);
--print_msg("pf_adr", conv_std_logic_vector(pf_adr, 8));
print_msg("p0_adr", conv_std_logic_vector(p0_adr, 8));
--print_msg("m0_adr", conv_std_logic_vector(m0_adr, 8));
end if;
if (i = p0_pos_new) and not (p0_pos = p0_pos_new) then
cs <= '1';
j := 3;
a <= A_RESP0;
p0_pos := p0_pos_new;
elsif (i = p1_pos_new) and not (p1_pos = p1_pos_new) then
cs <= '1';
j := 3;
a <= A_RESP1;
p1_pos := p1_pos_new;
elsif (i = m0_pos_new) and not (m0_pos = m0_pos_new) then
cs <= '1';
j := 3;
a <= A_RESM0;
m0_pos := m0_pos_new;
elsif (i = m1_pos_new) and not (m1_pos = m1_pos_new) then
cs <= '1';
j := 3;
a <= A_RESM1;
m1_pos := m1_pos_new;
elsif (i = bl_pos_new) and not (bl_pos = bl_pos_new) then
cs <= '1';
j := 3;
a <= A_RESBL;
bl_pos := bl_pos_new;
end if;
if (j > 0) then
j := j - 1;
wait for clk_period;
else
wait for clk_period / 2;
cs <= '0';
wait for clk_period / 2;
end if;
end loop;
cs <= '1';
end procedure test_line;
procedure test_pm(
signal r: out std_logic;
signal cs: out std_logic;
signal d: out std_logic_vector(7 downto 0);
signal a: out std_logic_vector(5 downto 0)) is
variable i, j, k, l, m: integer;
variable nusiz: std_logic_vector(2 downto 0);
variable reflect: std_logic;
variable msiz: std_logic_vector(1 downto 0);
variable men: std_logic;
begin
for m in 0 to 1 loop
for i in 0 to 7 loop
for j in 0 to 1 loop
for k in 0 to 1 loop
for l in 0 to 3 loop
reflect := conv_std_logic_vector(j, 1)(0);
nusiz := conv_std_logic_vector(i, 3);
men := conv_std_logic_vector(k, 1)(0);
msiz := conv_std_logic_vector(l, 2);
-- print_msg("p0_nusiz", "00000" & nusiz);
-- print_msg("p0_reflect", "0000000" & reflect);
-- print_msg("m0_siz", "000000" & msiz);
-- print_msg("m0_en", "0000000" & men);
if (m = 0) then
setup_pm0(r, d, a, men, reflect, nusiz, msiz, "10110110", "1010111");
else
setup_pm1(r, d, a, men, reflect, nusiz, msiz, "11100011", "0101000");
end if;
wait_hblank(r, a);
test_line(r, cs, a);
end loop;
end loop;
end loop;
end loop;
end loop;
end procedure test_pm;
procedure test_hmove(
signal r: out std_logic;
signal cs: out std_logic;
signal d: out std_logic_vector(7 downto 0);
signal a: out std_logic_vector(5 downto 0)) is
variable p0_hmove: std_logic_vector(3 downto 0);
variable p1_hmove: std_logic_vector(3 downto 0);
variable m0_hmove: std_logic_vector(3 downto 0);
variable m1_hmove: std_logic_vector(3 downto 0);
variable bl_hmove: std_logic_vector(3 downto 0);
begin
p0_hmove := "0011";
p1_hmove := "0000";
m0_hmove := "0000";
m1_hmove := "0000";
bl_hmove := "0000";
r <= '0';
a <= A_HMP0;
d <= p0_hmove & "0000";
wait for ph0_period;
a <= A_HMP1;
d <= p1_hmove & "0000";
wait for ph0_period;
a <= A_HMM0;
d <= m0_hmove & "0000";
wait for ph0_period;
a <= A_HMM1;
d <= m1_hmove & "0000";
wait for ph0_period;
a <= A_HMBL;
d <= bl_hmove & "0000";
wait for ph0_period;
wait_hblank(r, a);
a <= A_HMOVE;
wait for ph0_period;
p0_pos := p0_pos + conv_integer(p0_hmove);
p1_pos := p1_pos + conv_integer(p1_hmove);
m0_pos := m0_pos + conv_integer(m0_hmove);
m1_pos := m1_pos + conv_integer(m1_hmove);
bl_pos := bl_pos + conv_integer(bl_hmove);
p0_pos_new := p0_pos;
p1_pos_new := p1_pos;
m0_pos_new := m0_pos;
m1_pos_new := m1_pos;
bl_pos_new := bl_pos;
wait_hblank(r, a);
test_line(r, cs, a);
end procedure test_hmove;
procedure init_tia(
signal rst: out std_logic) is
begin
rst <= '1';
wait for 4 * clk_period;
rst <= '0';
p0_pos := 0;
p1_pos := 0;
m0_pos := 0;
m1_pos := 0;
bl_pos := 0;
p0_pos_new := 0;
p1_pos_new := 0;
m0_pos_new := 0;
m1_pos_new := 0;
bl_pos_new := 0;
bl_en := '0';
end procedure init_tia;
begin
test_TIA: TIA port map(clk, rst, cs, r, a, d, colu, csyn, hsyn, vsyn, rdy, ph0, inpt4, inpt5);
inpt4 <= '1';
inpt5 <= '1';
clk_sig: process
begin
clk <= '1';
wait for clk_period / 2;
clk <= '0';
wait for clk_period / 2;
end process;
process
begin
init_tia(rst);
cs <= '1';
setup_pf(r, d, a, '0', '0', '0', "01010101010101010101", "1111111", "0000000");
setpos_p0(20);
setpos_m0(5);
setpos_p1(40);
setpos_m1(15);
wait_hblank(r, a);
test_line(r, cs, a);
setup_pf(r, d, a, '1', '0', '0', "01010101010101010101", "1111111", "0000000");
wait_hblank(r, a);
test_line(r, cs, a);
status_report("PF Test: Done.");
test_pm(r, cs, d, a);
status_report("PM Test: Done.");
setup_pm0(r, d, a, '0', '0', "000", "00", "10110110", "1010111");
test_hmove(r, cs, d, a);
status_report("HMOVE Test: Done.");
wait;
end process;
end bench;
| mit | c1caa0f2f7923d7a495d0b43c724f752 | 0.450732 | 3.765603 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/wr_pf_ss.vhd | 6 | 44,605 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 31280)
`protect data_block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`protect end_protected
| gpl-3.0 | f2465ff5423ba1b1eb85a5b57b83ace7 | 0.948817 | 1.830625 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/fifo_generator_top.vhd | 6 | 36,480 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25264)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127dhuCuCm1NlfZWTdPE+CBqS3u
7gFyCSWFOzCk1mZrqQQEmetdyll/nFcHN9okqO5MN1kFETomjAUlMCNacN0DxcYeLTOvQzSzaqMu
pQl6SXeE2/E/46jBtoeorS35ESdq1QIoR1Dl6AeDyS1LRiCryiEbaTMZPYbhbmO0jf6k/hFd1QMC
Wh1DVY2vW+b5fGvmX+c6eGjErPypLTYo+OzNnaYNkzMTKU6eQKGZ+t/4fHz88J1wLm7/U70LZLxY
qfaT4Ko1uNzjuzPJeULt72mKaXLHQGRPVtInHC0xuvXoK0bGX60wmVceyZoAX2cqJ42l3jcIU8MZ
y/YkEYAPUNbCHs24HnGZ/sVaHcEaosRFSuvO337E6FBSx5sRQWu8jiWZwYJx7wqLOlyUhi8nNKRO
hzFhAsBwls4c7JW2nN0sTImjde5wgOE0xp7HX2lqHaZoeOx2O/sDtznG4/9wQAEdieVs7CnPc198
JGz7qZSizIfbhFl3USl/z4iEJmN26OgrIZlaFsgKyyTf5UyTfIvTfiPILUTB6dco11KBelYoa2CC
JVHD7q1wV83mg2sBSf7DZ+QDHcxQMKhFWSpWCjJbezpaVksdjR6IdMaUmv65cOZ5TBBYmZhYzuBS
g9F4BgpNEEDw1QCSvpSezNKi+hxjXSx6oYrfex4PqEwrz1YzYXWqa5fbrJgXMYfg9uIuCYcHe4/v
tIN4zJtOCA+vgsFi0vecOzyEsoKQVBsXX0yY4cEnIJ01XXHOdMgChz1r33kkt3Vd6xIPmmUoU3mw
MUljjnS4PUB1RwJA5V+MnhoHJU7eK51Oh3lmwnFyDzZTy87CX0rBHAHzmD42uhShn88YmLPTY3i7
zlWAiCgm0qJ1sxHOgbX/VkVQlbu0hukOBV9tW6meibEwhmRsh2wwE7orqnmJ4Y1WMZzb+kDrVeGT
ZAHH4GpjVALby3RAYYfdZC5RjaeivLxxJNnkIdiBW25X553vjVACNheQRN2bFBem7SOnn8fZ3jiM
+ypHW+1OQG1oXWpSuL8qON9HhkSNM4Epij/4UKcduqX6ABolYNluZiT959T67S1MSLaRwMMzHArE
fDd1xjcM+9/mosz5hmLJBEIciC1A/aFs0OZC6X4HaHpfHbTiaqJM1PW/5xM3q7BXh5PKKcm+Wx04
zzJ4LEvyD3RdNTHUIKF8w7wW1acH0/oNEuhBEVW5+sY4ojj4XXPZjc3NorgkW3q89UNRSU6OsTha
7uGVt9ogLP8ULHw5mEhAFNpCk0HyDwq8MND3PI5qPfx4AE1rPkmv5w9QLXTeZGkGH+e5Z4bf3v+b
4cuW/tn3deeWkCA1US/8Z8BYJYzAcSnSmZN3blg8DiHC5itV6JKmIqK8JdW0eB/xhslhThO2fgJg
tiPSz9jUb29m0S4/6rvRn2Cd+bmQ/6n7Imq/VBmHEoeUQ9ZshSPGwSJpYn/+KmGNDxmTzlFDIixX
3KW0jkZ+9RFQhamir3Ym4y82U8CtTBaFrbm8ozsNzBm4GsnLA1LRej5SsfVEQA7Qrs8ns/pwEs22
bgtn2nSDBmJVlN5WoGqJMNK8tDV+9L7Rvm+FArgUV07vGChaM7vysuA0N2c9StToPQaFYXj4r0bT
Y4m5J+KZdNy/eIJMHklKeNdJ4X7SrOzXnBWcGk5lsn0aU0wphufpHTJ05zYkASO6jB7Pvy7NdbKO
LBNefZ5O3dVMYGfkHT88cQc3Dw56Iobuv/YtYMqN1VwPFrkuY+7yXfli2jpQvXRxTM218r7roaCz
gBRjfQL6KitjiLvv5BlGQ/ZMpqteIajW8jGZsWmU4sm3u1ZNvEjNjxCBoW/2xhusRc0FD0ne8RgC
KYIqJrpDlGbf3zz4ZiRnGgWXHGMgTZgz7JnDzhhKNtjdczpKN+C0Pokrlq7UYR96AJrKNTKfiIfK
M9CHDvv06DOrV/t1Yc1BWBkfOh/LnuH3cqPgzyObYxXnTvkvbMKOppJuWOvUKbzMskd0Wc+oyRE2
Egi5vLgKSZfIALIKQIsypgO7nfl3Yt+b0F2e3rI7xQwAvYWQbQoz0aBhxXQ5C66H+QT8KmkgFVe8
4ybkYmRfnZQyGsrbkAJLrlO846cW4Xe3QnpDguNSf76I9IDk+SDdojkqUOQV4pTKN+XVAG7GHgnt
xe0Qp7F7nNYojZbS7fftwyAzW0fz3nig2/s+JxHmtxyuiMZATjFDT83iLocs9IGzpfmkYXn8jQ3M
zv06MN7lQ/J54pWqte9mOvtg624klRVW+M35tRi46NWoQdGFJ/YnFfS1HpepO5g5DDXezGKLH+b6
v/23hZMbNMYkbImTS3NLE9h+6c5K0SpQx53CbDJL97GBVEyCO/GiYD2HtE2pYEQY2Xkoku9Bcr0y
2/f3IW3RdbQpqrfCCFOwg4osr7McusLyF/MRJpqckDDssrDOQX376rvJ+F65dxa6SP7f0xQiRM1H
rcu7kcT5eX1FRyzscEuFSm+TXCpqtCP4HDBVVS4ShodzD6EHbbmikDW1DS9rEX9ur2x4GkekEV7X
9uaNSCmmZNDvISCRftRs6dH5QyYsqQS8QyFIcYZcP5s8D+Gbxr/QIz5oZkhpULBdbjg0HZC2JNuI
mAYfkddAQKPI+vsRw6tGpGCccoXHMUmz/SALpxXJHu7cBPNlCFLpccKycfHxs7Ql+29rtWuvwhPr
Gd2v3tc/GHAT+8U4WMPAdatZ4xAafmUlT5x4yCn2B8hp7CDQKceIdtbMIaHyrehe+F9I0E7/XjI3
np3pIID+ONRPI67NzlMUGtvixXwVd04/Qy2wlPREZAZ0+LoXaWiXCYctiDNsLlJlRA03owdSmrbT
Y97sHnGXCpSCC7/FbyCZxgJ52k/yEuX+pgScSfRuSRA5SBXTllgJ7NcMH6MH3N/UzT+6RxFVVMSX
w5RNb4jZN4H52uKoVv7aE5p2eKddVytPtDrr1Bs+/NuhXVeF8/0iIVf6r3qpOB8M0uRoxWocoSC9
LOmYHgNdlOAk7kbX8ayuxCVGKkzOui1vBE7cgVdetQWzEx6EGyYZcH5W0Wlk9dioRJsLERylYnXW
dJNHo+kO/NAsEnC0PGTtWlC9SwjZCfsSU7FGgzu9eJ1me9tRuSrOH0WRja7ug5GlVseQElcW0scU
rwPT/6PKoOmh3UBK2I6kbVVLIC53JuZKURPXwu6T6bhrLPWk24PTl6moLMi8bHw8yoDOplnROqT9
j9kX3/2Jown81rKvfjZDZN3pZdf1kunaZJV0ZRXQpmCbbxLUZTfxziQ0OPNiwuFqvqqc2lsMlCJv
gog0On0ppH1/xSfU3tl5rjcRHr94rCEmUKtxCfKlXWneDuRbRMJx+8bIM/kQl4T8IyK6MfA0hFpb
zNZc+CqEOQfYj3wa4T6GIqwARXu2TSFgmsTuB07g+MmUaPrHc7VQFROPpOLV9VSCJ+LP0NqHKETv
E9cCETdmkn9hb0rWBPdyRv6eKfoxoQ9c0LVhkFaT3HDrHzgFAst2fjvZcBTKkflEd2u+JmloWo4Y
wyns2KwfEk+fhMeNLe50LTn5qf8Yfbpnm6p7s/eZlOB2qcTJVGsH15xPEsyyIEQCp8cMZ9ZhMzoH
k485WykugSgIe5f46+AnvF9jkR2V/jubzHESZJNMACR7ofYLj6aUer04Wrmp3ypqfYXgmYQspP2i
92cP/rHvAGYFV60o6c0ueEFN6QcuYntficCqBk0muMzbxhpAIstY3abkw7PiXkPc6+RMqjNKoGFL
UYu9BvJ345OmTkqH3kJROwL2svW8B5nvGQ4qhLmwnVJaMMzRhhXeDPKqSSBLC7+Nn9hZ+A7kaNvw
+wSnu2kXr+38nIGSZfzwItxt5tNERRcmLJF0ezfWkqyJC9bzebWy0Vb5YHIrMY2O4c/8GgH+YUbU
EUd/blZP8jGQYVqOTYOATWIvYtiI7mTQ22nJ4NbT29KsH+xWQ2NZROeetQVS99Ys9YG2OmDr39Ck
qrZkV9YO45bYqwuQKh1b3nSh78ZgtY8f4Ks5varwZUzXOgN7wPksVCwJ7NhSbSI4GNtVK/+NtCCj
64iAVYFEA9FUzeX7EwAlHMQ3wfCnqLIvCyHkgqYZYmIlNr3ZULbBraP/iknswcWbCch96lwTILFU
nWSAscTJf9+azy9iTVobzYoNvEr9vIvsDpZWNSLCbmaQ/Eq3bDRfhIxGcdPyDaT6lDeHHDQqcvSB
g7ZqcN5q5pV5+6Cj3LxXj4kn53WB5cS0fSfgOfp5eMbWW7IkPevG85aVoWYP8eDR757+o45WJuLK
q2qtJo7eotmoXFzPikkUjaw4aaG8hq2k1wMRhA+dizd+vvUJX4MTHGyq3vSg/64ynI2SgU8nKEJI
x4Qi8B/mH4PhH1ifp545TEsphl/1RaiRIRuNfG5HS8/wLlYJH3k6ls2RWy4GXicNtybWOkdRLy6p
u8M2pNnQ+UbrZL29r5o4dWSRZawjjOBQTBcukkDYF2DEYi5dplexkMNj1KiZkxWFNryq+povzU6F
68ZOYKXtFcu0Ob2pAohFa/IxhZpABpiVqyIanciM9ZdCMiiyOce6PysGmeDoeU1XOTjoVRUp9L+N
2waTa+l1nW7ORSM/xTHs1MqSr5TFf0TJrIiLntsnT6rEdIvT7w56pyvnfN2wr56sDr9s8wpXp3Fx
CYHoe4ADbO9NIcPGg8VHmmHEFbhlRC/XqJs3/LM8KJvu78I5MKer3a2oy9O3CVbIDWZROb4WlWlA
wS2Lp7FjWJB3WsjEf1s/aCsgXX5pB7IHXYxCUbb32CrsEDW7x60xDx84cFAvH58S2o4Qks3mC9+F
L9CfSuLzHAT0Qs/Ph72eIE6GX0WWp3PB2v42/YZrR0fwyKD/E77Kgbv2AHkZcG+RRrv16fGPIdzf
Pt0fLXlxsFrbXFBk3IYrlnM4tW0PMCmBG24zt6AIT5StsvnlXIk5iH9hnL/hTyOOSP8zmr4Ygw3S
VxAZtsIubKBffuuMTQTrs6XmNAnX9Iu6Dsd8Y0e1qu7+6/k4O8oBm3eL2Wgu323jS+jd3HUyQ3o3
HyCJApyHwbVuvIh4MVe6PP2Mu9k8uADG8Dh6Zwq/TnydVenU7TpGq5mI2/LqWZAkFKUV928qsA3D
HB8ZfNGjuGPZ1gyC8nWP82gtllfio5p8qS6Bmz7eS0OhxxOm7oOqgizKvc7Yr1TA/zQ0h8r5rdWa
ctjAGwXrNW9D6w+s9OpEK+4sY0GSdZLl17BuvP27jN8sgJYX1Ve/iKfzzfvD4KgwgA2Au0DoXNT7
RHV4islEaR8+uthu4ceLXFPEGUw5lVwvSB8IX2SeK5r7wPEpXDx476J6TvaP64r+mdi4TIBpsekC
m2goFCSfWS1Mi0gu44F4sH+POvpm601eyRTy2uBH5wuWrO3TyGFcTiQ0cJu+4ObRwv794lsz4T+7
4pU8rNy741DZuANHofcAcTzzFTuGu4sDLzz5TO4k5hQGFHP3j94zHcqlnicELl07DzjJlnuq5dYF
ep6zAsSRWU6EilbnvCmMD0z9RS5ocseEMIMQ7WxsifXl5/wep9pTX6+IBmW4qNFp1oSg0DcDY67s
54OIcydWQCuYOra6P9O9lyrjpCx0PlwMgVWIG3AT+afNOsJV8tGnlzb/rCv1+ZElWuhZ+ASSUwWW
LNiC/nrWgiPWZfMWON+P49A2Qv3W+XyFUpVGJTKbwbuHybCSfMnmpgUNIT4VOkc8ixqqLpDd5qEL
pz8mgF/PD04P2VuwCgDlTFGaBWJG4qk06Y+ovfReB25Ox6/AG0s5dhKSYDOY7j823QNPuIHssqyn
J0j2qzyCDJgNcRFMDPREdXXiuUKidcFcMmJDsyI44MOC38pUbPXjET7VhJrdtiewgMFH1sws/wAL
xJGUqNq2StqAmL9e7nbhpfpxIPGF6tCBxS/FZDPn3djwfTdNtMPy/E0RPFbaozm2nBWCOwa7+Mei
TO7XNZ9ncTypuHCBS+XnzdSDRVIX0IrrJUzHxG8pmpZHot+PDtugQnGfoAUPIO/vDRELAkZij4DV
vUF/V4IRsPFokjHrwJdch8EqMywf+P/rX3bn3bwFy9vNfp21ADWhN/us1fx6Mh0JqopuhQNXRL8d
K9yEIqQZKyMfiIAF7Y22ISVprXOjuc9U3z5aiP+WdBr2bpb7ZE44s60Vx5oHU/Zv6ApSp9UuPED/
hMywYwF6vztZuMVWzoaxe1jgjZr6gxiEL6lZ5uk7T/ArnEJZKc5+n/uneGJm0UO1HspLxNQ8/A98
hzVCfXCa6mLAPcouamctCXf3QjwhY36jf09hkQNfNEZ3YUdTeDvDW0ArNm0PR59EXMKPKO4ouQOD
Rca8T8VoT/sFwkXZrpFUDo2mY0gw2AgCEbDmhnPW1eaWJhrqB55GwtgXesQpsz1K3yQLyHUc/3r1
5SVnpD1udSuXBrXjgWKQo/tbyiBNsDLxVV4ucjZJ2PsqKIvwEeG91R3DC8p8h5QHgzPLEXZW+DQk
6VvKvTEUM/kxNLdfUA9ja416zb8DAGcPkQVoMmudOumW1amNB6sjqzJhXo2Fx0gmy6YJk31XT5gl
Sxgl6Uu4R0ETkl4lfXPkKzKnhzQCqIzuC/WLVGv4KVHtQM8NSMDeuY/hCWlgaU3PmdW3Z5uOYmJ6
qfLxyHiFmNszham2m/UdiY8Hyp8ad++SR4vIA089IIJN4J+GmlXMofQ/GqmEK/HdJRjjLqSQkcOi
23ZIG41SbKrfS/+C3LsaLd4PHM4xAsLvWFcUNTbidHwztmyCh0tyRlbAGhXDONk6CAoxqDXHWww/
sIw6dZZH+G0BQE4IAkou2tKAVeIDD+OzBy9MsxTlZI53nvG2vUgBH+ClRq3X2Q+76wZYXUwuoltz
hRrsco/pFkSGdDB8kW3VeqJ2ljMHJqsktmQoe4E/X5vC6jESm+y28g5Joh+58qKkwEx9pSen+FTf
lOUCBJmzgtqAAcoBFXI2/55tem/gmHS5iCoOcG91VI0S8plKJBXd/dabl8H/omVQBvyHGsQleF8m
GiC6rOycKhFfuTiuY8itE7pF44S8dLQ9plRZ6sddIIKVDiBP3PA3rfXpLyeLxgPHZbiWkQ63lDtL
OWMezFHogGb5cxFZa1pLreLQXi+SnqJpr3JZUDoesy1J/6bxwDONATX3Uh0lW6HTuAD8qBXRUVEN
GSXflHBDNZZLRaF811lFFZYSm+G2ZXsM9tyKnnwwWMS8Uia7uK4WTwJEVuO0Eb65Zt/DmOfAjSrn
Y6ufTIOTEAfG8JA1mQJKZW92R6R1O2OImQcjLUwxzsK0nDO7NqV8LCXXfTtahKQT1h2lYKhZJ0Vo
XKYl/WQYB8L1P/LL2VsrUCr1Mt6qUXYMxAocMLPvK4VEFINLqx0CVonFfGzdczVGoHqyLMTlbwxe
d5AHjV8AbPi4UlkBDeaWvIin41IX1YNsDMh8evt/HSIwWIRE8mHiD9zQeyER71ylYS9whaEuB1Ti
FuAoSUYOksg5FqGb4blQyigvDUUcCDtSNqLN8O/naBN1B945ItB9Kfuv9SuJOEs9V06VpH8KBmUy
0ZFpp/Jn7S+l05UD+ypxsWvz4ctKPVOWhGB7hQj0hJaKFa2kATchXdXvZ2rVRcVldYHu03GrEbF2
byOs0Oy+YrFwuiqF10ZxkxL4tA4Rf0GHzXhc1cJaVcnf1zu0Oi2qmjBV8VNuAlIGirRj42to5A95
BIqiq7Uvrp8tsNORm9anPsV9rDkzDOnIB/F7R+cJCnMKn72t7vIuDISUSzTNw07ihVoHNp685+IS
SCyZ/1zCBfrBQrV2GqzU8nPsZxTeJto4lSXCAm94LR68OWjxUmKaLO0Yh2C0yCU5t5PTtHjr972X
NOJUUST7SVJ6//MOQnz5VuE9T9XU8gTTm/3VjVdNqqfB8/OLaRLlp8YKruVTbycjr8rbeLU5t3SO
5fz4+ghjMggTm8QoqnGrusVQxsQ/rftQyWPMAuxqH1sKpje/ohN6xAPS9iR2n45lmE1GsAMJnh12
Ab1v6BhClte5Z0XghrcKpTUturpI21cYu0Zn3vswP2dMSlG3cCTZhc+CN6BbyHcaSqdgJDsN2dPV
Z6IaK9Zcyw2Gw6iI53a9OrNvWvID08wotxhFmg6p9nPLow+oYSf6VZQyi9V4hDaJ/jc7Qlp7YG3C
uKoqms0jZluWkWGVC1EwVqDqGMzaCS3/ABxbEr+W9xZLmA0ge2mg50EwES4EC7zh8bWTYaJSNyr8
N0KLzqOT563dRpFy0gTKVM5/L2hHyzYmLFfEHnlBswdZI80cAmOiqI110V5FqBDkXRDxwq0emuSY
4scLC7WHPWcMGlt3QZw4bEO6FnNmRgqGbolcBx07EPrbUD32PAXFsRZWDtqXXsY3OsR8sF0kSGI1
RgsyTZOog7xMgQbe6NcxByPBhl/AinZdCCEmgrHlTEnNNDw+HbJ5oWJEIer3jIBh3/Uca0W43SZM
aOUIX1MJxZAk7mghzAGwwKgt6/AiSC6ocmiDQBrKh2PX0ukRsg+rBRyVb2oA5YUs+cWKcnnjwqn9
gXJHnzQPhbz55FeYgyxKyzrpB/1vqDBtvy5jm1PSPFXwhrvVb0W0Wvwbs0NmXHFalOPjyvDhHr/7
s7NVw5kO0xV1KO8Ov5Hm1lYHcNRcTIvmigi1i3li0iPp3yeN0FIrpwnCZrjgoZMwXK2DBD92qCV7
tLBXHUXlXKu5dK43AKnBB7egV/8lO6YVarzhMoqMX4Lkasj8qp+JavmypuJ5iAGlhbAZUVky6yGo
byvGLSdCFgJaG2TcKT3vhaleFA0fI0xCJwS8tdlGimLjXFHkWcoPpIZPKOXKQdrwlddsMTuOLm2+
7eEdssCPSsv9k736B5sgxBzw/LECMeCb4ucjh2GawRYPr36CYuBDaCWUqqVvrTCS1YEHqTU+h7nR
7KTdSMMnan+9o3TLDMNqQNY69zN70gp16yfsHT3iWU8yN6BvAame4ToFQM3//owWyz31NKCsRIJM
y0b3fuujj5REwUePQY34ydbkoZo23T6VC6mgjxTDqEhBq4oYrrOomV74JQjcUZP5da2wZ4CTBtSQ
0miqEb4ZxlZnQqoKBjuHf6UB5minw7WMT1LFzcDR9PzqIn6CJfUAQcROAaalSg30RNdMvhxZFFXm
7gM3tF4CfBL+y1VzfJe2HMFoOiNcw2ritGz3tOSiY7pIS36w2gz/0J3Sj4zsyHPInp5MPx8riNEI
07Hasd9IYsFdFHZJf1UZ7/+THHB6cuJQ8eSaoKQ+678/Trfnpf2Pd4P/XHT6WbhHz4UpEwde5TNP
428RhYBST/WQOMoKt4pJueuh4ZVYTaJUtfTccqNIUcCXiu6IPU3G/wK3R8GyJWv8PhShbHf7s4aa
n8396LlIsXGD+PI27K9Bg2NwJkfT+BpKUd36cvkjq0TFNh5sBqSW8V6xeIPbrQ/7PYZHGs88dC3c
iBdGrcPLcudxnRNjjDcACuwbLPufOo8MDOBX70iMVjVy+KzTPlxZdQ9Ef9RyFmFpmq0OYEZAbOzG
VJY8EqtLjsXHYFaSPzC8Da9JWyeyLCY81iXrWQ0e5GqhUAOlakmwjd+VANtl9MMD6jpuLznpH18W
ABD3UY4n18ZUJXzxRN/B56mYukQlCrGQSwR7SDnhCB0sHi7SbvpPtwV3VrXnJPgo/xknymwmNZ9c
a2BZVV/tdyLhkTLPJuGz3VP3lcTmRtKv6TZKA5rr7RySG+MRr/BFDwD1jsOS5ZFjvw8gGjASQy9z
TxpUbGZsWb+yY21hJzQFB3N8W/XNwXwWgqA3S0IjuNOW5OhH3DA3gMj8GJNC1VCTqvS2zBfuOfoM
nMDIqnCxmW/tBtf8NG1Dlf/IaBODYyL/vB/8FpX/tI6JGWjtKSUURBwmb6AdCP3sXGAa7Br8S2Oe
sfym5FGL5OxCKLKCNODT53GlAt4hDGp2G3rRTqxcYUUKBpqHziTDlk3h5gHErLdZBbDTe7faoqCy
OElfLPIfevQ3gXt2MtOZH2F59NiWxxKaKovRZ0t+mSOx5DWGwPQ37lGfn327V8dZ9P0etu3DSpco
mbGUm4KgLT0jXKoJaf2/9xxhHyrZHw4LTEMP9rUWEQw3Lb0v/czlZZpy3/okLD5sx6/Xf8jDMu+E
8iHmOYGovUQZGkf4V2dXQwuR8guqCXnJSiHqFSVRTt9DBXhWphG8qLExqRxID5IEHx4GkgOKQ970
2hcAGO5/zvUl8Dxq/HkdE5+eiAKobr8613Sh3OREB4w+kfXWJhGIGk3Tf3auH9VywvV+rJHJHuaQ
v/CMtjTJtmnHqJ/hyH96G0/oBsgNBrXx8E3/T8n/jtnP4s6s7ZmEe5hPLkltCDEihabbwr5RsedG
KGDST6a3Wo+ztpxhRbp/xYnRLjB9cKt6UIVpKDzb85TlF25djysXHwT4MoSZL28ugKh7OBSZn333
JbWEdEWeFgy3bx2Rp5PfUYONWxVb+xqTQ7Yjc2ek6vP5AM9IyqTUdOGhwXNd6DbqrnDtIhRVKBez
/SqBKw66ZGS1SES/8bw6orPuubgAtul9QxdTqyYrlTZTeepbtOicigimNlGuNz2csB7VFkCafO2Z
JMUj2EBSC90ezGSUTlaPdUwKOOtxMlg2qDP7UWCziex+D8YsiTg8kkQ31xll5MJSktR4HtQykGPk
l3wEPRX9TwtDd3a45dGPmgooFMHSqbqynFjzuQ2ylqRDs2PDmfuWx9hQKu5T/s3bJ0FA92L5A/lv
U1eSLn8sHqYWRWnOfcSTcFifHikJT27cy+Twla6YiKrrC1tShrB2nTbKkG+fZtSNITZWwVcS0aRs
BEajawCCVP6cXbcbvLzxGuAoYxalT6MdT4j+EEBfBra53kPicuv7KMPQZXRN0kcG2atyKEiPqVyX
fmGm9Mv1Q8vZVMH+hWlFYsxeL3pt0M9Re81ujGC2xUgDp5rB66UZ1CLhWgP69GZM0+JIq0gAlS0b
iqa4/HbAn5MRXGelzXSqCZ/bPH1K1+Xl/kpg+GEKQ26PCJpl/KeYUeces0g6YDZVE+2pySr3TXqT
mC0BCb2SLfYYztAe+q6hkfRmPKwcDgvZrNdXNRYD3B3Lzy/0thN70tUQYRbMLaRyR3qSJGxuD488
dUqafOY+oKYe/9X2MU1oVY1Duub42vRAiIM+xgTnAlGB6WH1xQ7MeLVCgSiggZy3+sH9KIeFuH94
IMrcSnDNhW4JeNMii+oteFMGJDDpjYZiY55sKfGkUqAUKMgKv3XZ4atQprQl6/NmqF0rBG+NSixZ
fh3AcIu5FHToWJG1czhHuKNgVMWwMlm3cwwdnooyhQT3e6Nx5t9+Z8twtvqeTouBrw2BRqeXRxUk
bLvQWify82rWV8uuqUpBng8SWrc4/o0hvlcl9rpxirqkAM5HcBZREhme3Y9G7pqlBv8qHexwHlVt
DF8EDuyNJBkdewI2CvxmFH85DCXfcP9V6eR/z1T46xw4SUiX32GZ9mQH/+WspBa2dnv9iACstjKV
3rWncwlG7G+32Ob8OvacDSiycGi1ozjRok8GkFgNAoivQQkj2XmKsoujyej8ysTuBSy9n7Q0r+u9
/tALBhwsFvqbqgFJciFgCxkNLhBfoeK7L+C3FuJU6SxMk5TniDd2UWPaerzVw2s0cKotn/GdaT9c
3d5ExZJY2KPKRxpFYErLGPqskdEcr7YbQESW7sWWJKgDXPsofPrxFNr8BH7+gBuBdeZOoh7nI5cc
EaXtsFVTgCGpxEUdftYsTb/+UKRKX6O0iWiHWf/roOFaC7pkXfFcEUye+LcrNQuAwbAYJttVhJVv
nMbbX84nZItr2SNk5sjmbY4LKHZyfSFfHTkSkxErm92Fc0GzhyFudSfQHFQh5ois599rwPzOfCEn
eEct7+5cgFOaPjWLAOLwiKyTHUSvcD5fYbziyLDZx7Ax8YUukbk6AvebFK4rMs+FxQEzKnKRt2BY
P5UT13jMfzuVs5uVU0agS19sVYVhzxCXxz5Taqn6K28eK/hnrJ1Q26kt/5gp9aAmXLDJjwIJffPT
jvTdLLCaDp7d7xzg/kF4LS5CuVDj+fJ6ia4QJFSGROUQH78MqmrlxLnzHKM5l/wPOU2LVZ37iFRF
YxuyfsIJsMPzfDWM4EvmWJsjd+bp1rSBfsJMbXQBf0ZyCPr4bSxsVGmDHYUuZSi/UnTWM6+AS73+
DWyE6EFug2RdolcYnmzTxWpYC/ytNn0UJpnkxc6Hf9YzGQP2F5bgX2t3ZcudXlcNIdcD0i5yXNHI
Pxza1dc2dlqMRVJT2UocG++6Sh6HWb0DBXDmPsU7pBhbMkpWooeQ6pk3sasrNpDqsWdURdMoZ/5Q
eSiaE4khk9u6g+3SecIf2eV1Hx9fcIhiWQmTD2/kB3oZXiTYZFa4ox4gKyZhXBkc7kc+Gpke1n2k
C3p0ng+2zb0uZDpkFyjN56azoqkbn4Dk1/NxOpjTK2sUnIkd2MBGNTb56cSw1lu3eSAUX1i1QnFE
lSFHBMkCtAVVkhsBRgbiDvPj6CgBwiLW52eTjD2kkOVbR+QqRxPA2AHjFYORuySLCp1sTEo+YO3O
aShtObMT6vFC1YzsnwmeGSjZHx/4D9zRPK/TK113r68fZHykdoc1jIESYB2G5KFXPxAc4J4H0qRd
dc3etqkkaiU+KMpKWsSFOaaLqVMyWSpY13q9o3BBvxQ3/zHfBFin2pwv63ErH3/FZSreCaLT/kF7
REQntVPklWFyw4UHb5ILId8kBEeYkSb3jPiv8Q+X8WnCBr2Tr3ST84yzxM2xjrvsFnt+qcb7VSF0
b1sJrZo9sldOifrAtHDy62nFUxI+r//CWJD/6cAP/7/eeP1NIBehiAd4T6lo3/zmos7/cHf4Q5mT
U4J58fz2uhLMXO/8wJP7KbJ5lIi7/YTQBs8HtXsheU6Ug4w/hS5XP1uEnMvQvKXzPuKsL4sNjmXT
6zcqqaG6SKcbN4M5ZxtZJ/2cotBQ5S8du4WVq1IIDEip7mvhJTWFKfjySorE5CS9UMHtaVDIpRAX
0CivfBSDCDDir71DsCkv9cPtIwJ+cSA5bHcLkPuk5LiXZeb/+Sfd7HTnWO/P8Y/IlbRcsYrRtu3O
Xj07x1A9O060Hv0uplempCueWYw05ZlmETjh0fnu8PKSTKE2ZfwOoChAjbIt5kUlSPGJxrf37SrO
Q9wT8o8emU98IJiihSHDSNzj4gTgozkKTtEBPmhThLmFyjsPkkGcDTEbGStYaDGkhrwE2OhPE1Ll
fbH4M2q2enCsr90M15sOF89q5QUgMUcfhu0pLoc2RcvvqKEmeBBX9wzzhzbN6Lfhx6Um4HwxyAap
ogsFqpqxfr0vFTw6AwR+ko3pP9YhStCCnyvCrCHxC9LLvp/RhY5DuJe7WJNmd4uiwbQWevvq7/bt
UOZCs/U3MTz2GR2gkSGQvaVTpUbFcTvdS19oZTtKKjgETKxC61fN/ISZkXTDr67fFIZGyUhLfGH1
RbCxKtSGJsyjx1zz9nxLijPTHisPdZu5Q4DvCMNVi+s6AXYGZ9cspXa7E1rGiF9irLg695qVzSXu
R8Ll9uaShNQTmEuqAVJCl7Oa9+wPqkJgLaGk0AyoI7tOTDKqatG6GnpZ6vofU6IgftDvZ0cjmAmH
sZOhdQ6fhC1kaeVIUwoRtocRApj8XoSL5VBligvJZtO/1MZ5S52TYbnHheOJfV/CPM2p2A0lWddW
kLWBknBP8Z8F09d8AEmBRLb1DFiiXj4B/psfTryIZZoUmfaLT2/rOneSq3GQ2Mb08U8lglJqsgcz
DCGEXfI64xOQEsZYkE32IQ+Q2gZOyKqXxwywPmc2vxLofTUtfStyPa8mbhOoaw50L+01enT399Cv
2sOS3Qt2JHLhUcD7auwDTfWykoSrDna5eSNZjeReXK+I9tNmCholautJG7LHNGIFa51Fgi3PguMd
NTElqgDjpLfKCPuvN0BmytjLjpitdYeR5VBcUCUcRtMFNY5ilgIPxUxY2EAJwXeTvQH6dM3aOLKQ
sA0OEVKDlXGrq/B5gon7SLXPJDqSk6Dj/zBDteUTra6qa/TMIculeo/8DR6GDiyINe5JCimzlR3q
x3HOQLBd03fpSzdyp0j4uBRNKA3hpDfyRZYn+d9zyfKXx83LfUS8Hiz4ulRkphekt2LEScubYYq6
pPzp115TdBkfpN5MuqVMYD2Ad2QAW3G81munYpWjpRC0AB/F+rwHwE7tSvQojuM3MfjIwhpfgCTZ
bOmHgT9oJusypxsWogi6VL8c9CNZCIoyxylZveL6U0ZxM4w3uw6IkTlpqfuSIk8CvoVAbFSdTCPH
GbWZU0np77k3X656ZaSNZROKr1P7SXoXIuFDCnG2WHNEc+iQ1/yVqzgYeFBIQ3DwMF5muw2w+DBQ
hhlI8i9wkbsaNQk6dXjT4EiDsr/0qwUv0J6W+gxqmhAuUChn2BjvPQaC5geeN3aufDA52brDv4/O
KKMURdQop63Pbgr0VMy5vd6TeAMBN84F2p5P0w5TTBvvL8f0BFUPefp5o4pTbSOssHpE/GmFpXZn
tngH3UCnjvVbEdjEJtxtFN8jy2EUjLXQOkcYpeOzps7aK/jaDnMolO+AcdxSLVQxoHH2TZIAE0Kk
HVQQjqNq+w1qiWJGKOXRoKIY5fIWhj6KWB4IHURWlDMWv+ooDsUoD+mN/pDUhgQSQarVHi8zGSTK
MaROmyotlwBxreDJtFBsjGbCTmCwQ10GnkqRBiQ8kT/FjHI9QbvzTPUcHK3Ng+1s/d7FeYwrfgU5
UoWJa98cwaKFFDJjzzISfJ8SfsaxBvGrQTvIWIUUC5RIWr6Jln3E4q+zR6RFbkKbmdbF4YzA4/Bs
/0iG9IB9M/ZubMZIvesxz1t34/IqdMFeWCjUdg81+OBzx6TPEVSLLNgKUiqzWmeGf+PZAf+X2M3t
D3xVLLVvy4rHouXdeNLq+qO5fyzxtY+P0c6Z+6CPYBGmB7IzX19Kd98H80XegnLVfcbFTvWfVj2z
fVnykKMvGNrbkk2I2Fywd03HvHJPJGonwfBnFJX4CeTg6Cz7MzXp7DmRKcqvDQstmqL6vQrFem6Z
Ok30eyzBTtIvSD9/RvMbqArBvMj+z5ZInz8UJ2nstEAJdDfh6WfqjDlzJBJBfvn1XIY10GM8HQJf
wg4P2NOF+D6Qxxt+RkyM+hIGRq8d673RUMWb+/3ySrvcdzNsZ3F6ArzRZLI156ljQXEYg28d5p9p
JIwUQvQ5LZ3Y36iG/763QQq9GwyN3U9gdniOofKWLDVKrkb66aZ5Hs0G/4vADwizTJesdElbN1Cm
0SPWJoGeGfeH7/AX9WNTaXQoD+L1/BJ6lB1AEGIV05DVfhoGqG3qURBGAb4RA95Lm3S/rHJ/VC2B
F3oT+82HKMmUCRV1Mz80XTcMQl0jaL/VXXa/AVX4Dxg1riMC9AeLJmV+7oYl7FZY/H+dnqhSsovH
Ex0A4kLhrR2ko81VXij+AEwekJcahRAARUcGbibwGvo6nfpqk6RJ/304cm1nstZNAJdN0gbsDcmA
gJnc8i2rpppNVPIgHh8gR80ZvwRvMO5iONxL7RmJ2tNVLJAYiA6xlGGoCEjXrYS2F1gK4lZIE+44
yWLqTpGEvQgMo18n1rxC2VMU23ufYCbFnBpSNGlNQVqLoZxh0Vsq7QQpag+9a0udMD8NkpEG05hP
pF0Ao5FaJ1Fq5tUFQIDVumqWXRPctN30KYw7R7sbYy7RFQD0R96jkIpjD/Pqrf3O2yfJsPDM+Q52
LwPKld6qL2UAUmyGN4+g4x05fVzJBELlnaYraPREwZqOZmQqbSICr0a9d3w1boK6kgng4RN/ucrE
cvB24BF50PZwj9t4/ndBXcqVkEB/fUJTVLQHayIOjErM1xm7Xl5IY7ktGx2yylb2po3N+L+7sxNl
OGpY09GjJ4lpzcQhM9IC2ZkNx4ItjkXsEC3BmpZNMD0np8EbE9543SyA2nQQupwxKx8sEURviLJA
gJwUfqU0uVTEVRhrpPzjYkNH7Rzc85aK+tcGekZIkC3NzqgRpBNxS7rjkXyZ9LYBBqOn/y5dGnpc
FlPXp/DNih01gykxhWDUOSUyVf6A0vCJOvBNj5I002srq0F5z2h8i6G6L0I63+K3/hcEYje/HT46
CeO2ryDwJF5MKeZ4M0z0SHQnrGS2QY1rz5cjHC4QiRttlJZzvfp+BcxwItXEITp8K1yq2zF/88PY
EYwJ+3P5FYC0a2Fb9VHxL3KHJHz/0l9aRg8fABNcVO82vNxQ1szg2Xw88PkxnVpYZGz/uuPIu/W6
p84UYlQWcnqFTYHwGJQhVV1cdziESlA9iMm59u6rflnS4HzU24wEBBatthfkI6q5goRGpzb0DcZ1
ijEMhv4cXsb6k2/a/pH4YQMBz3E5t+r3J+HSBDI+26NhXe8RJ7w2/6JjIq0oLzWpyXRIubspxfln
HIiw74k6yDZoi/RS+E57hrTjtyxQSrefSgMQyvdubzkAKbYD6LX1yK8ES70E5Z/yZAKFlRztPEwA
5buXIytrraseyA4ICuapjqRwILOzlDlcg49R8vdtT9IxBt59PBubMNhtraUEr2YRc+YgriLJrxMt
+ozCASUfYCI93sKKNxxZT7If1GsbL+loPGP9u2L1eKFJayxsw3x6wTDMol0Ie3CPzfMZbsET8wsm
bD13R6A5QxB4qq/gof86OOEHRhFbQy7oUz8uQruEYwLCl3pGJSjLa3Sm2HnbQ8C9SwDziXG/XScQ
npcGoNLnmOnKQm2FjUF09prEhWHZ8cU1CvX9wUnfsah86jloV/gI7bCOAPJ6EZaS1fDFnEi4F8oE
PJ9Njo7HBS+T2BHLTPX9ue90Ls7xETpRyv1Mz7Fw7ExHLgJJ1AMApy6er8f6GddI7MfnwoQucZ4c
Q4F8/UUC/xz7K0Xh2pBbr0+OyJnRSp8WbnPy3sm/K+9/NzhoohFbKrihym0oykhgvs1t2dztA0IB
2aQVft+0JqU6PgOtiX4JIZ7mfeVn7ILMErnyIqbJJ62kjABuw5s3RhNpZQc8lBE47DNhis8MQaBR
op97QUuOIKKH/R8to/EhiDB0U6QdUg+7tHDykrUf2Vm1kK9W6DaZ+4ZCqDXJlo45pVbuE6tRLBX9
TdEN0099Zru/I1opMYAI9Hwmi4BLrq3CpvBd4kyiia+vlYbMfXKddj9ThLxhCUQ8YmC1kv2VIZZv
Qd9VhU6/EzamC3oFD/8phgdUHbEjKe5sRRmQQJ5kCMpuEvabU10O/e8xwYCOba7+7ESleSgBpR8m
IYS4BLAeH3kkGVJBtW2YMNCXSdLp680WTyPAUvvpB7/dFm7XxwRcm+xNT4auzxz5pFbb163kYnla
wJXT/nST+nen9ylqY0rHoaQclQcjSbFMy+S5uPbuydKqm/M1Gxwlb2Bv2nZGXzCAv4CHOKs7kLnw
9aWu9EuEUGQmKQAdelf53dRS0MISWM3latXL2N62x32OiPZrRyO4bP2bM1baluiGg6spe7UjueMZ
JZRgLx0bg/LfIXnGbgT3+Cx1TDZDlibCNvJWJuJFg3PkqsMQnJoLSBAMMg556Yf1J10bTotPzou+
nmxS+195Ti9+gH4wi3ZckkhefwlJCKJjh5FeX8drLYLiC+INH00BfLHScDOXuNKYqZnz7zQnVnFj
7mf6LInJThv7HiEyPXkT9jPK2Ty2FoKr2mZWuQqzqGTo4botdevgu2IqGmsXAImEvV1UqW09KIuZ
1Q2ekXgWJ99RMKpAl3TSr0YzW25xhtyyfeomhP8ZrrCSQfbNgedeczpWC/ASHTBFNluKS7uJ8jNV
Xt//arpAr4665P3t5tUgIohOoysyxSMuALBicXyL2iuU6YlpNGh16llK2Tq5WwS3RMilKq9nEbPi
R1pjYUoOnLwSIyTYL8NU1iamYExFrCW0Vm5FLh0b20e3H9iVvYvTeElzF5N5fxweJOpE1wwtjGRx
DoeDvIjdyuqFUduVDbJUxozi6M1+SR9K9DDJ25v0Zvk0ckuK4hIHKak4TUTk3bt3aOeMwR9r5srE
M5qbUm6ZBopXv8DAHbSsFtYz3esSn8pWXxhjAVzoBKZCyCed1yjAcvmN/sikDa3iW0pyK/OCTYHm
ktZgj5O1J1FPDyewnppHy7aLOdeb2AxX9ECNv8P3uTS7eefqwLOllIwvmN45MNO2U5RziLIRO68d
P1LCcNMDFbXERzzeUE8ByapX6ssdRO1Kxha5iW4yhd6CVy2goHWZNT+xpQMmrgjjFKr/t0cdtz2e
qr+7OVVCoM4Uk8wnruiFdxTjDTdrmT2Olpx0nX9NPVuem5QOu1ODA9NX3OtDqI0LgS5PmGS+MvZR
jNPIyTSO45cboKRnaY5pnIa7ZdCLoXlLU24H+zdaEY8HHANCng+CNoCAGDK1XfnXXXhl/MEUSUfh
lVkVKRJv9/oqI2rMD/yxATm3WmrKT8uzXjvcYG9H/PISaUAcpABvmi9TyxInfmeIPkKjMbFJZxiI
p5pHvy1XmPoZF6YsHfukESLu19sLmHNYFhpegxQgA9BuRR2I3DlWyA1VPfU1WDa/pmYTaPSomZfj
G60FhvuYM+y4fiqVTuNED0BaIEM0ucc9v3KQyBlgKx0HmD1rD3ppzHB01LEGMRF23I+4TUECJNiH
BF8jjdISMeItgOSdXWqvt0JGLlGuIXI2U5UghWSbSajwHJX0Lp6G3G83GnMMgGC1TDA3XNU9Ocuy
GloL5JLrY9vzHOBSEjUyk3oVCBWbEnvWSq0fqxmwMb2fbHED/LuB/JCWh9ygygwZrTcVWMXAUi7T
2aDyuUHqe1jdqOxEO7VKneLsZIsi/xhhXSdLOXXP62epOAM0/Ty2asnZUVdz7xAPp9uWbo0kj7hh
PgW+PqCgRVzPv2mn5pMub1VNulyd4UnO/tmutPInYvXVkIGY14NqZVS6ku5qoByDhi78tiTgrLFV
4yktFYMgzwcCFkES6uqRH7KhVeDSqBedvEl2gg69n2N/AW8aDwxFjlhz7lr65SqmgtP6lkH/9Rkn
sfkDqs+p8nk4LR01G8maWKqNVS/nALlh/FvlHPVliFLhJILId4Cl9V9YHUcvSQHfmLy8ox5Q0gto
OiuVVkUe8ChALCISCFxcrfvjS8Pwv8xonsuxiSZ+K3g97UeHxUOfD3ZipTgj5c7fyHnxeMwKaBcJ
QtcgwDqu7UarePNYLyVShMOtpf5t2PKWQIIU5WnNhYML3qO2mehvykW2VQOSHFhO4BUPYgmnOCxS
tJnUBRdJbtV0e2Zyw93IszQMGlGgyEtdrog7l1CwnP56s6zu2ZqAWWNDk8hv8SSAn3da1ioM4mSk
9Jfhia645qwqPefSNwmFUFAxxOJEs7UCZixf/oQrfmUxvw7yAcTOaEpneavJo/lRzqM2xmr1sceC
qnqvfne8Gvm90d/anftIfwZgsFEs+D7E++3SvnWPsxLdt1TrpoLxswtb8Tw/AoKNOpOO+qDoUVH3
UrwtVh2U6bXtWIlQ/uHfz5Q0CbCYXPPXWHLn4ZiD5fPzQrcIs68uFvBN1KKgxmrwgZ7g1ybEK8R0
RcXuxlkZjiJM6oipsQvglFRTNYvZJcJujz8GUjeQrbmBCjkHFMJzlVOjkI/TjsADy7zueXa74VXf
XzIQlkd+PQqVaBZmPpryKA3uJh1HTOrwsMPxy1XtTtR3ZFwUckzmieG5pKrxHrTrsxRWBt9ER/WR
afdtQFwKD6uxPRmcn3jh+IunWsQ/yKfElhRB8/l2Ng0M9U++ut9IWObq4B8iUIuEyTEDtXiluf+t
hMDTNWs641m8zsgfw3WeB/xgXMVAM8CJ2L5ZLW98T0bjLSGeIrRtR4Ugt3yYVbQDBknrHwTrt2Nf
gf/W/864uvlKrXIWAuWdYILkFeBR/kdEoFjfAg1fextZLjLPTkRIEFmXNbYR3FjsZxJ99Wb711Zu
1GudwNZKEsQfjsFw4M79VQgsLRRXDmubQ8UbgtJaBtSZl4b9wHwcRGtTUNjUeOohopSq16p3TgjP
cbcnzbYSOA4fc8fWFD1XdajuSVB2XJMBJyzjh3MPU7Qa4Rmz5jNXWck6JSfsSDOelSVDMS4lpX+e
9EbqWI0OBp9tTxBlyp1aRUHnD+su2y5pLxoiwyDuBfBd3B1MEvr8zO1fD7F8djvvVgKXQYOvD5/P
b0bajF0Tn7dooSTN0yNgU0S4pSHHgBAuTn4Qt7O3YW18+DLphrheK6R7GPzHf6ajCYnt56JMDwbw
ABNFrZ/GOaXYteaQOpa6P/1Q7E9KUASpz0WQ9aeJsNCdYcpazQ4kTMLu5GEcwq3nka+w52u++vdW
QIjptnKp+0HU7JpdriGwCQC0GPcdm5XFPbChakI7xXSXC/1GYqJgoi5duEq0+E8gsI6KcjBJLF9k
hDUZQ1+Rpc/6cxNARu+rod7VCjHKXo2DSzfiOOf3Wi5mAEW/DSUmE2YQPOMxhJZxMoD+Sr187tOK
lm6wSJL63/vwxznluNAt8zX14cUVF1oV2QHwH/N1AVLK+DI2bj2Kr866mvgvnF9wzoISg76OmXMU
Hpkx5vyW5qMEIhsVTQwkbmyKhFTFQElbJvfXkSEWyOofrPILBqDTXNqLgcuRNDcyIqZRD5dEMYKp
gXXhHcly4hmLSvmnpUhWkcCbmYBDryXlyHKTKMn1jQU9CGxb5L2Pyll2Ti6KQangrh+oUuhwSX4w
v35p9LErh66VWJv2V1Qq8lSpADPRKluGKiBV+jAz6myunhRtiyjH2ByAuE1HnADKmK8cFenyEP12
Y20AjD1Zm8ikuahr+EKjNenj+vBXoV+AXLIVrqiFAT+WWX/Z25IHncUKg7sEPYmRxfqN02GSmr1P
RbN1Xrz5RX/2mWFRdKrHYi2cCfJ8/TPvock2qxW13wul8QbR8L1oXyhzIRrsbgCbR7tWXC623EQB
2ArosraHqZqkUgINkBhrgH8I0l5JcruECY6Dj3EM5b0fQRYdcfUC5JmwqgSrHd4GS25mz5boRekG
yUJFwH48d7enXUSmbtwnR2Anky5dW4hGd2BWuHjGj3yphjtgubSVmlmPQmpA/xwuyXFRcfRy5dc2
RYyH0RDf1+tarheWFqD8ulAL0oEbL2NHzcT2kCL422Y5BcbuR7r2DTK4Dine640cCmB4WVWTlFDP
HVVX6C0T5B3ATw6fTyrIHIdkOTeXaTXx7Qgbr9y9tR6mLhmtTYjFWQAcZSFLwo+Qvhh7N+D4k+TS
px6WUFH3zttfazQwPqsBTsCEk0gMfvRjeL7IVa6f0i8pWFwb59SRRKbAichTO+29jvaq9qB4R2rW
xg9qYhcCvdgRxix8b1KoijE2pdKoCGxfRuSUArGMYbHbcQJ107Ss0eRy8pUb+52x44bex3rzDoMJ
2PbfYkO5GaPYfkpQW3C6UbLagbSOr2RvB5QC1uTy/7EXX1Spl1LmvsY3hNQlejC6x+MFTluB0zTG
yH1OGyiAZHNzdFYSTW9umYAUcS2+s6z2ECil71LAGwsEmGVxXARHSgRaTF3MmJPIg2ee8gTTnbCo
QStVl1AASxoBuBN6W2vdftXEb15c1l6bgRUAQN/P8CsPVgneWEiLLJXPtahSoUbIzJBE1GgblZu+
OTlmmrRzflRGVlIzlTCsO02KqGDbcrvWXsRbnoIDOtFPHyN7imFo3FbpBgWuWZbk1lpDOEJ0s2lD
7dGBULjefpMSRL3iUzklxgYia2tSRSos6r3vT8OnkzBTxU8aiArGMfwpj/IMDAobrvYLh66Aha6Y
wM5/q0IUrfXN7OeCYt137hRUzrp9GzPYWRnFyJk7W4wudv2YVMlLai0wdjbD8sDJTnHDn76efglg
u/ajzfLlaSLC0bEWPlicQayzoqynPS1EsS3CX8M8iDIzuN834UTPpvxPl+qCRbKU44Lkr6YwHWng
m3gxflGLM+7UCcbFOxQP5sFbmrDq0ZbMPMntr6VjiDJ6f2ummzEMnzv1rcW1QqtLA4sgckeEu9NJ
N249Oxe6vWDz5cSjlGvY51J3zZQXlxb0qp39HAkjhCQYjMyrjn+B4h0cujhrgF6EC92JtEvIwyEl
POPgysWE72U/R3J7ZGUOxVFuRQNzT62mu1x+oPsU8wxmnZ9AkEfWCz2NsqI7eGHffpSvUeUtcdzv
686cSz2TTEeWI21QE6kx2Uls9bsL8CnNSmsxGP+6qGAuGdlVA4mnwASRux9ewoKcweZKuv6Yll/m
w8RmD/jqJjsfv+ffjWKEct1VzgtGR2mk235lH+Vi4gfNVTWMsN96qORsMqQ5RrJBpwY9x0F7N4wW
jVsomMmjhpk6A6UFWGAqmS/iigqImLfhRCSiRbGigz+r4FtxeW5qyGfMamwfCBuEsKWd1yfnfmO5
dWWdBl2o/K3mHzXH8/cP/0ViPApcguKLc/JpxXGIdnavA/sQap4XRA/igDMD/tWX2JeUcGHh8p6M
iJgiR2CHHALkn5NYeGXa0KjsXldRmGKZodgRfdqpQLPz+SQSaLuZgpJB3p4EeytzpRnjsSN3Xflk
K23YHVfYfTSaR74QnCaZJ0qCAnclO4AB08cTwdLccjvl6Clf8OzhI7WgvFnpEU2j9SSWCTAr5Yb9
tflZXk9MoggiD0gJPT86aXlVY69SOXq+bokCNWQK21YvuPP+EAsZziwmXMFlYK43mk/NMnPw80WQ
8ycDJSy6CyrG8I4gqeRD04B7y+YwZWp4E7pBWUkYT2MgTTXM+7Wd3CE9XYM1hmw8j7nbluDqA8Th
vCLvDO8g2rU+arC78teN+LTtdyIOkW1ftNno6XRpyLnGSQ6iW7/owjmCvv6GcjasC8g5TTSiiLqj
/n69Eu7sV7geH3jfawrxZHYdz2qDnAb9KGezmorRlWw2RrObmf9SyXRxXdyud2QzM+b8cP6H/ags
YsxzM/qoKSzhHNQZ15iKQ6x5G8S30Rl4JlbBZ00k1cQD/4uyhfq4CgtqUTINOvz2WYL3h/iRUmEL
ZzLxWAXYqI1Yc3U/QdbBrnqLo2syub9k3YaFsjxMQUx1a5fKK35ptPXCczs6VOY20jMywlNx/hYD
ekgXgWH3LXkRZstHe8h9wY2Ng9Egb1QgeuNh8J28e7Jc6nAN3YTFptOeWCrYKZnNmEKVB87uUhX7
AS09V8D2noSYxuwV5xwDV/oWfOEIPhBEPbypYEMwYWDJOH25MWnRPgYK7h/ZqxepLTBhKhlyRWjp
EqDZ4nYgWD1Swd80GNo4PKjbGh7H1HCn5N66MncS0zuY4QZH0mpygZ6e02xZmeXcv3C2jvnqmAZP
8sYcj37X9MNkiHlywD6uRHYgYS4QqKrYczCOgraGgSkjbfS2hbTOxuK1XmgQe3TMD2mc8W0jaWqa
d96JOKS3Ig4r0U390kiAckf6uAjvqV76JQ3Jalf/cTOgJDGdPDpHGVfvcMOcpQuQ0aGBrEo/4HI+
k4WkzXW9B70OWkWjtQ64bCZSZysO5T0Umb3Y5ccQvOAy8o7MsqsP8PS1uiF7YyUyBkfYKuuME1p2
S3MlwltvN4U41TUXNUnkw0UEJzLXhiFqzMsfIHkoDce66KCI1SVhwFHE0wcTAdON3LQDQWBZsytz
d2RTvBPv/kZDd67GBPK/7ruNWCGay/F9UUCrlKq7Ml9H7uckUh3DJdARo0BL4iC/WyoHVaYcfvxf
1a2gSVyGU5R2Kuu4kB+WefFWlbScajZUMnAc3C832Lv9tFwxqosYcjUH8QN8W6nucpCfWQ+ge1m7
iG0BmNNyyVwDoo+ZO3ai+aU3qH0syQ/Pntf873wfhwJAUo8JBsO2bm+wEbpF+IMEj4BzkIqMwYsu
QXcXAxX+upErN0zsK0Ym1XNvcdssCYV6UMwdcQiZaG5KK0PPtWoatWJKVDKSaVb5bPx+5urTpSey
kMnqYDvDG2V8O1nIMyF74VWBeTXWssnsUUoWNr06fUWFi8Ka6TjlPCUTEV1EUN7fkubK+HjQtpQL
naNdAqpYMCSyQqgbMnXGJeFisW6Caiz1jquvSENCNTJJkySGP8oo2WlceBPB7gapV/3PRJYwyRa8
LuTBgadHu94q6c75WfeyPCEqosfBd5+f4Bf4NT7lk6iYFHCyyU7mDyQXRIGMGnEBZSu5jOIq0Y5l
z9c1UuMqUXqVo/zM/hW0rhswW8ZPFNYPHvH+QttOFShYlokyP2TokMX03a5GuoViF5+TbJT+Vtw2
w8f8rOpdZMghOrSS5dAcdwcx5pOjeCzfLyQmDsmWjSiuSZsD6Umupg+QZOyW/4gt3krRt9BwbkZm
xba3TMOMd8T3g0JV/bEBygCXhTL4qenb3du2biEEcKjHtTHa15tKiEgewkHxgEJ4tzx0nblexsFZ
ovk7D5ki6aRGzTHrKkJ5orAlaEjM6ipkj9gwBccgMspxegk8bOxUiPcr4EGUMYAAFIVipdASZ2i7
D13BCormf6IPSmOP+xaiWvBOzIixndJyDsTrtDkYvrEt4kY2lCYo8KfIfdKb5A2QNLrv6sJt8fBJ
+eiRRyJ8BFCSLU66T+BUjGcCIt8o1qR8E2FE8DhkWJ4TbHBuNuxdpLQugfW6fT3hkrrBrL2QsNw+
efszlPVkW1kgjkukGl8Sd0+pzhMt5cc3Z8XUVz0anqn3mLuG/Y1IwZ0otvWZYTrFV9WPqbpw+sV6
t5clBRQUn6AaFaeKa3YUVSx0G+FIUlzJHjCXVZ+M4J6K6VHeXfhwDHS8OkDuHEV+Rtjq7uf9HRow
R7dCmHFlIPd05mFFw33445N3Hh+1UYEIXdX20VZ7Mi3UOa1FtwrLt+A4wgJ3HcoHIJtl2iBztFs2
Bnf+IcPBnpiM759C4sA8uu64m5mc8KZ/FJysLWfMQB7CF3FUml3Ph1QY9US7dttL6d8RN4fTZgQz
jTkxsOqmPWPk4bBhQL1qLwRSuAhbAf4h4jTymatoPySuFDQxngThkclsFqnY20aGISAyQWe+yd9K
tG/h5zAR2cQgVULl0aTapKZA2URvnmAMIbNlu0yemKhXlAcWQMc18NrL5kQbHdlFsyGIBIm2tGjG
TzCcySfwoo9XMO1HLNxFVqDpZLDeaBmPUVUFqL1aLoDC2Qu0Ey63qPQaEljdQcfnNoefTWAxzBJk
pNcoQfAMsqhSfpTdmi/kXuwW7Yi5zh2k5vQK0OflJJ672h3u6sTGwAG1rM770ZQ3nBIsKkbatqOT
f+Y4EjN8LMpIBZ0kQ10xXzAGvlrZKtDS3ewrgyRfqeSNnPpWlbVknF6Vjpsdgb/Z0cRHanAlVGjD
4NV8vpwxoA2KTOBCW+XnD+t6OoBdyq85F+eIjIZp66ij7DntMtdOFYF6j3VJFE+XSquhyvl0Uk9+
6xiTy6nJ/fz4EHQnd+Efc/utI/krHSci1scDq89S0qqLrKD/2y3+/sWHMsoL24Up82+Qds+SCFaV
sFZbmWLB4fyjp5dELGCC9RDCPEFMIH62VxYZLWqq/y9JZymk9N8ODzdEUK1jSekQqGw9xVlHko/M
rzjaua8DmAM0GHy/4hO78spdKnpnmDtaKiGFU07v55omxDVMTfS1HK6AKWDfNQHlRqGDte2NM5K3
UeW5CZuaSbZjXFvZYxr1OAI+H3NV3PC8aHrae8JYfccf15+xgs/pKAyVMVSr1X49PjoQFzbInDio
sCiEvvwyvgwY1zYfj0VD8xhIORRGbql70AJf41C3lEvhIl2SYAf9TbhzTNXXFakGLulXdsMap1zz
GOlVsg4u76CGqvRNvdYxEAbe86g4qt0PI25DCSF0FBGT5MoNhPMh+rlk+Mi65wH2R3LcJTJ/WWXF
/a1Sw6omneQZqi+WJNTL8C1ijzNzFGVXcnR+frZGn7qDGQaZFn30ibaqHjBr0DNNlA5OS8mhZEwn
hEELO8viORZIVz+H2uPbHS07d6PRfbFdU5EfirDDHWlCSM39ND/Wv8Lv9mRhB4hC+MffjBb63bZY
IIfPBwOFL0HAEINdDV+Brx6gf7mo1NN61HHwxwiwkgjCssIr6N/JqgS5jcTcYVFkFpiklZrTxaHT
5ZOc0CW7XdUaaGJ6gUx61NvygwK2844XKfiXzWWS7+CCS+GIteLvUy3zYaVJwOzSc/cHjjb+rwM1
p+UK0uw87e53A2cmRYVP6u5AB+cn+JAqV6xtIOtRb9UbY+I+N4K5VZy6zzYLT4WbUpeYdVYRg1S/
V+bS71G/GBckT8y4mU/3XUhgqGpPEme7Wa7NnRn5g6yvbI3Mr9HIL3wZwbXMDcH5zRKv4pkvFJh3
d9AO4Uwli0wTaTkJKnU0Cl+JSPq9/5JJfdq+ab+QTW6yxJR8wdU/GAEAWUdxPzjrBmZiHcau7zMF
1hl8cLtIsqjR0vLgambFeyF/vcKbBOQg5wUcY/RU4R7H2r3a3seDOPuUnjc4L7RMBWE10VbIUdJA
6gGUqrGSJRv5J8Gc8EBb9ZP8BxSgG5wwMaq5y6XXZCOsjsmwXfZmxiofu45r9jGSqcrlVN7JCFJD
YqWkLeiWF5XODwITfpc0hXyCHfJPHEMkC8/1oywZn3yx6DNDpqYWD9KlQV6jYFf5Pqi787o+/iVR
FzSj+1GGe4ynpCeC4cjbUogdjH03k52rJhjjDfyoGmePGq0njCMQdmitniDKBzNWkniE6rNTRosO
9N3wQyinWbRBE+73EtOHuDizOiPe1amozzEhGkGDoH+5OhnfQNQiFsjnm0tt6r6fbUJ53k4uYe3x
2qQIOfufAiv4hYqSH5a1lkjyEwpHVkaakKC7LU+fDKaRAJkmmh3ozPxulNUTW95cgFAH3VxOmfIo
ulRG0HTPjAMPgjYODiDPVAQd2w11spHwAZzJoqVtI6xCID55YTSrrlV8IblrNExLHTbgOo3aUdXS
I1ZFdEsreDDNY5aTJ89ue6tnyOex15Nv+rvft3gCIeNK12+rKWelQbIRYIoabadUs98mKeODPFUV
MdQy6A+U4sONPzFAKZ16YfHPb9HsFI4XuKM7zdwZx378/aIRMIkcxIliwSO9SvE4ODfa2HsCegCv
xHdjgyT69WoUHsKr/HqFERMCLxgY5PfiM6GDyJo3KS73ybMIK14AS7gI1IXJXiVaaJ1XZyKoqrM3
lDH8PgfNEpX8RFWTdgDQ0qGMQWb2zB0GqeEUXdg17RAniG5SSYMDZc3Beq8gi3zvK+ASzFf/WxnN
0ob0AXF+HYwXd46fkmt+3PSOBLPvqkA4/t3pjcmwcjUNXcONB+RgpcvUwmz1MbC+RlH/J2maOPnd
ArvrHK6Gz+sCMt03LFpcX8MSno6yCefal4KIP8LU6qoqBd2qFQ+ed1xhssLN4VOiT/ocs0rSkk5S
uEoGrGjFmgghZWSZAyp+Be4IDHezFn/DJh/PhHXq/hwLwQMRxkKq9yTq/R5+HkVdh2ILQB73De2K
ufNzMfe9opkuzDg9ucj6km1cUXZSpacVui2cw8yHjKnGgGu2ZDpVC88qvlzZnYusBil1tq7auZbD
dPVlXXDg+PbVADGdxxWcIQsKEw+bwuUkyJNIJkjHP7gSNVFT7tIl7UYJmbHhI7HoUAudsgZP1YDg
v5e47P0K7V16CdynlcmX80d/UmsoFZQE3thfHVFQZO2PnJoFLuzGvufr+Ta0HefCeDTTGop+Axk2
T9FVi8VzAGjDXIgnucRoqP0v2oRwr62awi0E2C7FnvIp5fZ+ZckPLGkuFay1SUSvC0aea1J3J2Oh
dElo6azjwWpcugyplmlq/itIKa3dFduG/Rh6oc4oXYfNd265MgkSahcgBluQjm8/6Fkzm35X9F1t
EeqKoNQa5LkD5nCeNhzqxtPAKKI6eftx5+wGESGAHRI+0uTzXPaap7doA8x5V6ue5WADpZ/5HWZS
0dRt4lo7LCmH3Myl+W4pM/4NPFM2qRw4h9kLuMQtqN7Y/VEb7O0AweaVQcv05IXfZnlenRxaLk5h
WyGK5mRVvpACoOsy5NUFNpWkEFXL7uZOb0uE1ZSBzU7VnRuKz1rF34qCPYKQ+pjRmnhRgcqR01zG
Mk92oLLZqXN8RdDhc9Zkhork8+wrsAlEmpvs0ZEpDvHrxULfc9EoCHmwNAwyzdND4hP+6F2UH8O6
mTmKQh7NiyBK1V5DxTvrf2PklIQpzQsa5xSJboVu3xVne4YXG55k3HaSXTq9bG7T82i+Jg+Wew3Q
6QlLBGuBqG6kJc4Yvsafm7rBWd1tdYT9fv4iXArXD8S8P/wlhqq3HKr1A2GDaSskeZZpBoPeKdRn
XwQldH1wqq3B6URLCV40NjmZDHdAO7yxmrAEv6bxyUIKu3NuigksIjmquFTHIxiYK7T8BItBVwbI
Us4RCbYA0UZf+cPvs0c7IkPCGGj8+/HAdCGSltaHup15IbsOy0X9UX30Oe66Yd5QY7/aaZX4U4X5
UmFDxg2PMu5CPtuicWJBLre63eqG7HrNw9ovusOggB74a8YaqGJXNAxtGQo51DDcxe4BUWMf9qPZ
g7IGpTJU7Z3Zt3OVVhlqBSRZhwK0vbye4lBZrFxgAvog7RECtcClFELlsLXPywL34wAzUUF07H/k
NaSC7TOIpOCAWRnnBWM5T1i01nI3qE0wf9Unk7nuEL847ByacuSYm/eV+wUAWdUcaRuIFjmBiUId
LG0wiGOmaIS3p3emIUnffb9+xCOj6zPdXwfDs5sOKtIK8ab6IP4ewi73hBK6Ph908Vx8wmMgv8ye
znn95GsQFfnDPuM2GiYY0pwCcSwVorx+KSMgX0tV0YtPctGtGBqaSqOXfcAPRX3KcP/nSX2sGoHY
s7K30iuugZbDFIp1MSlLfrdSo6y25fOhiy7lFj2aUuEyTSuLDIbFob0MZMVP6Eo5YlctsUxALpFa
Eq/TVck/Nh9oTi6EF2r3vNY9Ev4l3pnn19DFf2TxEN3vzmhh24c2yvKiVILYMUYdjA0P5Vk4fVgy
z+hUb8teE3CkHm0GiHrHl7Sd8Kqwm3snjpKvuj96mlHuetBSR+1HB+Ub2CIISEYIfH3TBA9gf8pt
NyAOxL5ydCM8W440g/4hWUH4ZZB5vhpHIAvMCCGenMpX/38Wjs56G53JMPOUY5GHBEAK+C4ZRYh6
XtvhW9AQP0jCfV1LoslBfM7p3lQKukfjj5+CvxiA13Ox7Q/cw6E5iwOWBBQQrhpcb+/zQNc3+LHC
7TB7wOHSy4qQN2h7Ph+pjTWalOqhOQuAtxUUDpCbUkKcvlc40UQD19LOkP7O2jxX6RH/qJ1CkJX7
dUeJttzGjP8YW0hQwkmIRPrezsXzGHZg0HxhkA9bHfZ4v3RTQ2GqWQ3eP+Q4DYVx8XCWnBaGDDPj
L2yDQLXtW+DCm3byoZF9iMhaBkIUX1VkfFEvV9c5cnpXbPnGNZVEkXl1xDyRrLA81WSk/Lf8101g
4GYefxxji33GZMh/g2ub/OdbuozOkXuk091zQKKGK14uZPULXE5EZgETwrApnTRWMhFU7f6d36tU
TFqFQiXAr0/mx1HublJotTAo9ywtfFEgHgJVTEbLGveHEFYNY96AFXHPA7TEAn1OggVKjSLpY0fc
Ul23YjKfBgJE2Wj/aJhsXPfWS/dIJ9LG9w7fPgSKRcKQAH3xfkL76S+ScyFgUuq8uOBGNc2xX6zh
lpHIR9jvXlZ8hgqtiml5SupZKnAv+m4RN5v2Fw0FsfjkgdeJFbsN8Gvn4pU4o42pKo7gxZg68bVz
urCipB27tYMPbMqNccMTmnfwtdqOq0wh33TwfK8UrCCDDXdqgPIEvt0MmXa6/N64WJTb3HYp7PrU
w5slnQab0j0lMq6tHKhLcRIPwflVJC0bu7x73erfRKFDRF4YqRJjj+vg+XEWl1uve3ZA7n3YSmBJ
3aMn3NeDH5sc9NmK9ofrDJ7ViOsVr0kmulGuV0Ykxv773Yt1NeQ/7O239rBIf+2r+y4NsNMn8STa
olGU8N0uuTydw5WbAKg48jhAzVXWF5/FZm0DHllFoYaOdcGyIEHvg+6WBhxN1QnMBg5UGf0Ox1zi
xdU7yYLYzIYTYc5v9izrniBmhlRzarXnd1EG9bWXYWOzqqy5VmhNi49p0b6hQ6QrnSjXVAUkTkyw
bD98vDCiJ2v6YdiZB1IifXvD6GpwbxnVh34G+VZVZzHYI1L0mOXdWMeqZdYCqtJmHkZzdD/FrvOm
WCr5QM/mlbrMcEgpO80DVmjdzajbjhy7Tkr2dLn670zYHrmimbGVY5nCbcGaTH5Kk8DejFzjSXRA
eVXnILrdM4XygIGr+s1fZwHtagQpwdUCfJLq2pndUIhnhVXgF5OD18pWLx6r6EOB93AKDJ6iZ7xK
EjzrGhXUcQ+pmOLfigWOhwNbj3tqpAKoQP9e1/aUEbLtEHcpjovaz1S8+Pj9SwedgRD5X8gJasQt
XbHeK/coC08bZkGHJHE6Z+rNibdQugkpx5R1LjRw+LMHiDMBzYCrRUrrT4DEptVB2LI2W9NVw5zU
cxeeaRrY4v+d+J8vw8gHK5O6PWLt432sWEykuwkyVGsfLAvew42Q3VuBRs0lZ3QJ/qUf/bOAPBq7
FxUzyRDGjOZNu9x1gz5oclpYFLkDoB+xkdJbVkSCu5fo+W8+rWkRbgQ1y8ar9LA6N2pM4dWrtW1l
eKWk8IU6FLhjsy10FWarGhINeDcpEdk/uzgAn5AWfbViblqVsWwNkCe7nLF8nA9TwbBKZ5b7abMT
lduuZ3VoBH/mgYDBJEZiyRC+zpe8LNHeFtv/T79D40bbBgmj24XY8Nevy3IWhYoLd3kgjy3wy1r0
zQeaGK1mcf+2ytidopVIKPwKtsGaTUEvJuMg1KL2PkzuhL7Br9g0thnkkG6kfhJi4CW7pmMoZ2Ds
DReIyD3titB8KvE97cE104pK1aw5jaALPxNccSvNClQHdjic1/hKikgVOReV6phIv+CWhmlkcrb9
c1LYFB+iYRbqeOQKlATZ8whky1vq+x37byCFoFsbzuw44U3DRMXFsSolpYNSZF0koQwhD/cRYZf9
W+9XvEpnypuMvEfHBUviXEwLrgp/98sRt4WEnWvgn5NDNNyxxwbX2txZudQetpfpJlGYL6Mal011
eBvJfjZ2JwrczP2lsSWDPODpmavubbwrkt91RZkss6cmeA/USWDeeBqCrUSp6ZEt57Ww7wGLMEYF
CQMdYJV3FSHJeU8MjAnbV/GT3HSQPzp9TFhudUbhFLV+SLQRbLkxy3KKkz139f7R2XuplrGBIcki
QiaovlOo7xt228bAAKKK7PjEda2XzPduvtw+/LNiPJZ/Ti1eLn9ln5JEGRcIsNAkJUE7oUH9vgIV
ma/9BxMxbzvnjT0tuIAcUfRpyvG4kW1wJJepJso2ln18iZSEdgTDGD3BjP/jXbVhMPwv5wpwXnvN
u3Cyn/8w/oWTO/WsCmTk3Wm8iNF3tmZ4g37REg7uftIcUTj6omIEx75aYX5p6WcA+T61cy9KCZjn
mlmgCRnNG6a1RKTfQ66eQ+NLiIgoqcFf+sLf1w+fveh34/MfRkcm5Ls3+zZzybqOn/zF8db4jFHF
xLrCxoU0NWiki+cjWpZ9HjYBZW007XdQ3IUGKZVc8Rpb1kIh4bkHn+FaSbeJX31Jc0m8jZUQI4Th
pPjMhJCHwceg321D9aI5zoFfkrBATarhfmUW6c3lUCj1phJMzkspWnFmcmqMzYHptUqJIe9skUNK
tNIaOeClq71n6UCtITlgy0H8iWsuEzQ5XOMNseKP2JHxa8R59OFVbS/n1BeeKjYqWq2rsUn1fep6
ZSczKNiM3CWKQYHk9LBSWLiL9JW5aafVqYEXlxg7C2snII2mTNvRhmoiOyng76K/NyE0Q8WWn12R
PRCx9KqDf6IH7jpIq9NHE51Z5dHuph0MkFzs2QWnAoAEyKcEHabwW0ZtlGwHAvnNUb6i4gWyOEd/
LlCkc8J/nMsWgvE2vi+tZx5jOrRD/7xalIpi+OQtnfQ3qAj7lNah0xXRGhAcgcF3Rqn0HqX3g6Rg
q5RajtqjrcynxT0GHjyF/EMlWY2izSUUVFKcvo/Q844qOo9f1ywATkTKKyToI+iTEadjprVDk3dk
5sb22zOMlryycs8vd1B/iAgibNzOjFdDJGESirHDN+lGKnWwqsY7OqrjkkgJOcGWLgST1YXRDlT1
Psq2MgR62ZgKnkAVirtwNw9tgjMYYZHeCJWZVeL5XR+QgfMpM6SjrSdGB7rcuUiMk4eh3xfHAfr9
1EuvURGzG7kOW6BERG9flXRbNVDiX4LIwgQetwWsge932kfG9OfkuszTK2nuAJ1sA6fKkStkp+DT
dAj8eXd+u2EwN+XZeM2r1J+6zx1aliZvLh2fnHO2t0aPjG35x7IClYtXIHDMDlsLOcAWhXQE8DGk
hMnhdQX/zqkoX19dAB12Y4m8/CY2dyFj39KIFbqnTucAwI1iRRKw6g50kanfrSpG+FVK/O8Z8QpE
m5bw1gD+6j9Dk4FTj/BZupZBcwIOsbogzHfZbSuh6xoxQQi6skj9dg3Yv54LeW1FV898gmUQdUBg
u4ZA+zbIWbTF9nuuMsXInQKqMkLVB+Vcdv1Xf/cOLQIRw8VlJyi75/6P4wmvHpZAMrnGJTjDNhK9
bYPipbJWJ1mZXDZmt7af/oDfhek05b+rVHyq+E9C4aILH0Wy9NaeCUllTHeQ3O7wHg3pCccstOT1
iZF0AvUqguxEfYlCxfqC04kyqGpVnQOz9QQFjFfTbU3ifQNdCnIuATBu+CiO0aOdKSXv5YUdU+mx
whoog70gG8/LY0nl41HsZuQMprQFHr9Dh0bBPc5xY+NXdFqxR15qSSp0qNNaemjWtqilx3xgS2ph
W6gzefOm111IV7EdBW3tiyqtXNpz1cOSCQnrNP02n/2bg+1jjRunG9g2srHflNN9LD2bbOXBabLA
nxm+6ivuMHe+805zbqI9N1Z8ucpa3Qz7nUIzG5iYS48v2syfQICh81h+bXzwhclSXBmUoVZrKzT5
iu15XcppzHNvxB14ZXJ2Kf8x8WQmqN9kXYdNzbmmoGUUb07lTwatc2TaxdgBmf6vEaFIevQihXAm
ppNqewhyiIsMx2mpdATfzEm/4iuE7wjcW7KqfC/Wz1hdrdiYK5qGBcX6+xFejAJN3F4FI3Eld70u
BWtjIHqSAlUgS+Fp8ePLhW5NKeNAnHffAlge1J99Ah9tq5xv4thbyVsrWkJWizUdcbIzMxkS1neD
rGWVG3rCertvH4Ysov8hWUw50EH6FptDXQ2xZJSc32qHL5xYshkWBzK4IJ1SmTBGRtQTWiFpfNlb
PguzVUIyJmsKMEWjUj9CVa2KW5J0RFKUPU3RkVWvB8cr/PRju9/DiAVV3cpclLnymsxmpyQl5RNL
qBgqNTYaf0E4w1x1b1tHpzBM7qsfwCNpJG3iaLz1AYWekybJQB4Qj1tB2WKA/sMDs5iaaphk3gHE
P/yzB5qIlJyw+SlRRC2e/GW0T/vbqdXxNUcZUs9SCDZygf+CCcYMHQRYn0JZj+UcoWCBT2oHR2T3
IA7uwIMgmakD2jt7MgWAX6vGIfBcywnFrU4e/v6fCE70VzXBIN1+1rmJHt1EHpFZSisKcPLKWeSK
AWxpE5/DgnyTxv/0fg==
`protect end_protected
| gpl-3.0 | 8644d0fb7c2fbac618e4a54b77cf4ec3 | 0.94989 | 1.837598 | false | false | false | false |
rflamino/StellaBlue | core/A6500/src/cpu65xx_fast.vhd | 2 | 57,279 | -- -----------------------------------------------------------------------
--
-- FPGA 64
--
-- A fully functional commodore 64 implementation in a single FPGA
--
-- -----------------------------------------------------------------------
-- Copyright 2005-2008 by Peter Wendrich ([email protected])
-- http://www.syntiac.com/fpga64.html
-- -----------------------------------------------------------------------
--
-- Table driven, cycle exact 6502/6510 core
--
-- -----------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.ALL;
use ieee.numeric_std.ALL;
-- -----------------------------------------------------------------------
-- Store Zp (3) => fetch, cycle2, cycleEnd
-- Store Zp,x (4) => fetch, cycle2, preWrite, cycleEnd
-- Read Zp,x (4) => fetch, cycle2, cycleRead, cycleRead2
-- Rmw Zp,x (6) => fetch, cycle2, cycleRead, cycleRead2, cycleRmw, cycleEnd
-- Store Abs (4) => fetch, cycle2, cycle3, cycleEnd
-- Store Abs,x (5) => fetch, cycle2, cycle3, preWrite, cycleEnd
-- Rts (6) => fetch, cycle2, cycle3, cycleRead, cycleJump, cycleIncrEnd
-- Rti (6) => fetch, cycle2, stack1, stack2, stack3, cycleJump
-- Jsr (6) => fetch, cycle2, .. cycle5, cycle6, cycleJump
-- Jmp abs (-) => fetch, cycle2, .., cycleJump
-- Jmp (ind) (-) => fetch, cycle2, .., cycleJump
-- Brk / irq (6) => fetch, cycle2, stack2, stack3, stack4
-- -----------------------------------------------------------------------
architecture fast of cpu65xx is
-- Statemachine
type cpuCycles is (
opcodeFetch, -- New opcode is read and registers updated
cycle2,
cycle3,
cyclePreIndirect,
cycleIndirect,
cycleBranchTaken,
cycleBranchPage,
cyclePreRead, -- Cycle before read while doing zeropage indexed addressing.
cycleRead, -- Read cycle
cycleRead2, -- Second read cycle after page-boundary crossing.
cycleRmw, -- Calculate ALU output for read-modify-write instr.
cyclePreWrite, -- Cycle before write when doing indexed addressing.
cycleWrite, -- Write cycle for zeropage or absolute addressing.
cycleStack1,
cycleStack2,
cycleStack3,
cycleStack4,
cycleJump, -- Last cycle of Jsr, Jmp. Next fetch address is target addr.
cycleEnd
);
signal theCpuCycle : cpuCycles;
signal nextCpuCycle : cpuCycles;
signal updateRegisters : boolean;
signal processIrq : std_logic;
signal nmiReg: std_logic;
signal nmiEdge: std_logic;
signal irqReg : std_logic; -- Delay IRQ input with one clock cycle.
signal soReg : std_logic; -- SO pin edge detection
-- Opcode decoding
constant opcUpdateA : integer := 0;
constant opcUpdateX : integer := 1;
constant opcUpdateY : integer := 2;
constant opcUpdateS : integer := 3;
constant opcUpdateN : integer := 4;
constant opcUpdateV : integer := 5;
constant opcUpdateD : integer := 6;
constant opcUpdateI : integer := 7;
constant opcUpdateZ : integer := 8;
constant opcUpdateC : integer := 9;
constant opcSecondByte : integer := 10;
constant opcAbsolute : integer := 11;
constant opcZeroPage : integer := 12;
constant opcIndirect : integer := 13;
constant opcStackAddr : integer := 14; -- Push/Pop address
constant opcStackData : integer := 15; -- Push/Pop status/data
constant opcJump : integer := 16;
constant opcBranch : integer := 17;
constant indexX : integer := 18;
constant indexY : integer := 19;
constant opcStackUp : integer := 20;
constant opcWrite : integer := 21;
constant opcRmw : integer := 22;
constant opcIncrAfter : integer := 23; -- Insert extra cycle to increment PC (RTS)
constant opcRti : integer := 24;
constant opcIRQ : integer := 25;
constant opcInA : integer := 26;
constant opcInE : integer := 27;
constant opcInX : integer := 28;
constant opcInY : integer := 29;
constant opcInS : integer := 30;
constant opcInT : integer := 31;
constant opcInH : integer := 32;
constant opcInClear : integer := 33;
constant aluMode1From : integer := 34;
--
constant aluMode1To : integer := 37;
constant aluMode2From : integer := 38;
--
constant aluMode2To : integer := 40;
--
constant opcInCmp : integer := 41;
constant opcInCpx : integer := 42;
constant opcInCpy : integer := 43;
subtype addrDef is unsigned(0 to 15);
--
-- is Interrupt -----------------+
-- instruction is RTI ----------------+|
-- PC++ on last cycle (RTS) ---------------+||
-- RMW --------------+|||
-- Write -------------+||||
-- Pop/Stack up -------------+|||||
-- Branch ---------+ ||||||
-- Jump ----------+| ||||||
-- Push or Pop data -------+|| ||||||
-- Push or Pop addr ------+||| ||||||
-- Indirect -----+|||| ||||||
-- ZeroPage ----+||||| ||||||
-- Absolute ---+|||||| ||||||
-- PC++ on cycle2 --+||||||| ||||||
-- |AZI||JBXY|WM|||
constant immediate : addrDef := "1000000000000000";
constant implied : addrDef := "0000000000000000";
-- Zero page
constant readZp : addrDef := "1010000000000000";
constant writeZp : addrDef := "1010000000010000";
constant rmwZp : addrDef := "1010000000001000";
-- Zero page indexed
constant readZpX : addrDef := "1010000010000000";
constant writeZpX : addrDef := "1010000010010000";
constant rmwZpX : addrDef := "1010000010001000";
constant readZpY : addrDef := "1010000001000000";
constant writeZpY : addrDef := "1010000001010000";
constant rmwZpY : addrDef := "1010000001001000";
-- Zero page indirect
constant readIndX : addrDef := "1001000010000000";
constant writeIndX : addrDef := "1001000010010000";
constant rmwIndX : addrDef := "1001000010001000";
constant readIndY : addrDef := "1001000001000000";
constant writeIndY : addrDef := "1001000001010000";
constant rmwIndY : addrDef := "1001000001001000";
-- |AZI||JBXY|WM||
-- Absolute
constant readAbs : addrDef := "1100000000000000";
constant writeAbs : addrDef := "1100000000010000";
constant rmwAbs : addrDef := "1100000000001000";
constant readAbsX : addrDef := "1100000010000000";
constant writeAbsX : addrDef := "1100000010010000";
constant rmwAbsX : addrDef := "1100000010001000";
constant readAbsY : addrDef := "1100000001000000";
constant writeAbsY : addrDef := "1100000001010000";
constant rmwAbsY : addrDef := "1100000001001000";
-- PHA PHP
constant push : addrDef := "0000010000000000";
-- PLA PLP
constant pop : addrDef := "0000010000100000";
-- Jumps
constant jsr : addrDef := "1000101000000000";
constant jumpAbs : addrDef := "1000001000000000";
constant jumpInd : addrDef := "1100001000000000";
constant relative : addrDef := "1000000100000000";
-- Specials
constant rts : addrDef := "0000101000100100";
constant rti : addrDef := "0000111000100010";
constant brk : addrDef := "1000111000000001";
-- constant : unsigned(0 to 0) := "0";
constant xxxxxxxx : addrDef := "----------0---00";
-- A = accu
-- E = Accu | 0xEE (for ANE, LXA)
-- X = index X
-- Y = index Y
-- S = Stack pointer
-- H = indexH
--
-- AEXYSTHc
constant aluInA : unsigned(0 to 7) := "10000000";
constant aluInE : unsigned(0 to 7) := "01000000";
constant aluInEXT : unsigned(0 to 7) := "01100100";
constant aluInET : unsigned(0 to 7) := "01000100";
constant aluInX : unsigned(0 to 7) := "00100000";
constant aluInXH : unsigned(0 to 7) := "00100010";
constant aluInY : unsigned(0 to 7) := "00010000";
constant aluInYH : unsigned(0 to 7) := "00010010";
constant aluInS : unsigned(0 to 7) := "00001000";
constant aluInT : unsigned(0 to 7) := "00000100";
constant aluInAX : unsigned(0 to 7) := "10100000";
constant aluInAXH : unsigned(0 to 7) := "10100010";
constant aluInAT : unsigned(0 to 7) := "10000100";
constant aluInXT : unsigned(0 to 7) := "00100100";
constant aluInST : unsigned(0 to 7) := "00001100";
constant aluInSet : unsigned(0 to 7) := "00000000";
constant aluInClr : unsigned(0 to 7) := "00000001";
constant aluInXXX : unsigned(0 to 7) := "--------";
-- Most of the aluModes are just like the opcodes.
-- aluModeInp -> input is output. calculate N and Z
-- aluModeCmp -> Compare for CMP, CPX, CPY
-- aluModeFlg -> input to flags needed for PLP, RTI and CLC, SEC, CLV
-- aluModeInc -> for INC but also INX, INY
-- aluModeDec -> for DEC but also DEX, DEY
subtype aluMode1 is unsigned(0 to 3);
subtype aluMode2 is unsigned(0 to 2);
subtype aluMode is unsigned(0 to 9);
-- Logic/Shift ALU
constant aluModeInp : aluMode1 := "0000";
constant aluModeP : aluMode1 := "0001";
constant aluModeInc : aluMode1 := "0010";
constant aluModeDec : aluMode1 := "0011";
constant aluModeFlg : aluMode1 := "0100";
constant aluModeBit : aluMode1 := "0101";
-- 0110
-- 0111
constant aluModeLsr : aluMode1 := "1000";
constant aluModeRor : aluMode1 := "1001";
constant aluModeAsl : aluMode1 := "1010";
constant aluModeRol : aluMode1 := "1011";
-- 1100
-- 1101
-- 1110
constant aluModeAnc : aluMode1 := "1111";
-- Arithmetic ALU
constant aluModePss : aluMode2 := "000";
constant aluModeCmp : aluMode2 := "001";
constant aluModeAdc : aluMode2 := "010";
constant aluModeSbc : aluMode2 := "011";
constant aluModeAnd : aluMode2 := "100";
constant aluModeOra : aluMode2 := "101";
constant aluModeEor : aluMode2 := "110";
constant aluModeArr : aluMode2 := "111";
constant aluInp : aluMode := aluModeInp & aluModePss & "---";
constant aluP : aluMode := aluModeP & aluModePss & "---";
constant aluInc : aluMode := aluModeInc & aluModePss & "---";
constant aluDec : aluMode := aluModeDec & aluModePss & "---";
constant aluFlg : aluMode := aluModeFlg & aluModePss & "---";
constant aluBit : aluMode := aluModeBit & aluModeAnd & "---";
constant aluRor : aluMode := aluModeRor & aluModePss & "---";
constant aluLsr : aluMode := aluModeLsr & aluModePss & "---";
constant aluRol : aluMode := aluModeRol & aluModePss & "---";
constant aluAsl : aluMode := aluModeAsl & aluModePss & "---";
constant aluCmp : aluMode := aluModeInp & aluModeCmp & "100";
constant aluCpx : aluMode := aluModeInp & aluModeCmp & "010";
constant aluCpy : aluMode := aluModeInp & aluModeCmp & "001";
constant aluAdc : aluMode := aluModeInp & aluModeAdc & "---";
constant aluSbc : aluMode := aluModeInp & aluModeSbc & "---";
constant aluAnd : aluMode := aluModeInp & aluModeAnd & "---";
constant aluOra : aluMode := aluModeInp & aluModeOra & "---";
constant aluEor : aluMode := aluModeInp & aluModeEor & "---";
constant aluSlo : aluMode := aluModeAsl & aluModeOra & "---";
constant aluSre : aluMode := aluModeLsr & aluModeEor & "---";
constant aluRra : aluMode := aluModeRor & aluModeAdc & "---";
constant aluRla : aluMode := aluModeRol & aluModeAnd & "---";
constant aluDcp : aluMode := aluModeDec & aluModeCmp & "100";
constant aluIsc : aluMode := aluModeInc & aluModeSbc & "---";
constant aluAnc : aluMode := aluModeAnc & aluModeAnd & "---";
constant aluArr : aluMode := aluModeRor & aluModeArr & "---";
constant aluSbx : aluMode := aluModeInp & aluModeCmp & "110";
constant aluXXX : aluMode := (others => '-');
-- Stack operations. Push/Pop/None
constant stackInc : unsigned(0 to 0) := "0";
constant stackDec : unsigned(0 to 0) := "1";
constant stackXXX : unsigned(0 to 0) := "-";
subtype decodedBitsDef is unsigned(0 to 43);
type opcodeInfoTableDef is array(0 to 255) of decodedBitsDef;
constant opcodeInfoTable : opcodeInfoTableDef := (
-- +------- Update register A
-- |+------ Update register X
-- ||+----- Update register Y
-- |||+---- Update register S
-- |||| +-- Update Flags
-- |||| |
-- |||| _|__
-- |||| / \
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000100" & brk & aluInXXX & aluP, -- 00 BRK
"1000" & "100010" & readIndX & aluInT & aluOra, -- 01 ORA (zp,x)
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 02 *** JAM ***
"1000" & "100011" & rmwIndX & aluInT & aluSlo, -- 03 iSLO (zp,x)
"0000" & "000000" & readZp & aluInXXX & aluXXX, -- 04 iNOP zp
"1000" & "100010" & readZp & aluInT & aluOra, -- 05 ORA zp
"0000" & "100011" & rmwZp & aluInT & aluAsl, -- 06 ASL zp
"1000" & "100011" & rmwZp & aluInT & aluSlo, -- 07 iSLO zp
"0000" & "000000" & push & aluInXXX & aluP, -- 08 PHP
"1000" & "100010" & immediate & aluInT & aluOra, -- 09 ORA imm
"1000" & "100011" & implied & aluInA & aluAsl, -- 0A ASL accu
"1000" & "100011" & immediate & aluInT & aluAnc, -- 0B iANC imm
"0000" & "000000" & readAbs & aluInXXX & aluXXX, -- 0C iNOP abs
"1000" & "100010" & readAbs & aluInT & aluOra, -- 0D ORA abs
"0000" & "100011" & rmwAbs & aluInT & aluAsl, -- 0E ASL abs
"1000" & "100011" & rmwAbs & aluInT & aluSlo, -- 0F iSLO abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 10 BPL
"1000" & "100010" & readIndY & aluInT & aluOra, -- 11 ORA (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 12 *** JAM ***
"1000" & "100011" & rmwIndY & aluInT & aluSlo, -- 13 iSLO (zp),y
"0000" & "000000" & readZpX & aluInXXX & aluXXX, -- 14 iNOP zp,x
"1000" & "100010" & readZpX & aluInT & aluOra, -- 15 ORA zp,x
"0000" & "100011" & rmwZpX & aluInT & aluAsl, -- 16 ASL zp,x
"1000" & "100011" & rmwZpX & aluInT & aluSlo, -- 17 iSLO zp,x
"0000" & "000001" & implied & aluInClr & aluFlg, -- 18 CLC
"1000" & "100010" & readAbsY & aluInT & aluOra, -- 19 ORA abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 1A iNOP implied
"1000" & "100011" & rmwAbsY & aluInT & aluSlo, -- 1B iSLO abs,y
"0000" & "000000" & readAbsX & aluInXXX & aluXXX, -- 1C iNOP abs,x
"1000" & "100010" & readAbsX & aluInT & aluOra, -- 1D ORA abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluAsl, -- 1E ASL abs,x
"1000" & "100011" & rmwAbsX & aluInT & aluSlo, -- 1F iSLO abs,x
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & jsr & aluInXXX & aluXXX, -- 20 JSR
"1000" & "100010" & readIndX & aluInT & aluAnd, -- 21 AND (zp,x)
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 22 *** JAM ***
"1000" & "100011" & rmwIndX & aluInT & aluRla, -- 23 iRLA (zp,x)
"0000" & "110010" & readZp & aluInT & aluBit, -- 24 BIT zp
"1000" & "100010" & readZp & aluInT & aluAnd, -- 25 AND zp
"0000" & "100011" & rmwZp & aluInT & aluRol, -- 26 ROL zp
"1000" & "100011" & rmwZp & aluInT & aluRla, -- 27 iRLA zp
"0000" & "111111" & pop & aluInT & aluFlg, -- 28 PLP
"1000" & "100010" & immediate & aluInT & aluAnd, -- 29 AND imm
"1000" & "100011" & implied & aluInA & aluRol, -- 2A ROL accu
"1000" & "100011" & immediate & aluInT & aluAnc, -- 2B iANC imm
"0000" & "110010" & readAbs & aluInT & aluBit, -- 2C BIT abs
"1000" & "100010" & readAbs & aluInT & aluAnd, -- 2D AND abs
"0000" & "100011" & rmwAbs & aluInT & aluRol, -- 2E ROL abs
"1000" & "100011" & rmwAbs & aluInT & aluRla, -- 2F iRLA abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 30 BMI
"1000" & "100010" & readIndY & aluInT & aluAnd, -- 31 AND (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 32 *** JAM ***
"1000" & "100011" & rmwIndY & aluInT & aluRla, -- 33 iRLA (zp),y
"0000" & "000000" & readZpX & aluInXXX & aluXXX, -- 34 iNOP zp,x
"1000" & "100010" & readZpX & aluInT & aluAnd, -- 35 AND zp,x
"0000" & "100011" & rmwZpX & aluInT & aluRol, -- 36 ROL zp,x
"1000" & "100011" & rmwZpX & aluInT & aluRla, -- 37 iRLA zp,x
"0000" & "000001" & implied & aluInSet & aluFlg, -- 38 SEC
"1000" & "100010" & readAbsY & aluInT & aluAnd, -- 39 AND abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 3A iNOP implied
"1000" & "100011" & rmwAbsY & aluInT & aluRla, -- 3B iRLA abs,y
"0000" & "000000" & readAbsX & aluInXXX & aluXXX, -- 3C iNOP abs,x
"1000" & "100010" & readAbsX & aluInT & aluAnd, -- 3D AND abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluRol, -- 3E ROL abs,x
"1000" & "100011" & rmwAbsX & aluInT & aluRla, -- 3F iRLA abs,x
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "111111" & rti & aluInT & aluFlg, -- 40 RTI
"1000" & "100010" & readIndX & aluInT & aluEor, -- 41 EOR (zp,x)
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 42 *** JAM ***
"1000" & "100011" & rmwIndX & aluInT & aluSre, -- 43 iSRE (zp,x)
"0000" & "000000" & readZp & aluInXXX & aluXXX, -- 44 iNOP zp
"1000" & "100010" & readZp & aluInT & aluEor, -- 45 EOR zp
"0000" & "100011" & rmwZp & aluInT & aluLsr, -- 46 LSR zp
"1000" & "100011" & rmwZp & aluInT & aluSre, -- 47 iSRE zp
"0000" & "000000" & push & aluInA & aluInp, -- 48 PHA
"1000" & "100010" & immediate & aluInT & aluEor, -- 49 EOR imm
"1000" & "100011" & implied & aluInA & aluLsr, -- 4A LSR accu
"1000" & "100011" & immediate & aluInAT & aluLsr, -- 4B iALR imm
"0000" & "000000" & jumpAbs & aluInXXX & aluXXX, -- 4C JMP abs
"1000" & "100010" & readAbs & aluInT & aluEor, -- 4D EOR abs
"0000" & "100011" & rmwAbs & aluInT & aluLsr, -- 4E LSR abs
"1000" & "100011" & rmwAbs & aluInT & aluSre, -- 4F iSRE abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 50 BVC
"1000" & "100010" & readIndY & aluInT & aluEor, -- 51 EOR (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 52 *** JAM ***
"1000" & "100011" & rmwIndY & aluInT & aluSre, -- 53 iSRE (zp),y
"0000" & "000000" & readZpX & aluInXXX & aluXXX, -- 54 iNOP zp,x
"1000" & "100010" & readZpX & aluInT & aluEor, -- 55 EOR zp,x
"0000" & "100011" & rmwZpX & aluInT & aluLsr, -- 56 LSR zp,x
"1000" & "100011" & rmwZpX & aluInT & aluSre, -- 57 SRE zp,x
"0000" & "000100" & implied & aluInClr & aluXXX, -- 58 CLI
"1000" & "100010" & readAbsY & aluInT & aluEor, -- 59 EOR abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 5A iNOP implied
"1000" & "100011" & rmwAbsY & aluInT & aluSre, -- 5B iSRE abs,y
"0000" & "000000" & readAbsX & aluInXXX & aluXXX, -- 5C iNOP abs,x
"1000" & "100010" & readAbsX & aluInT & aluEor, -- 5D EOR abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluLsr, -- 5E LSR abs,x
"1000" & "100011" & rmwAbsX & aluInT & aluSre, -- 5F SRE abs,x
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & rts & aluInXXX & aluXXX, -- 60 RTS
"1000" & "110011" & readIndX & aluInT & aluAdc, -- 61 ADC (zp,x)
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 62 *** JAM ***
"1000" & "110011" & rmwIndX & aluInT & aluRra, -- 63 iRRA (zp,x)
"0000" & "000000" & readZp & aluInXXX & aluXXX, -- 64 iNOP zp
"1000" & "110011" & readZp & aluInT & aluAdc, -- 65 ADC zp
"0000" & "100011" & rmwZp & aluInT & aluRor, -- 66 ROR zp
"1000" & "110011" & rmwZp & aluInT & aluRra, -- 67 iRRA zp
"1000" & "100010" & pop & aluInT & aluInp, -- 68 PLA
"1000" & "110011" & immediate & aluInT & aluAdc, -- 69 ADC imm
"1000" & "100011" & implied & aluInA & aluRor, -- 6A ROR accu
"1000" & "110011" & immediate & aluInAT & aluArr, -- 6B iARR imm
"0000" & "000000" & jumpInd & aluInXXX & aluXXX, -- 6C JMP indirect
"1000" & "110011" & readAbs & aluInT & aluAdc, -- 6D ADC abs
"0000" & "100011" & rmwAbs & aluInT & aluRor, -- 6E ROR abs
"1000" & "110011" & rmwAbs & aluInT & aluRra, -- 6F iRRA abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 70 BVS
"1000" & "110011" & readIndY & aluInT & aluAdc, -- 71 ADC (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 72 *** JAM ***
"1000" & "110011" & rmwIndY & aluInT & aluRra, -- 73 iRRA (zp),y
"0000" & "000000" & readZpX & aluInXXX & aluXXX, -- 74 iNOP zp,x
"1000" & "110011" & readZpX & aluInT & aluAdc, -- 75 ADC zp,x
"0000" & "100011" & rmwZpX & aluInT & aluRor, -- 76 ROR zp,x
"1000" & "110011" & rmwZpX & aluInT & aluRra, -- 77 iRRA zp,x
"0000" & "000100" & implied & aluInSet & aluXXX, -- 78 SEI
"1000" & "110011" & readAbsY & aluInT & aluAdc, -- 79 ADC abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- 7A iNOP implied
"1000" & "110011" & rmwAbsY & aluInT & aluRra, -- 7B iRRA abs,y
"0000" & "000000" & readAbsX & aluInXXX & aluXXX, -- 7C iNOP abs,x
"1000" & "110011" & readAbsX & aluInT & aluAdc, -- 7D ADC abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluRor, -- 7E ROR abs,x
"1000" & "110011" & rmwAbsX & aluInT & aluRra, -- 7F iRRA abs,x
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 80 iNOP imm
"0000" & "000000" & writeIndX & aluInA & aluInp, -- 81 STA (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 82 iNOP imm
"0000" & "000000" & writeIndX & aluInAX & aluInp, -- 83 iSAX (zp,x)
"0000" & "000000" & writeZp & aluInY & aluInp, -- 84 STY zp
"0000" & "000000" & writeZp & aluInA & aluInp, -- 85 STA zp
"0000" & "000000" & writeZp & aluInX & aluInp, -- 86 STX zp
"0000" & "000000" & writeZp & aluInAX & aluInp, -- 87 iSAX zp
"0010" & "100010" & implied & aluInY & aluDec, -- 88 DEY
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- 84 iNOP imm
"1000" & "100010" & implied & aluInX & aluInp, -- 8A TXA
"1000" & "100010" & immediate & aluInEXT & aluInp, -- 8B iANE imm
"0000" & "000000" & writeAbs & aluInY & aluInp, -- 8C STY abs
"0000" & "000000" & writeAbs & aluInA & aluInp, -- 8D STA abs
"0000" & "000000" & writeAbs & aluInX & aluInp, -- 8E STX abs
"0000" & "000000" & writeAbs & aluInAX & aluInp, -- 8F iSAX abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- 90 BCC
"0000" & "000000" & writeIndY & aluInA & aluInp, -- 91 STA (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- 92 *** JAM ***
"0000" & "000000" & writeIndY & aluInAXH & aluInp, -- 93 iAHX (zp),y
"0000" & "000000" & writeZpX & aluInY & aluInp, -- 94 STY zp,x
"0000" & "000000" & writeZpX & aluInA & aluInp, -- 95 STA zp,x
"0000" & "000000" & writeZpY & aluInX & aluInp, -- 96 STX zp,y
"0000" & "000000" & writeZpY & aluInAX & aluInp, -- 97 iSAX zp,y
"1000" & "100010" & implied & aluInY & aluInp, -- 98 TYA
"0000" & "000000" & writeAbsY & aluInA & aluInp, -- 99 STA abs,y
"0001" & "000000" & implied & aluInX & aluInp, -- 9A TXS
"0001" & "000000" & writeAbsY & aluInAXH & aluInp, -- 9B iSHS abs,y
"0000" & "000000" & writeAbsX & aluInYH & aluInp, -- 9C iSHY abs,x
"0000" & "000000" & writeAbsX & aluInA & aluInp, -- 9D STA abs,x
"0000" & "000000" & writeAbsY & aluInXH & aluInp, -- 9E iSHX abs,y
"0000" & "000000" & writeAbsY & aluInAXH & aluInp, -- 9F iAHX abs,y
-- AXYS NVDIZC addressing aluInput aluMode
"0010" & "100010" & immediate & aluInT & aluInp, -- A0 LDY imm
"1000" & "100010" & readIndX & aluInT & aluInp, -- A1 LDA (zp,x)
"0100" & "100010" & immediate & aluInT & aluInp, -- A2 LDX imm
"1100" & "100010" & readIndX & aluInT & aluInp, -- A3 LAX (zp,x)
"0010" & "100010" & readZp & aluInT & aluInp, -- A4 LDY zp
"1000" & "100010" & readZp & aluInT & aluInp, -- A5 LDA zp
"0100" & "100010" & readZp & aluInT & aluInp, -- A6 LDX zp
"1100" & "100010" & readZp & aluInT & aluInp, -- A7 iLAX zp
"0010" & "100010" & implied & aluInA & aluInp, -- A8 TAY
"1000" & "100010" & immediate & aluInT & aluInp, -- A9 LDA imm
"0100" & "100010" & implied & aluInA & aluInp, -- AA TAX
"1100" & "100010" & immediate & aluInET & aluInp, -- AB iLXA imm
"0010" & "100010" & readAbs & aluInT & aluInp, -- AC LDY abs
"1000" & "100010" & readAbs & aluInT & aluInp, -- AD LDA abs
"0100" & "100010" & readAbs & aluInT & aluInp, -- AE LDX abs
"1100" & "100010" & readAbs & aluInT & aluInp, -- AF iLAX abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- B0 BCS
"1000" & "100010" & readIndY & aluInT & aluInp, -- B1 LDA (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- B2 *** JAM ***
"1100" & "100010" & readIndY & aluInT & aluInp, -- B3 iLAX (zp),y
"0010" & "100010" & readZpX & aluInT & aluInp, -- B4 LDY zp,x
"1000" & "100010" & readZpX & aluInT & aluInp, -- B5 LDA zp,x
"0100" & "100010" & readZpY & aluInT & aluInp, -- B6 LDX zp,y
"1100" & "100010" & readZpY & aluInT & aluInp, -- B7 iLAX zp,y
"0000" & "010000" & implied & aluInClr & aluFlg, -- B8 CLV
"1000" & "100010" & readAbsY & aluInT & aluInp, -- B9 LDA abs,y
"0100" & "100010" & implied & aluInS & aluInp, -- BA TSX
"1101" & "100010" & readAbsY & aluInST & aluInp, -- BB iLAS abs,y
"0010" & "100010" & readAbsX & aluInT & aluInp, -- BC LDY abs,x
"1000" & "100010" & readAbsX & aluInT & aluInp, -- BD LDA abs,x
"0100" & "100010" & readAbsY & aluInT & aluInp, -- BE LDX abs,y
"1100" & "100010" & readAbsY & aluInT & aluInp, -- BF iLAX abs,y
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "100011" & immediate & aluInT & aluCpy, -- C0 CPY imm
"0000" & "100011" & readIndX & aluInT & aluCmp, -- C1 CMP (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- C2 iNOP imm
"0000" & "100011" & rmwIndX & aluInT & aluDcp, -- C3 iDCP (zp,x)
"0000" & "100011" & readZp & aluInT & aluCpy, -- C4 CPY zp
"0000" & "100011" & readZp & aluInT & aluCmp, -- C5 CMP zp
"0000" & "100010" & rmwZp & aluInT & aluDec, -- C6 DEC zp
"0000" & "100011" & rmwZp & aluInT & aluDcp, -- C7 iDCP zp
"0010" & "100010" & implied & aluInY & aluInc, -- C8 INY
"0000" & "100011" & immediate & aluInT & aluCmp, -- C9 CMP imm
"0100" & "100010" & implied & aluInX & aluDec, -- CA DEX
"0100" & "100011" & immediate & aluInT & aluSbx, -- CB SBX imm
"0000" & "100011" & readAbs & aluInT & aluCpy, -- CC CPY abs
"0000" & "100011" & readAbs & aluInT & aluCmp, -- CD CMP abs
"0000" & "100010" & rmwAbs & aluInT & aluDec, -- CE DEC abs
"0000" & "100011" & rmwAbs & aluInT & aluDcp, -- CF iDCP abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- D0 BNE
"0000" & "100011" & readIndY & aluInT & aluCmp, -- D1 CMP (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- D2 *** JAM ***
"0000" & "100011" & rmwIndY & aluInT & aluDcp, -- D3 iDCP (zp),y
"0000" & "000000" & readZpX & aluInXXX & aluXXX, -- D4 iNOP zp,x
"0000" & "100011" & readZpX & aluInT & aluCmp, -- D5 CMP zp,x
"0000" & "100010" & rmwZpX & aluInT & aluDec, -- D6 DEC zp,x
"0000" & "100011" & rmwZpX & aluInT & aluDcp, -- D7 iDCP zp,x
"0000" & "001000" & implied & aluInClr & aluXXX, -- D8 CLD
"0000" & "100011" & readAbsY & aluInT & aluCmp, -- D9 CMP abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- DA iNOP implied
"0000" & "100011" & rmwAbsY & aluInT & aluDcp, -- DB iDCP abs,y
"0000" & "000000" & readAbsX & aluInXXX & aluXXX, -- DC iNOP abs,x
"0000" & "100011" & readAbsX & aluInT & aluCmp, -- DD CMP abs,x
"0000" & "100010" & rmwAbsX & aluInT & aluDec, -- DE DEC abs,x
"0000" & "100011" & rmwAbsX & aluInT & aluDcp, -- DF iDCP abs,x
-- AXYS NVDIZC addressing aluInput aluMode
"0000" & "100011" & immediate & aluInT & aluCpx, -- E0 CPX imm
"1000" & "110011" & readIndX & aluInT & aluSbc, -- E1 SBC (zp,x)
"0000" & "000000" & immediate & aluInXXX & aluXXX, -- E2 iNOP imm
"1000" & "110011" & rmwIndX & aluInT & aluIsc, -- E3 iISC (zp,x)
"0000" & "100011" & readZp & aluInT & aluCpx, -- E4 CPX zp
"1000" & "110011" & readZp & aluInT & aluSbc, -- E5 SBC zp
"0000" & "100010" & rmwZp & aluInT & aluInc, -- E6 INC zp
"1000" & "110011" & rmwZp & aluInT & aluIsc, -- E7 iISC zp
"0100" & "100010" & implied & aluInX & aluInc, -- E8 INX
"1000" & "110011" & immediate & aluInT & aluSbc, -- E9 SBC imm
"0000" & "000000" & implied & aluInXXX & aluXXX, -- EA NOP
"1000" & "110011" & immediate & aluInT & aluSbc, -- EB SBC imm (illegal opc)
"0000" & "100011" & readAbs & aluInT & aluCpx, -- EC CPX abs
"1000" & "110011" & readAbs & aluInT & aluSbc, -- ED SBC abs
"0000" & "100010" & rmwAbs & aluInT & aluInc, -- EE INC abs
"1000" & "110011" & rmwAbs & aluInT & aluIsc, -- EF iISC abs
"0000" & "000000" & relative & aluInXXX & aluXXX, -- F0 BEQ
"1000" & "110011" & readIndY & aluInT & aluSbc, -- F1 SBC (zp),y
"----" & "------" & xxxxxxxx & aluInXXX & aluXXX, -- F2 *** JAM ***
"1000" & "110011" & rmwIndY & aluInT & aluIsc, -- F3 iISC (zp),y
"0000" & "000000" & readZpX & aluInXXX & aluXXX, -- F4 iNOP zp,x
"1000" & "110011" & readZpX & aluInT & aluSbc, -- F5 SBC zp,x
"0000" & "100010" & rmwZpX & aluInT & aluInc, -- F6 INC zp,x
"1000" & "110011" & rmwZpX & aluInT & aluIsc, -- F7 iISC zp,x
"0000" & "001000" & implied & aluInSet & aluXXX, -- F8 SED
"1000" & "110011" & readAbsY & aluInT & aluSbc, -- F9 SBC abs,y
"0000" & "000000" & implied & aluInXXX & aluXXX, -- FA iNOP implied
"1000" & "110011" & rmwAbsY & aluInT & aluIsc, -- FB iISC abs,y
"0000" & "000000" & readAbsX & aluInXXX & aluXXX, -- FC iNOP abs,x
"1000" & "110011" & readAbsX & aluInT & aluSbc, -- FD SBC abs,x
"0000" & "100010" & rmwAbsX & aluInT & aluInc, -- FE INC abs,x
"1000" & "110011" & rmwAbsX & aluInT & aluIsc -- FF iISC abs,x
);
signal opcInfo : decodedBitsDef;
signal nextOpcInfo : decodedBitsDef; -- Next opcode (decoded)
signal nextOpcInfoReg : decodedBitsDef; -- Next opcode (decoded) pipelined
signal theOpcode : unsigned(7 downto 0);
signal nextOpcode : unsigned(7 downto 0);
-- Program counter
signal PC : unsigned(15 downto 0); -- Program counter
-- Address generation
type nextAddrDef is (
nextAddrHold,
nextAddrIncr,
nextAddrIncrL, -- Increment low bits only (zeropage accesses)
nextAddrIncrH, -- Increment high bits only (page-boundary)
nextAddrDecrH, -- Decrement high bits (branch backwards)
nextAddrPc,
nextAddrIrq,
nextAddrReset,
nextAddrAbs,
nextAddrAbsIndexed,
nextAddrZeroPage,
nextAddrZPIndexed,
nextAddrStack,
nextAddrRelative
);
signal nextAddr : nextAddrDef;
signal myAddr : unsigned(15 downto 0);
signal myAddrIncr : unsigned(15 downto 0);
signal myAddrIncrH : unsigned(7 downto 0);
signal myAddrDecrH : unsigned(7 downto 0);
signal theWe : std_logic;
signal irqActive : std_logic;
-- Output register
signal doReg : unsigned(7 downto 0);
-- Buffer register
signal T : unsigned(7 downto 0);
-- General registers
signal A: unsigned(7 downto 0); -- Accumulator
signal X: unsigned(7 downto 0); -- Index X
signal Y: unsigned(7 downto 0); -- Index Y
signal S: unsigned(7 downto 0); -- stack pointer
-- Status register
signal C: std_logic; -- Carry
signal Z: std_logic; -- Zero flag
signal I: std_logic; -- Interrupt flag
signal D: std_logic; -- Decimal mode
signal V: std_logic; -- Overflow
signal N: std_logic; -- Negative
-- ALU
-- ALU input
signal aluInput : unsigned(7 downto 0);
signal aluCmpInput : unsigned(7 downto 0);
-- ALU output
signal aluRegisterOut : unsigned(7 downto 0);
signal aluRmwOut : unsigned(7 downto 0);
signal aluC : std_logic;
signal aluZ : std_logic;
signal aluV : std_logic;
signal aluN : std_logic;
-- Pipeline registers
signal aluInputReg : unsigned(7 downto 0);
signal aluCmpInputReg : unsigned(7 downto 0);
signal aluRmwReg : unsigned(7 downto 0);
signal aluNineReg : unsigned(7 downto 0);
signal aluCReg : std_logic;
signal aluZReg : std_logic;
signal aluVReg : std_logic;
signal aluNReg : std_logic;
-- Indexing
signal indexOut : unsigned(8 downto 0);
begin
processAluInput: process(clk, opcInfo, A, X, Y, T, S)
variable temp : unsigned(7 downto 0);
begin
temp := (others => '1');
if opcInfo(opcInA) = '1' then
temp := temp and A;
end if;
if opcInfo(opcInE) = '1' then
temp := temp and (A or X"EE");
end if;
if opcInfo(opcInX) = '1' then
temp := temp and X;
end if;
if opcInfo(opcInY) = '1' then
temp := temp and Y;
end if;
if opcInfo(opcInS) = '1' then
temp := temp and S;
end if;
if opcInfo(opcInT) = '1' then
temp := temp and T;
end if;
if opcInfo(opcInClear) = '1' then
temp := (others => '0');
end if;
if rising_edge(clk) then
aluInputReg <= temp;
end if;
aluInput <= temp;
if pipelineAluMux then
aluInput <= aluInputReg;
end if;
end process;
processCmpInput: process(clk, opcInfo, A, X, Y)
variable temp : unsigned(7 downto 0);
begin
temp := (others => '1');
if opcInfo(opcInCmp) = '1' then
temp := temp and A;
end if;
if opcInfo(opcInCpx) = '1' then
temp := temp and X;
end if;
if opcInfo(opcInCpy) = '1' then
temp := temp and Y;
end if;
if rising_edge(clk) then
aluCmpInputReg <= temp;
end if;
aluCmpInput <= temp;
if pipelineAluMux then
aluCmpInput <= aluCmpInputReg;
end if;
end process;
-- ALU consists of two parts
-- Read-Modify-Write or index instructions: INC/DEC/ASL/LSR/ROR/ROL
-- Accumulator instructions: ADC, SBC, EOR, AND, EOR, ORA
-- Some instructions are both RMW and accumulator so for most
-- instructions the rmw results are routed through accu alu too.
processAlu: process(clk, opcInfo, aluInput, aluCmpInput, A, T, irqActive, N, V, D, I, Z, C)
variable lowBits: unsigned(5 downto 0);
variable nineBits: unsigned(8 downto 0);
variable rmwBits: unsigned(8 downto 0);
variable varC : std_logic;
variable varZ : std_logic;
variable varV : std_logic;
variable varN : std_logic;
begin
lowBits := (others => '-');
nineBits := (others => '-');
rmwBits := (others => '-');
varV := aluInput(6); -- Default for BIT / PLP / RTI
-- Shift unit
case opcInfo(aluMode1From to aluMode1To) is
when aluModeInp =>
rmwBits := C & aluInput;
when aluModeP =>
rmwBits := C & N & V & '1' & (not irqActive) & D & I & Z & C;
when aluModeInc =>
rmwBits := C & (aluInput + 1);
when aluModeDec =>
rmwBits := C & (aluInput - 1);
when aluModeAsl =>
rmwBits := aluInput & "0";
when aluModeFlg =>
rmwBits := aluInput(0) & aluInput;
when aluModeLsr =>
rmwBits := aluInput(0) & "0" & aluInput(7 downto 1);
when aluModeRol =>
rmwBits := aluInput & C;
when aluModeRoR =>
rmwBits := aluInput(0) & C & aluInput(7 downto 1);
when aluModeAnc =>
rmwBits := (aluInput(7) and A(7)) & aluInput;
when others =>
rmwBits := C & aluInput;
end case;
-- ALU
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc =>
lowBits := ("0" & A(3 downto 0) & rmwBits(8)) + ("0" & rmwBits(3 downto 0) & "1");
ninebits := ("0" & A) + ("0" & rmwBits(7 downto 0)) + (B"00000000" & rmwBits(8));
when aluModeSbc =>
lowBits := ("0" & A(3 downto 0) & rmwBits(8)) + ("0" & (not rmwBits(3 downto 0)) & "1");
ninebits := ("0" & A) + ("0" & (not rmwBits(7 downto 0))) + (B"00000000" & rmwBits(8));
when aluModeCmp =>
ninebits := ("0" & aluCmpInput) + ("0" & (not rmwBits(7 downto 0))) + "000000001";
when aluModeAnd =>
ninebits := rmwBits(8) & (A and rmwBits(7 downto 0));
when aluModeEor =>
ninebits := rmwBits(8) & (A xor rmwBits(7 downto 0));
when aluModeOra =>
ninebits := rmwBits(8) & (A or rmwBits(7 downto 0));
when others =>
ninebits := rmwBits;
end case;
if (opcInfo(aluMode1From to aluMode1To) = aluModeFlg) then
varZ := rmwBits(1);
elsif ninebits(7 downto 0) = X"00" then
varZ := '1';
else
varZ := '0';
end if;
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc =>
-- decimal mode low bits correction, is done after setting Z flag.
if D = '1' then
if lowBits(5 downto 1) > 9 then
ninebits(3 downto 0) := ninebits(3 downto 0) + 6;
if lowBits(5) = '0' then
ninebits(8 downto 4) := ninebits(8 downto 4) + 1;
end if;
end if;
end if;
when others =>
null;
end case;
if (opcInfo(aluMode1From to aluMode1To) = aluModeBit)
or (opcInfo(aluMode1From to aluMode1To) = aluModeFlg) then
varN := rmwBits(7);
else
varN := nineBits(7);
end if;
varC := ninebits(8);
if opcInfo(aluMode2From to aluMode2To) = aluModeArr then
varC := aluInput(7);
varV := aluInput(7) xor aluInput(6);
end if;
case opcInfo(aluMode2From to aluMode2To) is
when aluModeAdc =>
-- decimal mode high bits correction, is done after setting Z and N flags
varV := (A(7) xor ninebits(7)) and (rmwBits(7) xor ninebits(7));
if D = '1' then
if ninebits(8 downto 4) > 9 then
ninebits(8 downto 4) := ninebits(8 downto 4) + 6;
varC := '1';
end if;
end if;
when aluModeSbc =>
varV := (A(7) xor ninebits(7)) and ((not rmwBits(7)) xor ninebits(7));
if D = '1' then
-- Check for borrow (lower 4 bits)
if lowBits(5) = '0' then
ninebits(3 downto 0) := ninebits(3 downto 0) - 6;
end if;
-- Check for borrow (upper 4 bits)
if ninebits(8) = '0' then
ninebits(8 downto 4) := ninebits(8 downto 4) - 6;
end if;
end if;
when aluModeArr =>
if D = '1' then
if (("0" & aluInput(3 downto 0)) + ("0000" & aluInput(0))) > 5 then
ninebits(3 downto 0) := ninebits(3 downto 0) + 6;
end if;
if (("0" & aluInput(7 downto 4)) + ("0000" & aluInput(4))) > 5 then
ninebits(8 downto 4) := ninebits(8 downto 4) + 6;
varC := '1';
else
varC := '0';
end if;
end if;
when others =>
null;
end case;
if rising_edge(clk) then
aluRmwReg <= rmwBits(7 downto 0);
aluNineReg <= ninebits(7 downto 0);
aluCReg <= varC;
aluZReg <= varZ;
aluVReg <= varV;
aluNReg <= varN;
end if;
aluRmwOut <= rmwBits(7 downto 0);
aluRegisterOut <= ninebits(7 downto 0);
aluC <= varC;
aluZ <= varZ;
aluV <= varV;
aluN <= varN;
if pipelineAluOut then
aluRmwOut <= aluRmwReg;
aluRegisterOut <= aluNineReg;
aluC <= aluCReg;
aluZ <= aluZReg;
aluV <= aluVReg;
aluN <= aluNReg;
end if;
end process;
calcInterrupt: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if theCpuCycle = cycleStack4
or reset = '1' then
nmiReg <= '1';
end if;
if nextCpuCycle /= cycleBranchTaken
and nextCpuCycle /= opcodeFetch then
irqReg <= irq_n;
nmiEdge <= nmi_n;
if (nmiEdge = '1') and (nmi_n = '0') then
nmiReg <= '0';
end if;
end if;
-- The 'or opcInfo(opcSetI)' prevents NMI immediately after BRK or IRQ.
-- Presumably this is done in the real 6502/6510 to prevent a double IRQ.
processIrq <= not ((nmiReg and (irqReg or I)) or opcInfo(opcIRQ));
end if;
end if;
end process;
calcNextOpcode: process(clk, di, reset, processIrq)
variable myNextOpcode : unsigned(7 downto 0);
begin
-- Next opcode is read from input unless a reset or IRQ is pending.
myNextOpcode := di;
if reset = '1' then
myNextOpcode := X"4C";
elsif processIrq = '1' then
myNextOpcode := X"00";
end if;
nextOpcode <= myNextOpcode;
end process;
nextOpcInfo <= opcodeInfoTable(to_integer(nextOpcode));
process(clk)
begin
if rising_edge(clk) then
nextOpcInfoReg <= nextOpcInfo;
end if;
end process;
-- Read bits and flags from opcodeInfoTable and store in opcInfo.
-- This info is used to control the execution of the opcode.
calcOpcInfo: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if (reset = '1') or (theCpuCycle = opcodeFetch) then
opcInfo <= nextOpcInfo;
if pipelineOpcode then
opcInfo <= nextOpcInfoReg;
end if;
end if;
end if;
end if;
end process;
calcTheOpcode: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
if theCpuCycle = opcodeFetch then
irqActive <= '0';
if processIrq = '1' then
irqActive <= '1';
end if;
-- Fetch opcode
theOpcode <= nextOpcode;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- State machine
-- -----------------------------------------------------------------------
process(enable, theCpuCycle, opcInfo)
begin
updateRegisters <= false;
if enable = '1' then
if opcInfo(opcRti) = '1' then
if theCpuCycle = cycleRead then
updateRegisters <= true;
end if;
elsif theCpuCycle = opcodeFetch then
updateRegisters <= true;
end if;
end if;
end process;
debugOpcode <= theOpcode;
process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
theCpuCycle <= nextCpuCycle;
end if;
if reset = '1' then
theCpuCycle <= cycle2;
end if;
end if;
end process;
-- Determine the next cpu cycle. After the last cycle we always
-- go to opcodeFetch to get the next opcode.
calcNextCpuCycle: process(theCpuCycle, opcInfo, theOpcode, indexOut, T, N, V, C, Z)
begin
nextCpuCycle <= opcodeFetch;
case theCpuCycle is
when opcodeFetch =>
nextCpuCycle <= cycle2;
when cycle2 =>
if opcInfo(opcBranch) = '1' then
if (N = theOpcode(5) and theOpcode(7 downto 6) = "00")
or (V = theOpcode(5) and theOpcode(7 downto 6) = "01")
or (C = theOpcode(5) and theOpcode(7 downto 6) = "10")
or (Z = theOpcode(5) and theOpcode(7 downto 6) = "11") then
-- Branch condition is true
nextCpuCycle <= cycleBranchTaken;
end if;
elsif (opcInfo(opcStackUp) = '1') then
nextCpuCycle <= cycleStack1;
elsif opcInfo(opcStackAddr) = '1'
and opcInfo(opcStackData) = '1' then
nextCpuCycle <= cycleStack2;
elsif opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack1;
elsif opcInfo(opcStackData) = '1' then
nextCpuCycle <= cycleWrite;
elsif opcInfo(opcAbsolute) = '1' then
nextCpuCycle <= cycle3;
elsif opcInfo(opcIndirect) = '1' then
if opcInfo(indexX) = '1' then
nextCpuCycle <= cyclePreIndirect;
else
nextCpuCycle <= cycleIndirect;
end if;
elsif opcInfo(opcZeroPage) = '1' then
if opcInfo(opcWrite) = '1' then
if (opcInfo(indexX) = '1')
or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreWrite;
else
nextCpuCycle <= cycleWrite;
end if;
else
if (opcInfo(indexX) = '1')
or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreRead;
else
nextCpuCycle <= cycleRead2;
end if;
end if;
elsif opcInfo(opcJump) = '1' then
nextCpuCycle <= cycleJump;
end if;
when cycle3 =>
nextCpuCycle <= cycleRead;
if opcInfo(opcWrite) = '1' then
if (opcInfo(indexX) = '1')
or (opcInfo(indexY) = '1') then
nextCpuCycle <= cyclePreWrite;
else
nextCpuCycle <= cycleWrite;
end if;
end if;
if (opcInfo(opcIndirect) = '1')
and (opcInfo(indexX) = '1') then
if opcInfo(opcWrite) = '1' then
nextCpuCycle <= cycleWrite;
else
nextCpuCycle <= cycleRead2;
end if;
end if;
when cyclePreIndirect =>
nextCpuCycle <= cycleIndirect;
when cycleIndirect =>
nextCpuCycle <= cycle3;
when cycleBranchTaken =>
if indexOut(8) /= T(7) then
-- Page boundary crossing during branch.
nextCpuCycle <= cycleBranchPage;
end if;
when cyclePreRead =>
if opcInfo(opcZeroPage) = '1' then
nextCpuCycle <= cycleRead2;
end if;
when cycleRead =>
if opcInfo(opcJump) = '1' then
nextCpuCycle <= cycleJump;
elsif indexOut(8) = '1' then
-- Page boundary crossing while indexed addressing.
nextCpuCycle <= cycleRead2;
elsif opcInfo(opcRmw) = '1' then
nextCpuCycle <= cycleRmw;
if opcInfo(indexX) = '1'
or opcInfo(indexY) = '1' then
-- 6510 needs extra cycle for indexed addressing
-- combined with RMW indexing
nextCpuCycle <= cycleRead2;
end if;
end if;
when cycleRead2 =>
if opcInfo(opcRmw) = '1' then
nextCpuCycle <= cycleRmw;
end if;
when cycleRmw =>
nextCpuCycle <= cycleWrite;
when cyclePreWrite =>
nextCpuCycle <= cycleWrite;
when cycleStack1 =>
nextCpuCycle <= cycleRead;
if opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack2;
end if;
when cycleStack2 =>
nextCpuCycle <= cycleStack3;
if opcInfo(opcRti) = '1' then
nextCpuCycle <= cycleRead;
end if;
if opcInfo(opcStackData) = '0'
and opcInfo(opcStackUp) = '1' then
nextCpuCycle <= cycleJump;
end if;
when cycleStack3 =>
nextCpuCycle <= cycleRead;
if opcInfo(opcStackData) = '0'
or opcInfo(opcStackUp) = '1' then
nextCpuCycle <= cycleJump;
elsif opcInfo(opcStackAddr) = '1' then
nextCpuCycle <= cycleStack4;
end if;
when cycleStack4 =>
nextCpuCycle <= cycleRead;
when cycleJump =>
if opcInfo(opcIncrAfter) = '1' then
-- Insert extra cycle
nextCpuCycle <= cycleEnd;
end if;
when others =>
null;
end case;
end process;
-- -----------------------------------------------------------------------
-- T register
-- -----------------------------------------------------------------------
calcT: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case theCpuCycle is
when cycle2 =>
T <= di;
when cycleStack1 | cycleStack2 =>
if opcInfo(opcStackUp) = '1' then
-- Read from stack
T <= di;
end if;
when cycleIndirect | cycleRead | cycleRead2 =>
T <= di;
when others =>
null;
end case;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- A register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateA) = '1' then
A <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- X register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateX) = '1' then
X <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Y register
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateY) = '1' then
Y <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- C flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateC) = '1' then
C <= aluC;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Z flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateZ) = '1' then
Z <= aluZ;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- I flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateI) = '1' then
I <= aluInput(2);
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- D flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateD) = '1' then
D <= aluInput(3);
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- V flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateV) = '1' then
V <= aluV;
end if;
end if;
if enable = '1' then
if soReg = '1' and so_n = '0' then
V <= '1';
end if;
soReg <= so_n;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- N flag
-- -----------------------------------------------------------------------
process(clk)
begin
if rising_edge(clk) then
if updateRegisters then
if opcInfo(opcUpdateN) = '1' then
N <= aluN;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Stack pointer
-- -----------------------------------------------------------------------
process(clk)
variable sIncDec : unsigned(7 downto 0);
variable updateFlag : boolean;
begin
if rising_edge(clk) then
if opcInfo(opcStackUp) = '1' then
sIncDec := S + 1;
else
sIncDec := S - 1;
end if;
if enable = '1' then
updateFlag := false;
case nextCpuCycle is
when cycleStack1 =>
if (opcInfo(opcStackUp) = '1')
or (opcInfo(opcStackData) = '1') then
updateFlag := true;
end if;
when cycleStack2 =>
updateFlag := true;
when cycleStack3 =>
updateFlag := true;
when cycleStack4 =>
updateFlag := true;
when cycleRead =>
if opcInfo(opcRti) = '1' then
updateFlag := true;
end if;
when cycleWrite =>
if opcInfo(opcStackData) = '1' then
updateFlag := true;
end if;
when others =>
null;
end case;
if updateFlag then
S <= sIncDec;
end if;
end if;
if updateRegisters then
if opcInfo(opcUpdateS) = '1' then
S <= aluRegisterOut;
end if;
end if;
end if;
end process;
-- -----------------------------------------------------------------------
-- Data out
-- -----------------------------------------------------------------------
--calcDo: process(cpuNo, theCpuCycle, aluOut, PC, T)
calcDo: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
doReg <= aluRmwOut;
if opcInfo(opcInH) = '1' then
-- For illegal opcodes SHA, SHX, SHY, SHS
doReg <= aluRmwOut and myAddrIncrH;
end if;
case nextCpuCycle is
when cycleStack2 =>
if opcInfo(opcIRQ) = '1'
and irqActive = '0' then
doReg <= myAddrIncr(15 downto 8);
else
doReg <= PC(15 downto 8);
end if;
when cycleStack3 =>
doReg <= PC(7 downto 0);
when cycleRmw =>
-- do <= T; -- Read-modify-write write old value first.
doReg <= di; -- Read-modify-write write old value first.
when others => null;
end case;
end if;
end if;
end process;
do <= doReg;
-- -----------------------------------------------------------------------
-- Write enable
-- -----------------------------------------------------------------------
calcWe: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
theWe <= '0';
case nextCpuCycle is
when cycleStack1 =>
if opcInfo(opcStackUp) = '0'
and ((opcInfo(opcStackAddr) = '0')
or (opcInfo(opcStackData) = '1')) then
theWe <= '1';
end if;
when cycleStack2 | cycleStack3 | cycleStack4 =>
if opcInfo(opcStackUp) = '0' then
theWe <= '1';
end if;
when cycleRmw =>
theWe <= '1';
when cycleWrite =>
theWe <= '1';
when others =>
null;
end case;
end if;
end if;
end process;
we <= theWe;
-- -----------------------------------------------------------------------
-- Program counter
-- -----------------------------------------------------------------------
calcPC: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case theCpuCycle is
when opcodeFetch =>
PC <= myAddr;
when cycle2 =>
if irqActive = '0' then
if opcInfo(opcSecondByte) = '1' then
PC <= myAddrIncr;
else
PC <= myAddr;
end if;
end if;
when cycle3 =>
if opcInfo(opcAbsolute) = '1' then
PC <= myAddrIncr;
end if;
when others =>
null;
end case;
end if;
end if;
end process;
debugPc <= PC;
-- -----------------------------------------------------------------------
-- Address generation
-- -----------------------------------------------------------------------
calcNextAddr: process(theCpuCycle, opcInfo, indexOut, T, reset)
begin
nextAddr <= nextAddrIncr;
case theCpuCycle is
when cycle2 =>
if opcInfo(opcStackAddr) = '1'
or opcInfo(opcStackData) = '1' then
nextAddr <= nextAddrStack;
elsif opcInfo(opcAbsolute) = '1' then
nextAddr <= nextAddrIncr;
elsif opcInfo(opcZeroPage) = '1' then
nextAddr <= nextAddrZeroPage;
elsif opcInfo(opcIndirect) = '1' then
nextAddr <= nextAddrZeroPage;
elsif opcInfo(opcSecondByte) = '1' then
nextAddr <= nextAddrIncr;
else
nextAddr <= nextAddrHold;
end if;
when cycle3 =>
if (opcInfo(opcIndirect) = '1')
and (opcInfo(indexX) = '1') then
nextAddr <= nextAddrAbs;
else
nextAddr <= nextAddrAbsIndexed;
end if;
when cyclePreIndirect =>
nextAddr <= nextAddrZPIndexed;
when cycleIndirect =>
nextAddr <= nextAddrIncrL;
when cycleBranchTaken =>
nextAddr <= nextAddrRelative;
when cycleBranchPage =>
if T(7) = '0' then
nextAddr <= nextAddrIncrH;
else
nextAddr <= nextAddrDecrH;
end if;
when cyclePreRead =>
nextAddr <= nextAddrZPIndexed;
when cycleRead =>
nextAddr <= nextAddrPc;
if opcInfo(opcJump) = '1' then
-- Emulate 6510 bug, jmp(xxFF) fetches from same page.
-- Replace with nextAddrIncr if emulating 65C02 or later cpu.
nextAddr <= nextAddrIncrL;
elsif indexOut(8) = '1' then
nextAddr <= nextAddrIncrH;
elsif opcInfo(opcRmw) = '1' then
nextAddr <= nextAddrHold;
end if;
when cycleRead2 =>
nextAddr <= nextAddrPc;
if opcInfo(opcRmw) = '1' then
nextAddr <= nextAddrHold;
end if;
when cycleRmw =>
nextAddr <= nextAddrHold;
when cyclePreWrite =>
nextAddr <= nextAddrHold;
if opcInfo(opcZeroPage) = '1' then
nextAddr <= nextAddrZPIndexed;
elsif indexOut(8) = '1' then
nextAddr <= nextAddrIncrH;
end if;
when cycleWrite =>
nextAddr <= nextAddrPc;
when cycleStack1 =>
nextAddr <= nextAddrStack;
when cycleStack2 =>
nextAddr <= nextAddrStack;
when cycleStack3 =>
nextAddr <= nextAddrStack;
if opcInfo(opcStackData) = '0' then
nextAddr <= nextAddrPc;
end if;
when cycleStack4 =>
nextAddr <= nextAddrIrq;
when cycleJump =>
nextAddr <= nextAddrAbs;
when others =>
null;
end case;
if reset = '1' then
nextAddr <= nextAddrReset;
end if;
end process;
indexAlu: process(opcInfo, myAddr, T, X, Y)
begin
if opcInfo(indexX) = '1' then
indexOut <= (B"0" & T) + (B"0" & X);
elsif opcInfo(indexY) = '1' then
indexOut <= (B"0" & T) + (B"0" & Y);
elsif opcInfo(opcBranch) = '1' then
indexOut <= (B"0" & T) + (B"0" & myAddr(7 downto 0));
else
indexOut <= B"0" & T;
end if;
end process;
calcAddr: process(clk)
begin
if rising_edge(clk) then
if enable = '1' then
case nextAddr is
when nextAddrIncr => myAddr <= myAddrIncr;
when nextAddrIncrL => myAddr(7 downto 0) <= myAddrIncr(7 downto 0);
when nextAddrIncrH => myAddr(15 downto 8) <= myAddrIncrH;
when nextAddrDecrH => myAddr(15 downto 8) <= myAddrDecrH;
when nextAddrPc => myAddr <= PC;
when nextAddrIrq =>
myAddr <= X"FFFE";
if nmiReg = '0' then
myAddr <= X"FFFA";
end if;
when nextAddrReset => myAddr <= X"FFFC";
when nextAddrAbs => myAddr <= di & T;
when nextAddrAbsIndexed => myAddr <= di & indexOut(7 downto 0);
when nextAddrZeroPage => myAddr <= "00000000" & di;
when nextAddrZPIndexed => myAddr <= "00000000" & indexOut(7 downto 0);
when nextAddrStack => myAddr <= "00000001" & S;
when nextAddrRelative => myAddr(7 downto 0) <= indexOut(7 downto 0);
when others => null;
end case;
end if;
end if;
end process;
myAddrIncr <= myAddr + 1;
myAddrIncrH <= myAddr(15 downto 8) + 1;
myAddrDecrH <= myAddr(15 downto 8) - 1;
addr <= myAddr;
debugA <= A;
debugX <= X;
debugY <= Y;
debugS <= S;
end architecture;
| mit | e2fe6b9d1660735a64b8ac40d66c1a8d | 0.565879 | 3.315141 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenMAC_phyAct.vhd | 5 | 4,365 | -------------------------------------------------------------------------------
--
-- Title : OpenMAC_phyAct
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : OpenMAC_phyAct.vhd
-- Generated : Wed Jul 27 12:01:32 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-27 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {OpenMAC_phyAct} architecture {rtl}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.math_real.log2;
use ieee.math_real.ceil;
entity OpenMAC_phyAct is
generic(
iBlinkFreq_g : integer := 6 -- [Hz]
);
port(
clk : in std_logic;
rst : in std_logic;
tx_en : in std_logic;
rx_dv : in std_logic;
act_led : out std_logic
);
end OpenMAC_phyAct;
--}} End of automatically maintained section
architecture rtl of OpenMAC_phyAct is
constant iMaxCnt : integer := 50e6 / iBlinkFreq_g;
constant iLog2MaxCnt : integer := integer(ceil(log2(real(iMaxCnt))));
signal cnt : std_logic_vector(iLog2MaxCnt-1 downto 0);
signal cnt_tc : std_logic;
signal actTrig : std_logic;
signal actEnable : std_logic;
begin
act_led <= cnt(cnt'high) when actEnable = '1' else '0';
ledCntr : process(clk, rst)
begin
if rst = '1' then
actTrig <= '0';
actEnable <= '0';
elsif clk = '1' and clk'event then
--monoflop, of course no default value!
if actTrig = '1' and cnt_tc = '1' then
--counter overflow and activity within last cycle
actEnable <= '1';
elsif cnt_tc = '1' then
--counter overflow but no activity
actEnable <= '0';
end if;
--monoflop, of course no default value!
if cnt_tc = '1' then
--count cycle over, reset trigger
actTrig <= '0';
elsif tx_en = '1' or rx_dv = '1' then
--activity within cycle
actTrig <= '1';
end if;
end if;
end process;
theFreeRunCnt : process(clk, rst)
begin
if rst = '1' then
cnt <= (others => '0');
elsif clk = '1' and clk'event then
--nice, it may count for ever!
cnt <= cnt - 1;
end if;
end process;
cnt_tc <= '1' when cnt = 0 else '0'; --"counter overflow"
end rtl;
| gpl-2.0 | 5ece634095f503bc06a5b795b7ed0984 | 0.587171 | 3.677338 | false | false | false | false |
SebastianCallh/copter-modern | copter_modern.vhd | 1 | 8,799 | --------------------------------------------------------------------------------
-- VGA lab
-- Anders Nilsson
-- 16-dec-2015
-- Version 1.0
-- library declaration
library IEEE;
use IEEE.STD_LOGIC_1164.ALL; -- basic IEEE library
use IEEE.NUMERIC_STD.ALL; -- IEEE library for the unsigned type
-- and various arithmetic operations
-- entity
entity copter_modern is
port ( clk : in std_logic; -- system clock
rst : in std_logic; -- reset
seg : out std_logic_vector(7 downto 0); --7-segment display
an : out std_logic_vector(3 downto 0);
Hsync : out std_logic; -- horizontal sync
Vsync : out std_logic; -- vertical sync
vgaRed : out std_logic_vector(2 downto 0); -- VGA red
vgaGreen : out std_logic_vector(2 downto 0); -- VGA green
vgaBlue : out std_logic_vector(2 downto 1); -- VGA blue
PS2KeyboardCLK : in std_logic; -- PS2 clock
PS2KeyboardData : in std_logic; -- PS2 data
keypress : out std_logic); -- input flag
end copter_modern;
-- architecture
architecture Behavioral of copter_modern is
-- PS2 keyboard encoder component
component KBD_ENC
port ( clk : in std_logic; -- system clock
rst : in std_logic; -- reset signal
PS2KeyboardCLK : in std_logic; -- PS2 clock
PS2KeyboardData : in std_logic; -- PS2 data
input : out std_logic);
end component;
-- VGA motor component
component VGA_MOTOR
port ( clk : in std_logic; -- system clock
rst : in std_logic; -- reset
vgaRed : out std_logic_vector(2 downto 0); -- VGA red
vgaGreen : out std_logic_vector(2 downto 0); -- VGA green
vgaBlue : out std_logic_vector(2 downto 1); -- VGA blue
Hsync : out std_logic; -- horizontal sync
Vsync : out std_logic; -- vertical sync
player_x : in integer;
player_y : in integer;
collision : out std_logic;
new_column : out std_logic;
gap : in integer;
height : in integer;
terrain_change : out std_logic;
speed : in integer);
end component;
-- CPU
component CPU
port ( clk : in std_logic; -- systen clock
collision : in std_logic;
reset : in std_logic;
player_x : out integer;
player_y : out integer;
input : in std_logic; -- keypress input
new_column : in std_logic;
gap : out integer;
height : out integer;
terrain_change : in std_logic;
speed : out integer;
score : out std_logic_vector(15 downto 0));
end component;
-- intermediate signals between VGA_MOTOR and CPU
signal player_x_s : integer; -- players pixel-x
signal player_y_s : integer; -- players pixel-y
signal collision : std_logic; -- collision interrupt flag
signal input_local : std_logic; -- input (from KBD_ENC to CPU)
signal new_column : std_logic; -- flag for computing next column
signal gap_s : integer;
signal height_s : integer;
signal terrain_change_s : std_logic;
signal speed_s : integer;
signal seg_cnt : unsigned(15 downto 0) := (others => '0');
signal points : std_logic_vector(15 downto 0) := "0000000100100011";
signal points_prev : std_logic_vector(15 downto 0);
signal segments : std_logic_vector(7 downto 0) := (others => '0');
signal seg_val : std_logic_vector(3 downto 0) := (others => '0');
signal seg_dis : std_logic_vector(3 downto 0) := (others => '0');
constant POINTS_LATENCY : integer := 300000000;
signal points_counter : integer;
signal point_wait : std_logic := '0';
begin
keypress <= input_local;
-- keyboard encoder component connection
KE : KBD_ENC port map(clk=>clk,
rst=>rst,
PS2KeyboardCLK=>PS2KeyboardCLK,
PS2KeyboardData=>PS2KeyboardData,
input=>input_local);
-- VGA motor component connection
VM : VGA_MOTOR port map(clk=>clk,
rst=>rst,
vgaRed=>vgaRed,
vgaGreen=>vgaGreen,
vgaBlue=>vgaBlue,
player_x=>player_x_s,
player_y=>player_y_s,
collision=>collision,
Hsync=>Hsync,
Vsync=>Vsync,
new_column=>new_column,
gap=>gap_s,
height=>height_s,
terrain_change=>terrain_change_s,
speed=>speed_s);
-- CPU connector
CP : CPU port map(clk=>clk,
collision=>collision,
reset=>rst,
player_x=>player_x_s,
player_y=>player_y_s,
input=>input_local,
new_column=>new_column,
gap=>gap_s,
height=>height_s,
terrain_change=>terrain_change_s,
speed=>speed_s,
score=>points);
--7-seg point counter
process(clk) --16-bit counter
begin
if rising_edge(clk) then
if seg_cnt = "1111111111111111" then
seg_cnt <= (others => '0');
else
seg_cnt <= (seg_cnt + 1);
end if;
end if;
end process;
with seg_cnt(15 downto 14) select seg_val <=
points_prev(15 downto 12) when "00",
points_prev(11 downto 8) when "01",
points_prev(7 downto 4) when "10",
points_prev(3 downto 0) when others;
process(clk)
begin
if rising_edge(clk) then
case seg_val is
when "0000" => segments <= "11000000";
when "0001" => segments <= "11111001";
when "0010" => segments <= "10100100";
when "0011" => segments <= "10110000";
when "0100" => segments <= "10011001";
when "0101" => segments <= "10010010";
when "0110" => segments <= "10000010";
when "0111" => segments <= "11111000";
when "1000" => segments <= "10000000";
when "1001" => segments <= "10010000";
when "1010" => segments <= "10001001";
when "1011" => segments <= "11100001";
when "1100" => segments <= "10110001";
when "1101" => segments <= "11000011";
when "1110" => segments <= "10110001";
when others => segments <= "10111001";
end case;
case seg_cnt(15 downto 14) is
when "00" => seg_dis <= "0111";
when "01" => seg_dis <= "1011";
when "10" => seg_dis <= "1101";
when others => seg_dis <= "1110";
end case;
end if;
end process;
seg <= segments;
an <= seg_dis;
-- Show points on display for a short while after collision
process(clk)
begin
if rising_edge(clk) then
-- If collision, stop updating the points
if collision = '1' then
point_wait <= '1';
points_counter <= 0;
-- If waited, reset points_counter and allow showing current points
elsif points_counter > POINTS_LATENCY then
points_counter <= 0;
point_wait <= '0';
-- While waiting, keep counting up until points_counter reaches POINTS_LATENCY
elsif point_wait = '1' then
points_counter <= points_counter + 1;
-- If not waiting, make sure points_prev is updated to show points
else
points_prev <= points;
end if;
end if;
end process;
end Behavioral;
| mit | f75dddedfb205792b80d309643d8c363 | 0.465394 | 4.51926 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/fifo_generator_top_bi_sim.vhd | 6 | 28,804 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127dhuCuCm1NlfZWTdPE+CBqS3u
7gFyCSWFOzCk1mZrqQQEmetdyll/nFcHN9okqO5MN1kFETomjAUlMCNacN0DxcYeLTOvQzSzaqMu
pQl6SXeE2/E/46jBtoeorS35ESdq1QIoR1Dl6AeDyS1LRiCryiEbaTMZPYbhbmO0jf6k/hFd1QMC
Wh1DVY2vW+b5fGvmX+c6eGjErPypLTYo+OzNnaYNkzMTKU6eQKGZ+t/4fHz88J1wLm7/U70LZLxY
qfaT4Ko1uNzjuzPJeULt72mKaXLHQGRPVtInHC0xuvXoK0bGX60wmVceyZoAX2cqJ42l3jcIU8MZ
y/YkEYAPUNbCHs24HnGZ/sVaHcEaosRFSuvO337E6FBSx5sRQWu8jiWZwYJx7wqLOlyUhi8nNKRO
hzFhAsBwls4c7JW2nN0sTImjde5wgOE0xp7HX2lqHaZoeOx2O/sDtznG4/9wQAEdieVs7CnPc198
JGz7qZSizIfbhFl3USl/z4iEJmN26OgrIZlaFsgKyyTf5UyTfIvTfiPILUTB6dco11KBelYoa2CC
JVHD7q1wV83mg2sBSf7DZ+QDHcxQMKhFWSpWCjJbezpaVksdjR6IdMaUmv65cOZ5TBBYmZhYzuBS
g9F4BgpNEEDw1QCSvpSezNKi+hxjXSx6oYrfex4PqEwrz1YzYXWqa5fbrJgXMYfg9uIuCYcHe4/v
tIN4zJtOCA+vgsFi0vecOzyEsoKQVBsXX0yY4cEnIJ01XXHOdMgChz1r33kkt3Vd6xIPmmUoU3mw
MUljjnS4PUB1RwJA5V+MnhoHJU7eK51Oh3lmwnFyDzZTy87CX0rBHAHzmD42uhShn88YmLPTY3i7
zlWAiCgm0qJ1sxHOgbX/VkVQlbu0hukOBV9tW6meibEwhmRsh2wwE7orqnmJ4Y1WMZzb+kDrVeGT
ZAHH4GpjVALby3RAYYfdZC5RjaeivLxxJNnkIdiBW25X553vjVACNheQRN2bFBem7SOnn8fZ3jiM
+ypHW+1OQG1oXWpSuL8qON9HhkSNM4Epij/4UKcduqX6ABolYNluZiT959T67S1MSLaRwMMzHArE
fDd1xjcM+9/mosz5hmLJBEIciC1A/aFs0OZC6X4HaHpfHbTiaqJM1PW/5xM3q7BXh5PKKcm+Wx04
zzJ4LEvyD3RdNTHUIKF8w7wW1acH0/oNEuhBEVW5+sY4ojj4XXPZjc3NorgkW3q89UNRSU6OsTha
7uGVt9ogLP8ULHw5mEhAFNpCk0HyDwq8MND3PI5qPfx4AE1rPkmv5w9QLXTeZGkGH+e5Z4bf3v+b
4cuW/tn3deeWkCA1US/8Z8BYJYzAcSnSmZN3blg8DiHC5itV6JKmIqK8JdW0eB/xhslhThO2fgJg
tiPSz9jUb29m0S4/6rvRn2Cd+bmQ/6n7Imq/VBmHEoeUQ9ZshSPGwSJpYn/+KmGNDxmTzlFDIixX
3KW0jkZ+9RFQhamir3Ym4y82U8CtTBaFrbm8ozsNzBm4GsnLA1LRej5SsfVEQA7Qrs8ns/pwEs22
bgtn2nSDBmJVlN5WoGqJMNK8tDV+9L7Rvm+FArgUV07vGChaM7vysuA0N2c9StToPQaFYXj4r0bT
Y4m5J+KZdNy/eIJMHklKeNdJ4X7SrOzXnBWcGk5lsn0aU0wphufpHTJ05zYkASO6jB7Pvy7NdbKO
LBNefZ5O3dVMYGfkHT88cQc3Dw56Iobuv/YtYMqN1VwPFrkuY+7yXfli2jpQvXRxTM218r7roaCz
gBRjfQL6KitjiLvv5BlGQ/ZMpqteIajW8jGZsWmU4sm3u1ZNvEjNjxCBoW/2xhusRc0FD0ne8RgC
KYIqJrpDlGbf3zz4ZiRnGgWXHGMgTZgz7JnDzhhKNtjdczpKN+C0Pokrlq7UYR96AJrKNTKfiIfK
M9CHDvv06DOrV/t1Yc1BWBkfOh/LnuH3cqPgzyObYxXnTvkvbMKOppJuWOvUKbzMskd0Wc+oyRE2
Egi5vLgKSZfIALIKQIsypgO7nfl3Yt+b0F2e3rI7xQwAvYWQbQoz0aBhxXQ5C66H+QT8KmkgFVe8
4ybkYmRfnZQyGsrbkAJLrlO846cW4Xe3QnpDguNSf76I9IDk+SDdojkqUOQV4pTKN+XVAG7GHgnt
xe0Qp7F7nNYojZbS7fftwyAzW0fz3nig2/s+JxHmtxyuiMZATjFDT83iLocs9IGzpfmkYXn8jQ3M
zv06MN7lQ/J54pWqte9mOvtg624klRVW+M35tRi46NWoQdGFJ/YnFfS1HpepO5g5DDXezGKLH+b6
v/23hZMbNMYkbImTS3NLE9h+6c5K0SpQx53CbDJL97GBVEyCO/GiYD2HtE2pYEQY2Xkoku9Bcr0y
2/f3IW3RdbQpqrfCCFOwg4osr7McusLyF/MRJpqckDDssrDOQX376rvJ+F65dxa6SP7f0xQiRM1H
rcu7kcT5eX1FRyzscEuFSm+TXCpqtCP4HDBVVS4ShodzD6EHbbmikDW1DS9rEX9ur2x4GkekEV7X
9uaNSCmmZNDvISCRftRs6dH5QyYsqQS8QyFIcYZcP5s8D+Gbxr/QIz5oZkhpULBdbjg0HZC2JNuI
mAYfkddAQKPI+vsRw6tGpGCccoXHMUmz/SALpxXJHu7cBPNlCFLpccKycfHxs7Ql+29rtWuvwhPr
Gd2v3tc/GHAT+8U4WMPAdatZ4xAafmUlT5x4yCn2B8hp7CDQKceIdtbMIaHyrehe+F9I0E7/XjI3
np3pIID+ONRPI67NzlMUGtvixXwVd04/Qy2wlPREZAZ0+LoXaWiXCYctiDNsLlJlRA03owdSmrbT
Y97sHnGXCpSCC7/FbyCZxgJ52k/yEuX+pgScSfRuSRA5SBXTllgJ7NcMH6MH3N/UzT+6RxFVVMSX
w5RNb4jZN4H52uKoVv7aE5p2eKddVytPtDrr1Bs+/NuhXVeF8/0iIVf6r3qpOB8M0uRoxWocoSC9
LOmYHgNdlOAk7kbX8ayuxCVGKkzOui1vBE7cgVdetQWzEx6EGyYZcH5W0Wlk9dioRJsLERylYnXW
dJNHo+kO/NAsEnC0PGTtWlC9SwjZCfsSU7FGgzu9eJ1me9tRuSrOH0WRja7ug5GlVseQElcW0scU
rwPT/6PKoOmh3UBK2I6kbVVLIC53JuZKURPXwu6T6bhrLPWk24PTl6moLMi8bHw8yoDOplnROqT9
j9kX3/2Jown81rKvfjZDZN3pZdf1kunaZJV0ZRXQpmCbbxLUZTfxziQ0OPNiwuFqvqqc2lsMlCJv
gog0On0ppH1/xSfU3tl5rjcRHr94rCEmUKtxCfKlXWneDuRbRMJx+8bIM/kQl4T8IyK6MfA0hFpb
zNZc+CqEOQfYj3wa4T6GIqwARXu2TSFgmsTuB07g+MmUaPrHc7VQFROPpOLV9VSCJ+LP0NqHKETv
E9cCETdmkn9hb0rWBPdyRv6eKfoxoQ9c0LVhkFaT3HDrHzgFAst2fjvZcBTKkflEd2u+JmloWo4Y
wyns2KwfEk+fhMeNLe50LTn5qf8Yfbpnm6p7s/eZlOB2qcTJVGsH15xPEsyyIEQCp8cMZ9ZhMzoH
k485WykugSgIe5f46+AnvF9jkR2V/jubzHESZJNMACR7ofYLj6aUer04Wrmp3ypqfYXgmYQspP2i
92cP/rHvAGYFV60o6c0ueEFN6QcuYntficCqBk0muMzbxhpAIstY3abkw7PiXkPc6+RMqjNKoGFL
UYu9BvJ345OmTkqH3kJROwL2svW8B5nvGQ4qhLmwnVJaMMzRhhXeDPKqSSBLC7+Nn9hZ+A7kaNvw
+wSnu2kXr+38nIGSZfzwItxt5tNERRcmLJF0ezfWkqyJC9bzebWy0Vb5YHIrMY2O4c/8GgH+YUbU
EUd/blZP8jGQYVqOTYOATWIvYtiI7mTQ22nJ4NbT29KsH+xWQ2NZROeetQVS99Ys9YG2OmDr39Ck
qrZkV9YO45bYqwuQKh1b3nSh78ZgtY8f4Ks5varwZUzXOgN7wPksVCwJ7NhSbSI4GNtVK/+NtCCj
64iAVYFEA9FUzeX7EwAlHMQ3wfCnqLIvCyHkgqYZYmIlNr3ZULbBraP/iknswcWbCch96lwTILFU
nWSAscTJf9+azy9iTVobzYoNvEr9vIvsDpZWNSLCbmaQ/Eq3bDRfhIxGcdPyDaT6lDeHHDQqcvSB
g7ZqcN5q5pV5+6Cj3LxXj4kn53WB5cS0fSfgOfp5eMbWW7IkPevG85aVoWYP8eDR757+o45WJuLK
q2qtJo7eotmoXFzPikkUjaw4aaG8hq2k1wMRhA+dizd+vvUJX4MTHGyq3vSg/64ynI2SgU8nKEJI
x4Qi8B/mH4PhH1ifp545TEsphl/1RaiRIRuNfG5HS8/wLlYJH3k6ls2RWy4GXicNtybWOkdRLy6p
u8M2pNnQ+UbrZL29r5o4dWSRZawjjOBQTBcukkDYF2DEYi5dplexkMNj1KiZkxWFNryq+povzU6F
68ZOYKXtFcu0Ob2pAohFa/IxhZpABpiVqyIanciM9ZdCMiiyOce6PysGmeDoeU1XOTjoVRUp9L+N
2waTa+l1nW7ORSM/xTHs1MqSr5TFf0TJrIiLntsnT6rEdIvT7w56pyvnfN2wr56sDr9s8wpXp3Fx
CYHoe4ADbO9NIcPGg8VHmmHEFbhlRC/XqJs3/LM8KJvu78I5MKer3a2oy9O3CVbIDWZROb4WlWlA
wS2Lp7FjWJB3WsjEf1s/aCsgXX5pB7IHXYxCUbb32CrsEDW7x60xDx84cFAvH58S2o4Qks3mC9+F
L9CfSuLzHAT0Qs/Ph72eIE6GX0WWp3PB2v42/YZrR0fwyKD/E77Kgbv2AHkZcG+RRrv16fGPIdzf
Pt0fLXlxsFrbXFBk3IYrlnM4tW0PMCmBG24zt6AIT5StsvnlXIk5iH9hnL/hTyOOSP8zmr4Ygw3S
VxAZtsIubKBffuuMTQTrs6XmNAnX9Iu6Dsd8Y0e1qu7+6/k4O8oBm3eL2Wgu323jS+jd3HUyQ3o3
HyCJApyHwbVuvIh4MVe6PP2Mu9k8uADG8Dh6Zwq/TnydVenU7TpGq5mI2/LqWZAkFKUV928qsA3D
HB8ZfNGjuGPZ1gyC8nWP82gtllfio5p8qS6Bmz7eS0OhxxOm7oOqgizKvc7Yr1TA/zQ0h8r5rdWa
ctjAGwXrNW9D6w+s9OpEK+4sY0GSdZLl17BuvP27jN8sgJYX1Ve/iKfzzfvD4KgwgA2Au0DoXNT7
RHV4islEaR8+uthu4ceLXFPEGUw5lVwvSB8IX2SeK5r7wPEpXDx476J6TvaP64r+mdi4TIBpsekC
m2goFCSfWS1Mi0gu44F4sH+POvpm601eyRTy2uBH5wuWrO3TyGFcTiQ0cJu+4ObRwv794lsz4T+7
4pU8rNy741DZuANHofcAcTzzFTuGu4sDLzz5TO4k5hQGFHP3j94zHcqlnicELl07DzjJlnuq5dYF
ep6zAsSRWU6EilbnvCmMD0z9RS5ocseEMIMQ7WxsifXl5/wep9pTX6+IBmW4qNFp1oSg0DcDY67s
54OIcydWQCuYOra6P9O9lyrjpCx0PlwMgVWIG3AT+afNOsJV8tGnlzb/rCv1+ZElWuhZ+ASSUwWW
LNiC/nrWgiPWZfMWON+P49A2Qv3W+XyFUpVGJTKbwbuHybCSfMnmpgUNIT4VOkc8ixqqLpDd5qEL
pz8mgF/PD04P2VuwCgDlTFGaBWJG4qk06Y+ovfReB25Ox6/AG0s5dhKSYDOY7j823QNPuIHssqyn
J0j2qzyCDJgNcRFMDPREdXXiuUKidcFcMmJDsyI44MOC38pUbPXjET7VhJrdtiewgMFH1sws/wAL
xJGUqNq2StqAmL9e7nbhpfpxIPGF6tCBxS/FZDPn3djwfTdNtMPy/E0RPFbaozm2nBWCOwa7+Mei
TO7XNZ9ncTypuHCBS+XnzdSDRVIX0IrrJUzHxG8pmpZHot+PDtugQnGfoAUPIO/vDRELAkZij4DV
vUF/V4IRsPFokjHrwJdch8EqMywf+P/rX3bn3bwFy9vNfp21ADWhN/us1fx6Mh0JqopuhQNXRL8d
K9yEIqQZKyMfiIAF7Y22ISVprXOjuc9U3z5aiP+WdBr2bpb7ZE44s60Vx5oHU/Zv6ApSp9UuPED/
hMywYwF6vztZuMVWzoaxe1jgjZr6gxiEL6lZ5uk7T/ArnEJZKc5+n/uneGJm0UO1HspLxNQ8/A98
hzVCfXCa6mLAPcouamctCXf3QjwhY36jf09hkQNfNEZ3YUdTeDvDW0ArNm0PR59EXMKPKO4ouQOD
Rca8T8VoT/sFwkXZrpFUDo2mY0gw2AgCEbDmhnPW1eaWJhrqB55GwtgXesQpsz1K3yQLyHUc/3r1
5SVnpD1udSuXBrXjgWKQo/tbyiBNsDLxVV4ucjZJ2PsqKIvwEeG91R3DC8p8h5QHgzPLEXZW+DQk
6VvKvTEUM/kxNLdfUA9ja416zb8DAGcPkQVoMmudOumW1amNB6sjqzJhXo2Fx0gmy6YJk31XT5gl
Sxgl6Uu4R0ETkl4lfXPkKzKnhzQCqIzuC/WLVGv4KVHtQM8NSMDeuY/hCWlgaU3PmdW3Z5uOYmJ6
qfLxyHiFmNszham2m/UdiY8Hyp8ad++SR4vIA089IIJN4J+GmlXMofQ/GqmEK/HdJRjjLqSQkcOi
23ZIG41SbKrfS/+C3LsaLd4PHM4xAsLvWFcUNTbidHwztmyCh0tyRlbAGhXDONk6CAoxqDXHWww/
sIw6dZZH+G0BQE4IAkou2tKAVeIDD+OzBy9MsxTlZI53nvG2vUgBH+ClRq3X2Q+76wZYXUwuoltz
hRrsco/pFkSGdDB8kW3VeqJ2ljMHJqsktmQoe4E/X5vC6jESm+y28g5Joh+58qKkwEx9pSen+FTf
lOUCBJmzgtqAAcoBFXI2/55tem/gmHS5iCoOcG91VI0S8plKJBXd/dabl8H/omVQBvyHGsQleF8m
GiC6rOycKhFfuTiuY8itE7pF44S8dLQ9plRZ6sddIIKVDiBP3PA3rfXpLyeLxgPHZbiWkQ63lDtL
OWMezFHogGb5cxFZa1pLreLQXi+SnqJpr3JZUDoesy1J/6bxwDONATX3Uh0lW6HTuAD8qBXRUVEN
GSXflHBDNZZLRaF811lFFZYSm+G2ZXsM9tyKnnwwWMS8Uia7uK4WTwJEVuO0Eb65Zt/DmOfAjSrn
Y6ufTIOTEAfG8JA1mQJKZW92R6R1O2OImQcjLUwxzsK0nDO7NqV8LCXXfTtahKQT1h2lYKhZJ0Vo
XKYl/WQYB8L1P/LL2VsrUCr1Mt6qUXYMxAocMLPvK4VEFINLqx0CVonFfGzdczVGoHqyLMTlbwxe
d5AHjV8AbPi4UlkBDeaWvIin41IX1YNsDMh8evt/HSIwWIRE8mHiD9zQeyER71ylYS9whaEuB1Ti
FuAoSUYOksg5FqGb4blQyigvDUUcCDtSNqLN8O/naBN1B945ItB9Kfuv9SuJOEs9V06VpH8KBmUy
0ZFpp/Jn7S+l05UD+ypxsWvz4ctKPVOWhGB7hQj0hJaKFa2kATchXdXvZ2rVRcVldYHu03GrEbF2
byOs0Oy+YrFwuiqF10ZxkxL4tA4Rf0GHzXhc1cJaVcnf1zu0Oi2qmjBV8VNuAlIGirRj42to5A95
BIqiq7Uvrp8tsNORm9anPsV9rDkzDOnIB/F7R+cJCnMKn72t7vIuDISUSzTNw07ihVoHNp685+IS
SCyZ/1zCBfrBQrV2GqzU8nPsZxTeJto4lSXCAm94LR68OWjxUmKaLO0Yh2C0yCU5t5PTtHjr972X
NOJUUST7SVJ6//MOQnz5VuE9T9XU8gTTm/3VjVdNqqfB8/OLaRLlp8YKruVTbycjr8rbeLU5t3SO
5fz4+ghjMggTm8QoqnGrusVQxsQ/rftQyWPMAuxqH1sKpje/ohN6xAPS9iR2n45lmE1GsAMJnh12
Ab1v6BhClte5Z0XghrcKpTUturpI21cYu0Zn3vswP2dMSlG3cCTZhc+CN6BbyHcaSqdgJDsN2dPV
Z6IaK9Zcyw2Gw6iI53a9OrNvWvID08wotxhFmg6p9nPLow+oYSf6VZQyi9V4hDaJ/jc7Qlp7YG3C
uKoqms0jZluWkWGVC1EwVqDqGMzaCS3/ABxbEr+W9xZLmA0ge2mg50EwES4EC7zh8bWTYaJSNyr8
N0KLzqOT563dRpFy0gTKVM5/L2hHyzYmLFfEHnlBswdZI80cAmOiqI110V5FqBDkXRDxwq0emuSY
4scLC7WHPWcMGlt3QZw4bEO6FnNmRgqGbolcBx07EPrbUD32PAXFsRZWDtqXXsY3OsR8sF0kSGI1
RgsyTZOog7xMgQbe6NcxByPBhl/AinZdCCEmgrHlTEnNNDw+HbJ5oWJEIer3jIBh3/Uca0W43SZM
aOUIX1MJxZAk7mghzAGwwKgt6/AiSC6ocmiDQBrKh2PX0ukRsg+rBRyVb2oA5YUs+cWKcnnjwqn9
gXJHnzQPhbz55FeYgyxKyzrpB/1vqDBtvy5jm1PSPFXwhrvVb0W0Wvwbs0NmXHFalOPjyvDhHr/7
s7NVw5kO0xV1KO8Ov5Hm1lYHcNRcTIvmigi1i3li0iPp3yeN0FIrpwnCZrjgoZMwXK2DBD92qCV7
tLBXHUXlXKu5dK43AKnBB7egV/8lO6YVarzhMoqMX4Lkasj8qp+JavmypuJ5iAGlhbAZUVky6yGo
byvGLSdCFgJaG2TcKT3vhaleFA0fI0xCJwS8tdlGimLjXFHkWcoPpIZPKOXKQdrwlddsMTuOLm2+
7eEdssCPSsv9k736B5sgxBzw/LECMeCb4ucjh2GawRYPr36CYuBDaCWUqqVvrTCS1YEHqTU+h7nR
7KTdSMMnan+9o3TLDMNqQNY69zN70gp16yfsHT3iWU8yN6BvAame4ToFQM3//owWyz31NKCsRIJM
y0b3fuujj5REwUePQY34ydbkoZo23T6VC6mgjxTDqEhBq4oYrrOomV74JQjcUZP5da2wZ4CTBtSQ
0miqEb4ZxlZnQqoKBjuHf6UB5minw7WMT1LFzcDR9PzqIn6CJfUAQcROAaalSg30RNdMvhxZFFXm
7gM3tF4CfBL+y1VzfJe2HMFoOiNcw2ritGz3tOSiY7pIS36w2gz/0J3Sj4zsyHPInp5MPx8riNEI
07Hasd9IYsFdFHZJf1UZ7/+THHB6cuJQ8eSaoKQ+678/Trfnpf2Pd4P/XHT6WbhHz4UpEwde5TNP
428RhYBST/WQOMoKt4pJueuh4ZVYTaJUtfTccqNIUcCXiu6IPU3G/wK3R8GyJWv8PhShbHf7s4aa
n8396LlIsXGD+PI27K9Bg2NwJkfT+BpKUd36cvkjq0TFNh5sBqSW8V6xeIPbrQ/7PYZHGs88dC3c
iBdGrcPLcudxnRNjjDcACuwbLPufOo8MDOBX70iMVjVy+KzTPlxZdQ9Ef9RyFmFpmq0OYEZAbOzG
VJY8EqtLjsXHYFaSPzC8Da9JWyeyLCY81iXrWQ0e5GqhUAOlakmwjd+VANtl9MMD6jpuLznpH18W
ABD3UY4n18ZUJXzxRN/B56mYukQlCrGQSwR7SDnhCB0sHi7SbvpPtwV3VrXnJPgo/xknymwmNZ9c
a2BZVV/tdyLhkTLPJuGz3VP3lcTmRtKv6TZKA5rr7RySG+MRr/BFDwD1jsOS5ZFjvw8gGjASQy9z
TxpUbGZsWb+yY21hJzQFB3N8W/XNwXwWgqA3S0IjuNOW5OhH3DA3gMj8GJNC1VCTqvS2zBfuOfoM
nMDIqnCxmW/tBtf8NG1Dlf/IaBODYyL/vB/8FpX/tI6JGWjtKSUURBwmb6AdCP3sXGAa7Br8S2Oe
sfym5FGL5OxCKLKCNODT53GlAt4hDGp2G3rRTqxcYUUKBpqHziTDlk3h5gHErLdZBbDTe7faoqCy
OElfLPIfevQ3gXt2MtOZH2F59NiWxxKaKovRZ0t+mSOx5DWGwPQ37lGfn327V8dZ9P0etu3DSpco
mbGUm4KgLT0jXKoJaf2/9xxhHyrZHw4LTEMP9rUWEQw3Lb0v/czlZZpy3/okLD5sx6/Xf8jDMu+E
8iHmOYGovUQZGkf4V2dXQwuR8guqCXnJSiHqFSVRTt9DBXhWphG8qLExqRxID5IEHx4GkgOKQ970
2hcAGO5/zvUl8Dxq/HkdE5+eiAKobr8613Sh3OREB4w+kfXWJhGIGk3Tf3auH9VywvV+rJHJHuaQ
v/CMtjTJtmnHqJ/hyH96G0/oBsgNBrXx8E3/T8n/jtnP4s6s7ZmEe5hPLkltCDEihabbwr5RsedG
KGDST6a3Wo+ztpxhRbp/xYnRLjB9cKt6UIVpKDzb85TlF25djysXHwT4MoSZL28ugKh7OBSZn333
JbWEdEWeFgy3bx2Rp5PfUYONWxVb+xqTQ7Yjc2ek6vP5AM9IyqTUdOGhwXNd6DbqrnDtIhRVKBez
/SqBKw66ZGS1SES/8bw6orPuubgAtul9QxdTqyYrlTZTeepbtOicigimNlGuNz2csB7VFkCafO2Z
JMUj2EBSC90ezGSUTlaPdUwKOOtxMlg2qDP7UWCziex+D8YsiTg8kkQ31xll5MJSktR4HtQykGPk
l3wEPRX9TwtDd3a45dGPmgooFMHSqbqynFjzuQ2ylqRDs2PDmfuWx9hQKu5T/s3bJ0FA92L5A/lv
U1eSLn8sHqYWRWnOfcSTcFifHikJT27cy+Twla6YiKrrC1tShrB2nTbKkG+fZtSNITZWwVcS0aRs
BEajawCCVP6cXbcbvLzxGuAoYxalT6MdT4j+EEBfBra53kPicuv7KMPQZXRN0kcG2atyKEiPqVyX
fmGm9Mv1Q8vZVMH+hWlFYsxeL3pt0M9Re81ujGC2xUgDp5rB66UZ1CLhWgP69GZM0+JIq0gAlS0b
iqa4/HbAn5MRXGelzXSqCZ/bPH1K1+Xl/kpg+GEKQ26PCJpl/KeYUeces0g6YDZVE+2pySr3TXqT
mC0BCb2SLfYYztAe+q6hkfRmPKwcDgvZrNdXNRYD3B3Lzy/0thN70tUQYRbMLaRyR3qSJGxuD488
dUqafOY+oKYe/9X2MU1oVY1Duub42vRAiIM+xgTnAlGB6WH1xQ7MeLVCgSiggZy3+sH9KIeFuH94
IMrcSnDNhW4JeNMii+oteFMGJDDpjYZiY55sKfGkUqAUKMgKv3XZ4atQprQl6/NmqF0rBG+NSixZ
fh3AcIu5FHToWJG1czhHuKNgVMWwMlm3cwwdnooyhQT3e6Nx5t9+Z8twtvqeTouBrw2BRqeXRxUk
bLvQWify82rWV8uuqUpBng8SWrc4/o0hvlcl9rpxirqkAM5HcBZREhme3Y9G7pqlBv8qHexwHlVt
DF8EDuyNJBkdewI2CvxmFH85DCXfcP9V6eR/z1T46xw4SUiX32GZ9mQH/+WspBa2dnv9iACstjKV
3rWncwlG7G+32Ob8OvacDSiycGi1ozjRok8GkFgNAoivQQkj2XmKsoujyej8ysTuBSy9n7Q0r+u9
/tALBhwsFvqbqgFJciFgCxkNLhBfoeK7L+C3FuJU6SxMk5TniDd2UWPaerzVw2s0cKotn/GdaT9c
3d5ExZJY2KPKRxpFYErLGPqskdEcr7YbQESW7sWWJKgDXPsofPrxFNr8BH7+gBuBdeZOoh7nI5cc
EaXtsFVTgCGpxEUdftYsTb/+UKRKX6O0iWiHWf/roOFaC7pkXfFcEUye+LcrNQuAwbAYJttVhJVv
nMbbX84nZItr2SNk5sjmbY4LKHZyfSFfHTkSkxErm92Fc0GzhyFudSfQHFQh5ois599rwPzOfCEn
eEct7+5cgFOaPjWLAOLwiKyTHUSvcD5fYbziyLDZx7Ax8YUukbk6AvebFK4rMs+FxQEzKnKRt2BY
P5UT13jMfzuVs5uVU0agS19sVYVhzxCXxz5Taqn6K28eK/hnrJ1Q26kt/5gp9aAmXLDJjwIJffPT
jvTdLLCaDp7d7xzg/kF4LS5CuVDj+fJ6ia4QJFSGROUQH78MqmrlxLnzHKM5l/wPOU2LVZ37iFRF
YxuyfsIJsMPzfDWM4EvmWJsjd+bp1rSBfsJMbXQBf0ZyCPr4bSxsVGmDHYUuZSi/UnTWM6+AS73+
DWyE6EFug2RdolcYnmzTxWpYC/ytNn0UJpnkxc6Hf9YzGQP2F5bgX2t3ZcudXlcNIdcD0i5yXNHI
Pxza1dc2dlqMRVJT2UocG++6Sh6HWb0DBXDmPsU7pBhbMkpWooeQ6pk3sasrNpDqsWdURdMoZ/5Q
eSiaE4khk9u6g+3SecIf2eV1Hx9fcIhiWQmTD2/kB3oZXiTYZFa4ox4gKyZhXBkc7kc+Gpke1n2k
C3p0ng+2zb0uZDpkFyjN56azoqkbn4Dk1/NxOpjTK2sUnIkd2MBGNTb56cSw1lu3eSAUX1i1QnFE
lSFHBMkCtAVVkhsBRgbiDvPj6CgBwiLW52eTjD2kkOVbR+QqRxPA2AHjFYORuySLCp1sTEo+YO3O
aShtObMT6vFC1YzsnwmeGSjZHx/4D9zRPK/TK113r68fZHykdoc1jIESYB2G5KFXPxAc4J4H0qRd
dc3etqkkaiU+KMpKWsSFOaaLqVMyWSpY13q9o3BBvxQ3/zHfBFin2pwv63ErH3/FZSreCaLT/kF7
REQntVPklWFyw4UHb5ILId8kBEeYkSb3jPiv8Q+X8WnCBr2Tr3ST84yzxM2xjrvsFnt+qcb7VSF0
b1sJrZo9sldOifrAtHDy62nFUxI+r//CWJD/6cAP/7/eeP1NIBehiAd4T6lo3/zmos7/cHf4Q5mT
U4J58fz2uhLMXO/8wJP7KbJ5lIi7/YTQBs8HtXsheU6Ug4w/hS5XP1uEnMvQvKXzPuKsL4sNjmXT
6zcqqaG6SKcbN4M5ZxtZJ/2cotBQ5S8du4WVq1IIDEip7mvhJTWFKfjySorE5CS9UMHtaVDIpRAX
0CivfBSDCDDir71DsCkv9cPtIwJ+cSA5bHcLkPuk5LiXZeb/+Sfd7HTnWO/P8Y/IlbRcsYrRtu3O
Xj07x1A9O060Hv0uplempCueWYw05ZlmETjh0fnu8PKSTKE2ZfwOoChAjbIt5kUlSPGJxrf37SrO
Q9wT8o8emU98IJiihSHDSNzj4gTgozkKTtEBPmhThLmFyjsPkkGcDTEbGStYaDGkhrwE2OhPE1Ll
fbH4M2q2enCsr90M15sOF89q5QUgMUcfhu0pLoc2RcvvqKEmeBBX9wzzhzbN6Lfhx6Um4HwxyAap
ogsFqpqxfr0vFTw6AwR+ko3pP9YhStCCnyvCrCHxC9LLvp/RhY5DuJe7WJNmd4uiwbQWevvq7/bt
UOZCs/U3MTz2GR2gkSGQvaVTpUbFcTvdS19oZTtKKjgETKxC61fN/ISZkXTDr67fFIZGyUhLfGH1
RbCxKtSGJsyjx1zz9nxLijPTHisPdZu5Q4DvCMNVi+s6AXYGZ9cspXa7E1rGiF9irLg695qVzSXu
R8Ll9uaShNQTmEuqAVJCl7Oa9+wPqkJgLaGk0AyoI7tOTDKqatG6GnpZ6vofU6IgftDvZ0cjmAmH
sZOhdQ6fhC1kaeVIUwoRtocRApj8XoSL5VBligvJZtO/1MZ5S52TYbnHheOJfV/CPM2p2A0lWddW
kLWBknBP8Z8F09d8AEmBRLb1DFiiXj4B/psfTryIZZoUmfaLT2/rOneSq3GQ2Mb08U8lglJqsgcz
DCGEXfI64xOQEsZYkE32IQ+Q2gZOyKqXxwywPmc2vxLofTUtfStyPa8mbhOoaw50L+01enT399Cv
2sOS3Qt2JHLhUcD7auwDTfWykoSrDna5eSNZjeReXK+I9tNmCholautJG7LHNGIFa51Fgi3PguMd
NTElqgDjpLfKCPuvN0BmytjLjpitdYeR5VBcUCUcRtMFNY5ilgIPxUxY2EAJwXeTvQH6dM3aOLKQ
sA0OEVKDlXGrq/B5gon7SLXPJDqSk6Dj/zBDteUTra6qa/TMIculeo/8DR6GDiyINe5JCimzlR3q
x3HOQLBd03fpSzdyp0j4uBRNKA3hpDfyRZYn+d9zyfKXx83LfUS8Hiz4ulRkphekt2LEScubYYq6
pPzp115TdBkfpN5MuqVMYD2Ad2QAW3G81munYpWjpRC0AB/F+rwHwE7tSvQojuM3MfjIwhpfgCTZ
bOmHgT9oJusypxsWogi6VL8c9CNZCIoyxylZveL6U0ZxM4w3uw6IkTlpqfuSIk8CvoVAbFSdTCPH
GbWZU0np77k3X656ZaSNZROKr1P7SXoXIuFDCnG2WHNEc+iQ1/yVqzgYeFBIQ3DwMF5muw2w+DBQ
hhlI8i9wkbsaNQk6dXjT4EiDsr/0qwUv0J6W+gxqmhAuUChn2BjvPQaC5geeN3aufDA52brDv4/O
KKMURdQop63Pbgr0VMy5vd6TeAMBN84F2p5P0w5TTBvvL8f0BFUPefp5o4pTbSOssHpE/GmFpXZn
tngH3UCnjvVbEdjEJtxtFN8jy2EUjLXQOkcYpeOzps7aK/jaDnMolO+AcdxSLVQxoHH2TZIAE0Kk
HVQQjqNq+w1qiWJGKOXRoKIY5fIWhj6KWB4IHURWlDMWv+ooDsUoD+mN/pDUhgQSQarVHi8zGSTK
MaROmyotlwBxreDJtFBsjGbCTmCwQ10GnkqRBiQ8kT/FjHI9QbvzTPUcHK3Ng+1s/d7FeYwrfgU5
UoWJa98cwaKFFDJjzzISfJ8SfsaxBvGrQTvIWIUUC5RIWr6Jln3E4q+zR6RFbkKbmdbF4YzA4/Bs
/0iG9IB9M/ZubMZIvesxz1t34/IqdMFeWCjUdg81+OBzx6TPEVSLLNgKUiqzWmeGf+PZAf+X2M3t
D3xVLLVvy4rHouXdeNLq+qO5fyzxtY+P0c6Z+6CPYBGmB7IzX19Kd98H80XegnLVfcbFTvWfVj2z
fVnykKMvGNrbkk2I2Fywd03HvHJPJGonwfBnFJX4CeTg6Cz7MzXp7DmRKcqvDQstmqL6vQrFem6Z
Ok30eyzBTtIvSD9/RvMbqArBvMj+z5ZInz8UJ2nstEAJdDfh6WfqjDlzJBJBfvn1XIY10GM8HQJf
wg4P2NOF+D6Qxxt+RkyM+hIGRq8d673RUMWb+/3ySrvcdzNsZ3F6ArzRZLI156ljQXEYg28d5p9p
JIwUQvQ5LZ3Y36iG/763QQq9GwyN3U9gdniOofKWLDVKrkb66aZ5Hs0G/4vADwizTJesdElbN1Cm
0SPWJoGeGfeH7/AX9WNTaXQoD+L1/BJ6lB1AEGIV05DVfhoGqG3qURBGAb4RA95Lm3S/rHJ/VC2B
F3oT+82HKMmUCRV1Mz80XTcMQl0jaL/VXXa/AVX4Dxg1riMC9AeLJmV+7oYl7FZY/H+dnqhSsovH
Ex0A4kLhrR2ko81VXij+AEwekJcahRAARUcGbibwGvo6nfpqk6RJ/304cm1nstZNAJdN0gbsDcmA
gJnc8i2rpppNVPIgHh8gR80ZvwRvMO5iONxL7RmJ2tNVLJAYiA6xlGGoCEjXrYS2F1gK4lZIE+44
yWLqTpGEvQgMo18n1rxC2VMU23ufYCbFnBpSNGlNQVqLoZxh0Vsq7QQpag+9a0udMD8NkpEG05hP
pF0Ao5FaJ1Fq5tUFQIDVumqWXRPctN30KYw7R7sbYy7RFQD0R96jkIpjD/Pqrf3O2yfJsPDM+Q52
LwPKld6qL2UAUmyGN4+g4x05fVzJBELlnaYraPREwZqOZmQqbSICr0a9d3w1boK6kgng4RN/ucrE
cvB24BF50PZwj9t4/ndBXcqVkEB/fUJTVLQHayIOjErM1xm7Xl5IY7ktGx2yylb2po3N+L+7sxNl
OGpY09GjJ4lpzcQhM9IC2ZkNx4ItjkXsEC3BmpZNMD0np8EbE9543SyA2nQQupwxKx8sEURviLJA
gJwUfqU0uVTEVRhrpPzjYkNH7Rzc85aK+tcGekZIkC3NzqgRpBNxS7rjkXyZ9LYBBqOn/y5dGnpc
FlPXp/DNih01gykxhWDUOSUyVf6A0vCJOvBNj5I002srq0F5z2h8i6G6L0I63+K3/hcEYje/HT46
CeO2ryDwJF5MKeZ4M0z0SHQnrGS2QY1rz5cjHC4QiRttlJZzvfp+BcxwItXEITp8K1yq2zF/88PY
EYwJ+3P5FYC0a2Fb9VHxL3KHJHz/0l9aRg8fABNcVO82vNxQ1szg2Xw88PkxnVpYZGz/uuPIu/W6
p84UYlQWcnqFTYHwGJQhVV1cdziESlA9iMm59u6rflnS4HzU24wEBBatthfkI6q5goRGpzb0DcZ1
ijEMhv4cXsb6k2/a/pH4YQMBz3E5t+r3J+HSBDI+26NhXe8RJ7w2/6JjIq0oLzWpyXRIubspxfln
HIiw74k6yDZoi/RS+E57hrTjtyxQSrefSgMQyvdubzkAKbYD6LX1yK8ES70E5Z/yZAKFlRztPEwA
5buXIytrraseyA4ICuapjqRwILOzlDlcg49R8vdtT9IxBt59PBubMNhtraUEr2YRc+YgriLJrxMt
+ozCASUfYCI93sKKNxxZT7If1GsbL+loPGP9u2L1eKFJayxsw3x6wTDMol0Ie3CPzfMZbsET8wsm
bD13R6A5QxB4qq/gof86OOEHRhFbQy7oUz8uQruEYwLCl3pGJSjLa3Sm2HnbQ8C9SwDziXG/XScQ
npcGoNLnmOnKQm2FjUF09prEhWHZ8cU1CvX9wUnfsah86jloV/gI7bCOAPJ6EZaS1fDFnEi4F8oE
PJ9Njo7HBS+T2BHLTPX9ue90Ls7xETpRyv1Mz7Fw7ExHLgJJ1AMApy6er8f6GddI7MfnwoQucZ4c
Q4F8/UUC/xz7K0Xh2pBbr0+OyJnRSp8WbnPy3sm/K+9/NzhoohFbKrihym0oykhgvs1t2dztA0IB
2aQVft+0JqU6PgOtiX4JIZ7mfeVn7ILMErnyIqbJJ62kjABuw5s3RhNpZQc8lBE47DNhis8MQaBR
op97QUuOIKKH/R8to/EhiDB0U6QdUg+7tHDykrUf2Vm1kK9W6DaZ+4ZCqDXJlo45pVbuE6tRLBX9
TdEN0099Zru/I1opMYAI9Hwmi4BLrq3CpvBd4kyiia+vlYbMfXKddj9ThLxhCUQ8YmC1kv2VIZZv
Qd9VhU6/EzamC3oFD/8phgdUHbEjKe5sRRmQQJ5kCMpuEvabU10O/e8xwYCOba7+7ESleSgBpR8m
IYS4BLAeH3kkGVJBtW2YMNCXSdLp680WTyPAUvvpB7/dFm7XxwRcm+xNT4auzxz5pFbb163kYnla
wJXT/nST+nen9ylqY0rHoaQclQcjSbFMy+S5uPbuydKqm/M1Gxwlb2Bv2nZGXzCAv4CHOKs7kLnw
9aWu9EuEUGQmKQAdelf53dRS0MISWM3latXL2N62x32OiPZrRyO4bP2bM1baluiGg6spe7UjueMZ
JZRgLx0bg/LfIXnGbgT3+Cx1TDZDlibCNvJWJuJFg3PkqsMQnJoLSBAMMg556Yf1J10bTotPzou+
nmxS+195Ti9+gH4wi3ZckkhefwlJCKJjh5FeX8drLYLiC+INH00BfLHScDOXuNKYqZnz7zQnVnFj
7mf6LInJThv7HiEyPXkT9jPK2Ty2FoKr2mZWuQqzqGTo4botdevgu2IqGmsXAImEvV1UqW09KIuZ
1Q2ekXgWJ99RMKpAl3TSr0YzW25xhtyyfeomhP8ZrrCSQfbNgedeczpWC/ASHTBFNluKS7uJ8jNV
Xt//arpAr4665P3t5tUgIohOoysyxSMuALBicXyL2iuU6YlpNGh16llK2Tq5WwS3RMilKq9nEbPi
R1pjYUoOnLwSIyTYL8NU1iamYExFrCW0Vm5FLh0b20e3H9iVvYvTeElzF5N5fxweJOpE1wwtjGRx
DoeDvIjdyuqFUduVDbJUxozi6M1+SR9K9DDJ25v0Zvk0ckuK4hIHKak4TUTk3bt3aOeMwR9r5srE
M5qbUm6ZBopXv8DAHbSsFtYz3esSn8pWXxhjAVzoBKZCyCed1yjAcvmN/sikDa3iW0pyK/OCTYHm
ktZgj5O1J1FPDyewnppHy7aLOdeb2AxX9ECNv8P3uTS7eefqwLOllIwvmN45MNO2U5RziLIRO68d
P1LCcNMDFbXERzzeUE8ByapX6ssdRO1Kxha5iW4yhd6CVy2goHWZaZMNz3T24CTHXoqfyZkj1yuG
j7KD012patSZjKWc7Vn5axxZXg+T2Uq4c7+4QzSwz/TUezL4mhKzsVItaILdkE7FKMINVRTq8krh
C10xrMbHgB3xlW2XC9K3v5Hm/WFTSvjDNxY3P/ej72zHCl0l/liKHLDDuRl1nZpwXEiGqqtqbql1
EUHbHO8e/jn7WoucF1LsUv07oM/xJOanucfDX6o8ya3g0sWQs9dY5FeC4ETfJrJAb5XRLAj6Ipo2
Q+vAzfEdG3v7dWCxW+UbFuRRV8/C3t0DH/A/+zRRFdL9jFBDtTMiqyrUZgTjwqgoETaeZutPTcX+
6zS+TlbgE+m08Xg+fY9peFbiBzWoLNwMi1FShwv5WpFyLuQU8abFOb30GnS2aj4/tU1VtROms8uf
VxW9M7WldBuesebwh7GplriUXLGZ7iJrUptteOFX6Yo5wDDcXgcybV6vfh82I9fokDidNZ5rEvax
p6B6goSepdAEkgBp5FEVat6Y432dbGX16ujjqYHI9wfWk3xESD4YoQn0BfqldrOMgpOPV+WzLmxw
H3GSgcIlP4U2tpJ7+PB0TY4qmAs0erLvzf21l49d9P7/5nWFeNXC4PooO8lmla2r9WFBXkv43pz8
HC1drXq+pfW2nATCsB+poM5rxHwUDdaxBPuBKSQAW67a08p7R6nOAnUAjdaGmA+86lZXAe9DquhU
FC+mBZUKSDCti7iZthV6vWtC9Zx6kJ2N+eVqkaj51UjrkIFNPeryfaLCGfKSi2XQ5RVDrC2a4ZxB
VU2STwqISTdyWVTSZhiKaQK5nUHWCfOXsnio6vmEQqNc6myujbNK98ayS1/hGfk8hbhje3qeYZyh
vM91XP78iHsw7+a89fDprplJQ5JByYSid75vSVwTrezS8Rq6eViC8DuhnZaIiAvidQWdLQKzGuXB
lOIFkkr/74OBGDxuxgHMG7UTbalRzl3k+SE8rSrBiqYDiglR3mzEOzz3wKmMQzFNYLVmrEhv+yiS
UhPFUJtCFKag36yqEyJwVTPVcEawTH0EgvACzVFQ9PvNSJjtVRtyTIE5F3HZc+VreOURsodQjMoK
Uneu43nFgIdospbSgEMVOWdq3IVSJhOekm36sxgf7wmr2DuyB6IUc1c81T5FbT3AdmwWo1QcYpZh
vCb3xa14xL2sXTEXfb67JiDLHgcJQXLiDv7FTcsKSm1KUS1poXifVIVXNk26iO9RLwzlfHWwASnp
TPBD95W88blxxuNkINZWmmuXpIQOFigKsepPayaDcdF6CQUXQVsjjitKZl+tYDskYEmikvIImBN7
k6JbhABQQbQntckCgxCegaomneJitOXxNm48r9Wk8FUyrLx8DVSlJPI44Pw7148UNh9lpbATJZft
L3UTe/Ygg2jbGM9Bl+6b5xRr0uk0UxZBqDrjXrK3vy7iN3o+XG8qMVReOnjTUVWlTbkooM1hVD6q
TG627vdSr9DKzRplkIuiHxHZ/ApoU4zo3YHRS3EJdHMKahx1n89+4u2hTmffaT+DE7NqAI9WsJDp
tFd2Eb8Wrjx+Ne1eduyjH6z5gAJboqDPoj7WN/kAYxnHGsP7tQ3emIOPb1QOG00pHs1I7lq2cluw
Mkr1czmmCKSefmsqw4ybLQW23U6kf3AgaHnwtkX4gPCT/vjDmlRFdlLjkyiKqRipRZBfgmPx8ytC
ORHS7H9UArXxdxKcg1HccN4J0y1p7YPVa87/Tmfz8N8hY+BeZJCIj8+rvnOLPc6SAD3JZI1bCPtQ
2cbk/YhHv2C+j6UPEKA1F+V5nFF5NpXJcf3nHvJrdLFn9Qcc+qwsLqEaVRfm0IZ75zv8xMC7LdJK
MPTuvkJE9cm0hlmdIy3M5F0CDd1mtWgTvhQGlzdF11+xKyBmpQtP1Xn1cnB1fJMNpMfmgFsj+ckC
JXWhf4k+PTbB5hdKKF6Ik4GvNwBnk7CpVo59WSWxvW0dSA3l4ZOINMN/3SihD6mNuGKJCOgynOpL
O8YpzGtGTT4yoREKa2MWiZzVWDSg3mFi2Z3kjmyBr0NyRRKJOZv/GYLpz16W8WELZgS8KMR4dqD6
L4+mX80BT+j/dqoI+r7Dvq5G0uAKlKjEk4vfvBWp8Ky/DHAoA4skR5vjwQBidlJG0qeACUqsPmQZ
AIwBptyL4Sk04IaWTRAI+HAvc6sypeiyPnnwV9sNxahvte+bLjjdhKAuON9IpdkmF+DZyQpDFjsg
6pDzTzlBBWhnU1PT6yznnxxpXCe2IfrShNPM82uY4KQBgqYQ0FGnka0ZtYQ6l/WPq6Y8I1ARh4P2
ZzXypJlSf6tHrdTT53zIqXHH8bMR27NnETiLMtqtAPNYNWAAdRi2DhY2IF06TjF5nYmM2y/kAaF3
cxyhQnBuHDQWKYx1ToETfIpGrcutUZnGRBfEa/F+H3cMwbiVgjZhlN2pdq/UdfoQsQ58Zo8SQhOt
srliSbGAUQ7FrC4bgw5ZFZ57vSIRdg02Twy9akeSlMua7ndlWF+Hc+fyi8kWKOTJtO7271kRhhDY
XK4XyoiJkLexfu4lNp/Tg7ieJk50D6FNG7btCT4Ld41iOXRzjtC0mx96g5RfrMjwZPnP99F/rI6c
s3yQqxUTjtVQivc5yIUMpx5dvkNUwjr4XMslwYikYJfKC7HT3PHdYoSQSLriyRXw4Xq2El29vELV
5uGKemgf4y1CBVBgyZOT6OIpThV7O/jcXx6E/e9ukiXMzqxmQBYFmJ07L2Zl3qNU9EZK1dxlAOaj
dQtPUeigwA2yZaHvrfKcXeL6oKJ/36v05mLDBM0SHeTBnXFmkO6DIoVF5hNLTHTzYd2PucIIrMjm
7Qji1E6Iqwv8USKpPSLMBGLGI1NsZpkx+99WAJRLE4AS1M8ICMDX6iuKBEeFJQ7C0Y8zwMHz3v+h
8pVeJYycwzntZ6YlLT2wir1rL1pmkdrRmLqUS5Ql3kNdnehwlt0XRWHM1yUkrtBP8f6FFhWub9E8
xLfqHHF78Z1v977reITU7k6Eh6n9a2i1hK2R5IvQl3r88X4Bz4jshiUUr6VJVo4ba1kWT1/cG1Me
BbP5AscjbR9IvYZzgbSkUr2D5TLM7kFN0/yWaZ9ARoM4s/01f9LzdyS1yWhgrx4QzpOacKSZZ/pO
PIGVRylGcIf6qR+TJIkuuX/oIyRh/c66D8j7wR1EyGjUfteiZq60/SlK8IVABeyFIJAJ4spAH7KX
NCGv964qtH6rMsfpwigmwhOMItf9h3eVXX9aewCwtXFG01vvBIK11/VS0esDjmv+AaWHmuNdSRL7
9p31FLcM3Q2ThUv38Gj1xZ8x6nSt8WjdI4xsBCMPjD4wP8fQnqxUeX3/x9x9I8ZNMkJOIrw5lJ2M
aZmFqALaocqypnosldHiAPZTMbfw1FFEafid72vTPbqtsLuSYUTbrOKhwGprhR3nSYc3sDn9ot0C
pwP7BT1t0qw2VbbiGRN2jJTSHjTtUsTgyWtMgmvx/caPxW8z5F+/ayK4SCXkUR2al1pVzJj2l2Uc
w/3ubVKDTpLHlnikDJS+FU1TCaZ5iSeuwFvOoQCwfQrSIDfkdUktxB8mKqAkMfghC3+Lq0FZqlDT
w0l6HLn6H+V/03vENa6yiNV6LrbyMj3iwAQgpNRuEPLuswmPi2mUX0MhcYQLL1xMPhGnYZ1c3JMr
Gd1I5scs2qiUZtDicXVvcAVm3KGE1hzE6stSkTcZxuNeGUc5cG17xCdVVjIg3F4YJ8pH5xfHPnrD
ldzyxPUqFza7pjcY/TiXJdio7XUIDmVPeIbJbCpj7kvxoi/RhC4uqANHCC3WsrZcVy2a+FUno/p+
SDA4OrYKPF2kCzgIdK0uoYW4k5yCsHc4um5hdf6OQHdH793jPM9vgIaZPX95T91oQz8mpQ9teoO5
xvxbcZfxg1Z6oFhx1rMuMpu63G4TomzDhiZiR7N3X4/jNpF8FBUFQMpMH9nRudcHy1BJiTMLbzyj
E7FLIbi/J7T9CSySamvZTR2mPAsoXposV9AN6g/Uhnz5kvC4h5bRwPHYu8/fhFfoY8dNVOmoqf7N
nMvNWclzF85R+8vQWyrGJMoZr0PNS52ORJHkL3sAPwEnJ0JT0jeRq5OoV9o/xUz7+/+aFalFo9SK
07B4wxTmeYUV1avlcPSn+0P0NLSfh6P1p1XWjA9ta8ic6b5fpW0W2l5ytrMd5E4/20ColuvtkujL
bPi1Woiwcy9XICf7CxDvQnCYPnMxafkcK+AB/UDBB0oA38vxpCXC5NHb7S7W4+2PiEEgCWVJIKHU
1NvLvVuApQdlPSb1JJQQIs7aUBo1nmFazYcDBexItncsAXDOppbmbgNVSBsKMV4y1Bg/oeRZC2Nh
cGmLi7/R+s3A8zYWWfznG/rQqyT/imDJ0kU5yDF53lz2IW4dsD6vDWxCF8BNeFqsVFRmw1pJ2fJb
uUvEtFJnjN/sMp1drq5Utnw4WIAlSQMQtvW63V1QOEzakhs2l7ugXwkLbJnWjMt3zNojUiGtMzPo
UncKEgbBhclB4LSHAeudVVGd75ui47dFZVTOqbIhOeCcack7n2x+up994r4YZl+JhyycUpcqEqhl
iLvkfxbQs7DnifY+p22sIydQryhpb/Qmm3pOIe1rGQOlwjrBiOBKfne6ONFiY4jdb/zvCZRAn1U5
VFWQ8CIAojAWU64sMJ7LdxU66cXNxJVwbZ+xgGQXqliftipBn9RtJMmxBa4uw/JiC2Mj810F7GkP
0B6DMi0L8kQmZ6CjgG1kckVSUS8TEbBLrbKlvFzjFcMR8uUitYTN88ftjeWox8hfgtF8EEEc8CHT
PWv976luPD13aFOdbuW0K3yfAN60IdR27VLU8lkli2MfinEnznEpcya50WlGqHFQK8wg5ONmrFG/
fQ8fi5UfG+fYvGdN9GVedNEaBnVg/KFugRaUKh/M55bS4Quo5daZIDBTQlqQvTXTPYyFTzKwnkUY
+jJjepH481/qFEiwjp2da7fdG4+4CAoMdFTHoOcADQYaJIhKxJvrvnkafQ+/aZn5LKUOkY83vade
xtX3s2bM3v0QAFuj+lkOLVADAcUE/U3oXFwCIlqesVnEeTsSeXhEWJB6SdYjxgWaFE89taekzvoh
mOApK/LM0gzZogFs21JCDFznjcbsmZwEJlVvtt5A3uISsFo2otjXbQf8srT/FKEr6bFkMVznpwRo
ITK5OD7HlX0Vh+YduLSHRAUPJJG0XOdwMCNh2wF1YQjVRnVH/XYBn3uYxZDUSw801PLyoxinl5LM
g+Tr7tRPrWor0tdwkXQSMNN6vf5WD4mcfUPvBLcymi+m5o4UUdr7MrlDpqR6VUKWH4ui5hJmzzqz
n0PrpoGoyumAefTUJBqCbjoh7nKkgsP3Idn/wvsyhluSeu0XTJ3coHGbaV/VLSlbMrzDY+FNCXs1
t2ZcJRGxaLYAB5fhUaBmoiK/ddqSsj+DpK6QyCPtLnEr9nkhFfZ99/DV94C1X8IpTDHOxPr+QCLS
6aStNQYpjz6QXH7+9Y6cUEKG95PhhRF4alqpJJkr2i+H0ja89aiF51Lpl8K42GGuOvjydNQeDOEP
6cFAamO4eRS7+0Vq+KcAxItuF8ZiXZDxqp2BWUZ4AFsvrcjYlrBomxR3fRN1+lAO8nuv77UsYeDq
9ISJbtp+bpw3DuOmnUmqCLWsPDc0ybZMd5oRQBMvh8ulnviPeZWwWaqWl0jKgCryoxmKiapr+2Uy
ApZp2FeGwktNj9P8poAWgiBJ+huhnpj3QdBdCUotRM2xMW7hU14nS9wyF7T75j9vrnYxIhEBFHeK
/XCs7E5MC3C7y3s0FUxflY5HUQ0mGYWfyVarGrM+7p7WmPHUu2mA4KnxNyG04DorEyO8XYgzdlJc
uPXW7HSic6UjRhejrKGVgwzbNBA7/tOJKWXkuP6u1F8jUZGRcum7OWZlqCJPxzKfii+qVJt4W1M1
kdrJLHRlSZkRLwvbYXvWFIKnTUjOJUp4ej9mYxwHEAhs1LVKj9N/WAQZHxH/2Kt3l8T/OA0PLqXg
U6w+4GIpxsuLHlswYBW/j+qn5Sw/tpD0L5y04/QvW5i7e/n6rk072Wl1epmU+YhQG5E/UDdbypEJ
dWJ5GHRsvIqx/+pWhR1Svdb6ehZ6ZIMpE+D8hcCGj7qXmeHkUm4Cd3diwyN1kc5uvJPyWAm/qBOm
gfQ8WnQGwLi/TFBDlA2w3ilGh6+YAFFnXXE7R6Dvq6zshKKtmChWOXK/q6U8KKAkJxnaJeY7rz9+
K6+UxOWsKbiEXqRXDdehujLq7ck4+BYM7dsnXOQEmuwYDgQW8w/V1j222YN6pa32GIMZStMgbSCC
kZkFSnf0oU9FPI4U8TAvBw6tOQn0sjh9Gj+Sz0LP4OZA/togckTbirMYukMPeQY0sen2Lp5EbMgH
ZTCWMNjBdSmRpmnDGxcu3VxbAzUhgmcbHuOqZUFLRCwaZDC4atj1llWr0Rdo6YN/9lDKfS8SjQzA
aCThX9c/6G87jfu9f+uUWiZ4gS+9Q49abP8uLr+V16maPDAQKz0uD2JsSBkF+6a9tydX1kgMG5DM
XtB+1j1JALavMgRlBlyoOlSdX7OTHCjcQJ7PLZS9OkmqNWYMmsR5KK3A+ZKHLZatTOXB1ykgLDX5
yDyOj3Bbj+g5wAAW3TBUgx6YsXYLMc81SUR+dqQd7agsH5jGpAkKyBgXJhJyw7XIGSX8mu7QJjoc
68+b19Bnm4GE2iduZAI72Vi3Ca0C+jIfPqlBMcrcSTPfJjR0Zo9FIjCnQiFNJG3ntjI5itkeczHB
vdmBHY7Bg1L0abyxoAnds4kPSDbuniMXIvbzeKmrL2PniwOBjmM64dr8MoS0pGvupcrARRZx1Rpg
yhQH2WttUsmgAB0X4PmdZeDyV24HK7OmWvMuNFC7AAQC2Ie/ym1ZOlEYXhsAYiJVU4DZXT05Xyh2
ZXSXl3gxRkubua/usAsPIoa0E91pu0WekZ2tq0VlCk0pibPJ+NUaeFLcfntxCQ0lWYiyxxuvedQt
jXxU61CmwvaosuTCQzqxDGJqQ1tqrXKc9oLUH1PIvJ10X1cNZGWNIILAFdQNTKWcHJHaHCGAYxQq
So2D/AMbPbvZVuJOMOe2/83+sL4JsYcDhUfEUMtUEYyasiK74PrEWjlQEFgwBP/jN7OHWwBbedsk
hCWty5GKi5517d18MjTBRnEO8EX/YhiC2B76tsTRcvjCBx6Lz39XM8mG/M1gRRkJ2TKV2f8VNZwo
d7cKM4Sgg1tkycKvHiwSSCz21ZVPW6ikoXwXq/RaBDwy8u/wJziASZC12ARUVyZupRVpZhP3qdwH
nJ+Ei+/V5ODy5aK25A6UKUF3iVyIrvFAIb0l1sMLBfgN1hzE2QQOWHh0XN+YHVATwMDVtVp6k9+g
9tqlBeCGUICHr/4sEW8miEWkXwu6YMJkeWUwjKkZs/uBbmb4QdCE6Y5cFKBvM8YRk4bn/gb/4HB0
A+WdibzgCHstcfkYbXWHNqgXf6MR3+yD0sKYvODnV62IvHbvhFR2DGaEMLcaANavkYkyovcHUNu7
gNnmwV4v0BMbRlAzAVcwrs2MU+yauHXbisPuEB6AmxcIQsKxV9eNTmujoWfHPmd1QcYjXsy/FtDk
LmjVsTeCT9CeevCENGJxzKzlteh/Zz6iahpA84NVuvdoOc+Y29gqN2BEMt7BuicPE1/5csomRW2L
XRaKWWQPvBKXXRnTLDq1PEha32Im9swuzBZ3AAeJnDHG
`protect end_protected
| gpl-3.0 | 07af93b87f449791bb3ebbdf4f60449a | 0.946917 | 1.838514 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/clk0_5Hz.vhd | 2 | 1,360 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/13 08:26:07
-- Nombre del módulo: clk0_5Hz - Behavioral
-- Comentarios adicionales:
-- Implementación mediante aproximación, a caso con escala ajustada par (de 100000000 a 100000000).
-- La frecuencia fue ajustada al entero más próximo.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk0_5Hz is
Port (
clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz.
reset : in STD_LOGIC;
clk_out : out STD_LOGIC -- Reloj de salida de 0.5Hz.
);
end clk0_5Hz;
architecture Behavioral of clk0_5Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 49999999 := 0;
begin
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = 49999999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral; | lgpl-2.1 | 039a198a58a4890c95a2838754acf8ca | 0.515441 | 4.023669 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/fifo_generator_v12_0_comps_builtin.vhd | 6 | 32,006 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952)
`protect data_block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`protect end_protected
| gpl-3.0 | 13a6b46c88af9f54755aa443234a9740 | 0.946323 | 1.842284 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/fifo_async_103x16_funcsim.vhdl | 2 | 235,213 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.3.1 (lin64) Build 1056140 Thu Oct 30 16:30:39 MDT 2014
-- Date : Wed Apr 8 20:38:45 2015
-- Host : parallella running 64-bit Ubuntu 14.04.2 LTS
-- Command : write_vhdl -force -mode funcsim
-- /home/aolofsson/Work_all/parallella-hw/fpga/vivado/junk/junk.srcs/sources_1/ip/fifo_async_103x16/fifo_async_103x16_funcsim.vhdl
-- Design : fifo_async_103x16
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_dmem is
port (
Q : out STD_LOGIC_VECTOR ( 102 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
ADDRA : in STD_LOGIC_VECTOR ( 3 downto 0 );
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_dmem : entity is "dmem";
end fifo_async_103x16_dmem;
architecture STRUCTURE of fifo_async_103x16_dmem is
signal p_0_out : STD_LOGIC_VECTOR ( 102 downto 0 );
signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_102_102_DOA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_RAM_reg_0_15_102_102_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_102_102_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_102_102_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(1 downto 0),
DIB(1 downto 0) => din(3 downto 2),
DIC(1 downto 0) => din(5 downto 4),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(1 downto 0),
DOB(1 downto 0) => p_0_out(3 downto 2),
DOC(1 downto 0) => p_0_out(5 downto 4),
DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_102_102: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1) => '0',
DIA(0) => din(102),
DIB(1) => '0',
DIB(0) => '0',
DIC(1) => '0',
DIC(0) => '0',
DID(1) => '0',
DID(0) => '0',
DOA(1) => NLW_RAM_reg_0_15_102_102_DOA_UNCONNECTED(1),
DOA(0) => p_0_out(102),
DOB(1 downto 0) => NLW_RAM_reg_0_15_102_102_DOB_UNCONNECTED(1 downto 0),
DOC(1 downto 0) => NLW_RAM_reg_0_15_102_102_DOC_UNCONNECTED(1 downto 0),
DOD(1 downto 0) => NLW_RAM_reg_0_15_102_102_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(13 downto 12),
DIB(1 downto 0) => din(15 downto 14),
DIC(1 downto 0) => din(17 downto 16),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(13 downto 12),
DOB(1 downto 0) => p_0_out(15 downto 14),
DOC(1 downto 0) => p_0_out(17 downto 16),
DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(19 downto 18),
DIB(1 downto 0) => din(21 downto 20),
DIC(1 downto 0) => din(23 downto 22),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(19 downto 18),
DOB(1 downto 0) => p_0_out(21 downto 20),
DOC(1 downto 0) => p_0_out(23 downto 22),
DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(25 downto 24),
DIB(1 downto 0) => din(27 downto 26),
DIC(1 downto 0) => din(29 downto 28),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(25 downto 24),
DOB(1 downto 0) => p_0_out(27 downto 26),
DOC(1 downto 0) => p_0_out(29 downto 28),
DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(31 downto 30),
DIB(1 downto 0) => din(33 downto 32),
DIC(1 downto 0) => din(35 downto 34),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(31 downto 30),
DOB(1 downto 0) => p_0_out(33 downto 32),
DOC(1 downto 0) => p_0_out(35 downto 34),
DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(37 downto 36),
DIB(1 downto 0) => din(39 downto 38),
DIC(1 downto 0) => din(41 downto 40),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(37 downto 36),
DOB(1 downto 0) => p_0_out(39 downto 38),
DOC(1 downto 0) => p_0_out(41 downto 40),
DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(43 downto 42),
DIB(1 downto 0) => din(45 downto 44),
DIC(1 downto 0) => din(47 downto 46),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(43 downto 42),
DOB(1 downto 0) => p_0_out(45 downto 44),
DOC(1 downto 0) => p_0_out(47 downto 46),
DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(49 downto 48),
DIB(1 downto 0) => din(51 downto 50),
DIC(1 downto 0) => din(53 downto 52),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(49 downto 48),
DOB(1 downto 0) => p_0_out(51 downto 50),
DOC(1 downto 0) => p_0_out(53 downto 52),
DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(55 downto 54),
DIB(1 downto 0) => din(57 downto 56),
DIC(1 downto 0) => din(59 downto 58),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(55 downto 54),
DOB(1 downto 0) => p_0_out(57 downto 56),
DOC(1 downto 0) => p_0_out(59 downto 58),
DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_60_65: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(61 downto 60),
DIB(1 downto 0) => din(63 downto 62),
DIC(1 downto 0) => din(65 downto 64),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(61 downto 60),
DOB(1 downto 0) => p_0_out(63 downto 62),
DOC(1 downto 0) => p_0_out(65 downto 64),
DOD(1 downto 0) => NLW_RAM_reg_0_15_60_65_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_66_71: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(67 downto 66),
DIB(1 downto 0) => din(69 downto 68),
DIC(1 downto 0) => din(71 downto 70),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(67 downto 66),
DOB(1 downto 0) => p_0_out(69 downto 68),
DOC(1 downto 0) => p_0_out(71 downto 70),
DOD(1 downto 0) => NLW_RAM_reg_0_15_66_71_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(7 downto 6),
DIB(1 downto 0) => din(9 downto 8),
DIC(1 downto 0) => din(11 downto 10),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(7 downto 6),
DOB(1 downto 0) => p_0_out(9 downto 8),
DOC(1 downto 0) => p_0_out(11 downto 10),
DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_72_77: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(73 downto 72),
DIB(1 downto 0) => din(75 downto 74),
DIC(1 downto 0) => din(77 downto 76),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(73 downto 72),
DOB(1 downto 0) => p_0_out(75 downto 74),
DOC(1 downto 0) => p_0_out(77 downto 76),
DOD(1 downto 0) => NLW_RAM_reg_0_15_72_77_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_78_83: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(79 downto 78),
DIB(1 downto 0) => din(81 downto 80),
DIC(1 downto 0) => din(83 downto 82),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(79 downto 78),
DOB(1 downto 0) => p_0_out(81 downto 80),
DOC(1 downto 0) => p_0_out(83 downto 82),
DOD(1 downto 0) => NLW_RAM_reg_0_15_78_83_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_84_89: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(85 downto 84),
DIB(1 downto 0) => din(87 downto 86),
DIC(1 downto 0) => din(89 downto 88),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(85 downto 84),
DOB(1 downto 0) => p_0_out(87 downto 86),
DOC(1 downto 0) => p_0_out(89 downto 88),
DOD(1 downto 0) => NLW_RAM_reg_0_15_84_89_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_90_95: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(91 downto 90),
DIB(1 downto 0) => din(93 downto 92),
DIC(1 downto 0) => din(95 downto 94),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(91 downto 90),
DOB(1 downto 0) => p_0_out(93 downto 92),
DOC(1 downto 0) => p_0_out(95 downto 94),
DOD(1 downto 0) => NLW_RAM_reg_0_15_90_95_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_15_96_101: unisim.vcomponents.RAM32M
port map (
ADDRA(4) => '0',
ADDRA(3 downto 0) => ADDRA(3 downto 0),
ADDRB(4) => '0',
ADDRB(3 downto 0) => ADDRA(3 downto 0),
ADDRC(4) => '0',
ADDRC(3 downto 0) => ADDRA(3 downto 0),
ADDRD(4) => '0',
ADDRD(3 downto 0) => O3(3 downto 0),
DIA(1 downto 0) => din(97 downto 96),
DIB(1 downto 0) => din(99 downto 98),
DIC(1 downto 0) => din(101 downto 100),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(97 downto 96),
DOB(1 downto 0) => p_0_out(99 downto 98),
DOC(1 downto 0) => p_0_out(101 downto 100),
DOD(1 downto 0) => NLW_RAM_reg_0_15_96_101_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
\gpr1.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(0),
Q => Q(0)
);
\gpr1.dout_i_reg[100]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(100),
Q => Q(100)
);
\gpr1.dout_i_reg[101]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(101),
Q => Q(101)
);
\gpr1.dout_i_reg[102]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(102),
Q => Q(102)
);
\gpr1.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(10),
Q => Q(10)
);
\gpr1.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(11),
Q => Q(11)
);
\gpr1.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(12),
Q => Q(12)
);
\gpr1.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(13),
Q => Q(13)
);
\gpr1.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(14),
Q => Q(14)
);
\gpr1.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(15),
Q => Q(15)
);
\gpr1.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(16),
Q => Q(16)
);
\gpr1.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(17),
Q => Q(17)
);
\gpr1.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(18),
Q => Q(18)
);
\gpr1.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(19),
Q => Q(19)
);
\gpr1.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(1),
Q => Q(1)
);
\gpr1.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(20),
Q => Q(20)
);
\gpr1.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(21),
Q => Q(21)
);
\gpr1.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(22),
Q => Q(22)
);
\gpr1.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(23),
Q => Q(23)
);
\gpr1.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(24),
Q => Q(24)
);
\gpr1.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(25),
Q => Q(25)
);
\gpr1.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(26),
Q => Q(26)
);
\gpr1.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(27),
Q => Q(27)
);
\gpr1.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(28),
Q => Q(28)
);
\gpr1.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(29),
Q => Q(29)
);
\gpr1.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(2),
Q => Q(2)
);
\gpr1.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(30),
Q => Q(30)
);
\gpr1.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(31),
Q => Q(31)
);
\gpr1.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(32),
Q => Q(32)
);
\gpr1.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(33),
Q => Q(33)
);
\gpr1.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(34),
Q => Q(34)
);
\gpr1.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(35),
Q => Q(35)
);
\gpr1.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(36),
Q => Q(36)
);
\gpr1.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(37),
Q => Q(37)
);
\gpr1.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(38),
Q => Q(38)
);
\gpr1.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(39),
Q => Q(39)
);
\gpr1.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(3),
Q => Q(3)
);
\gpr1.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(40),
Q => Q(40)
);
\gpr1.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(41),
Q => Q(41)
);
\gpr1.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(42),
Q => Q(42)
);
\gpr1.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(43),
Q => Q(43)
);
\gpr1.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(44),
Q => Q(44)
);
\gpr1.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(45),
Q => Q(45)
);
\gpr1.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(46),
Q => Q(46)
);
\gpr1.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(47),
Q => Q(47)
);
\gpr1.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(48),
Q => Q(48)
);
\gpr1.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(49),
Q => Q(49)
);
\gpr1.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(4),
Q => Q(4)
);
\gpr1.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(50),
Q => Q(50)
);
\gpr1.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(51),
Q => Q(51)
);
\gpr1.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(52),
Q => Q(52)
);
\gpr1.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(53),
Q => Q(53)
);
\gpr1.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(54),
Q => Q(54)
);
\gpr1.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(55),
Q => Q(55)
);
\gpr1.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(56),
Q => Q(56)
);
\gpr1.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(57),
Q => Q(57)
);
\gpr1.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(58),
Q => Q(58)
);
\gpr1.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(59),
Q => Q(59)
);
\gpr1.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(5),
Q => Q(5)
);
\gpr1.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(60),
Q => Q(60)
);
\gpr1.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(61),
Q => Q(61)
);
\gpr1.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(62),
Q => Q(62)
);
\gpr1.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(63),
Q => Q(63)
);
\gpr1.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(64),
Q => Q(64)
);
\gpr1.dout_i_reg[65]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(65),
Q => Q(65)
);
\gpr1.dout_i_reg[66]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(66),
Q => Q(66)
);
\gpr1.dout_i_reg[67]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(67),
Q => Q(67)
);
\gpr1.dout_i_reg[68]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(68),
Q => Q(68)
);
\gpr1.dout_i_reg[69]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(69),
Q => Q(69)
);
\gpr1.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(6),
Q => Q(6)
);
\gpr1.dout_i_reg[70]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(70),
Q => Q(70)
);
\gpr1.dout_i_reg[71]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(71),
Q => Q(71)
);
\gpr1.dout_i_reg[72]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(72),
Q => Q(72)
);
\gpr1.dout_i_reg[73]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(73),
Q => Q(73)
);
\gpr1.dout_i_reg[74]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(74),
Q => Q(74)
);
\gpr1.dout_i_reg[75]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(75),
Q => Q(75)
);
\gpr1.dout_i_reg[76]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(76),
Q => Q(76)
);
\gpr1.dout_i_reg[77]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(77),
Q => Q(77)
);
\gpr1.dout_i_reg[78]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(78),
Q => Q(78)
);
\gpr1.dout_i_reg[79]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(79),
Q => Q(79)
);
\gpr1.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(7),
Q => Q(7)
);
\gpr1.dout_i_reg[80]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(80),
Q => Q(80)
);
\gpr1.dout_i_reg[81]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(81),
Q => Q(81)
);
\gpr1.dout_i_reg[82]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(82),
Q => Q(82)
);
\gpr1.dout_i_reg[83]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(83),
Q => Q(83)
);
\gpr1.dout_i_reg[84]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(84),
Q => Q(84)
);
\gpr1.dout_i_reg[85]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(85),
Q => Q(85)
);
\gpr1.dout_i_reg[86]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(86),
Q => Q(86)
);
\gpr1.dout_i_reg[87]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(87),
Q => Q(87)
);
\gpr1.dout_i_reg[88]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(88),
Q => Q(88)
);
\gpr1.dout_i_reg[89]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(89),
Q => Q(89)
);
\gpr1.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(8),
Q => Q(8)
);
\gpr1.dout_i_reg[90]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(90),
Q => Q(90)
);
\gpr1.dout_i_reg[91]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(91),
Q => Q(91)
);
\gpr1.dout_i_reg[92]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(92),
Q => Q(92)
);
\gpr1.dout_i_reg[93]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(93),
Q => Q(93)
);
\gpr1.dout_i_reg[94]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(94),
Q => Q(94)
);
\gpr1.dout_i_reg[95]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(95),
Q => Q(95)
);
\gpr1.dout_i_reg[96]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(96),
Q => Q(96)
);
\gpr1.dout_i_reg[97]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(97),
Q => Q(97)
);
\gpr1.dout_i_reg[98]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(98),
Q => Q(98)
);
\gpr1.dout_i_reg[99]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(99),
Q => Q(99)
);
\gpr1.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(9),
Q => Q(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
O1 : out STD_LOGIC;
I6 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 2 downto 0 );
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_bin_cntr : entity is "rd_bin_cntr";
end fifo_async_103x16_rd_bin_cntr;
architecture STRUCTURE of fifo_async_103x16_rd_bin_cntr is
signal \^i6\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^o2\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal n_0_ram_empty_fb_i_i_2 : STD_LOGIC;
signal n_0_ram_empty_fb_i_i_3 : STD_LOGIC;
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of ram_empty_fb_i_i_2 : label is "soft_lutpair9";
attribute SOFT_HLUTNM of ram_empty_fb_i_i_3 : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_gc[0]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair9";
begin
I6(3 downto 0) <= \^i6\(3 downto 0);
O2(2 downto 0) <= \^o2\(2 downto 0);
Q(3 downto 0) <= \^q\(3 downto 0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => \plusOp__0\(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => \^q\(1),
O => \plusOp__0\(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^q\(1),
I1 => \^q\(0),
I2 => \^q\(2),
O => \plusOp__0\(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => \^q\(2),
I1 => \^q\(0),
I2 => \^q\(1),
I3 => \^q\(3),
O => \plusOp__0\(3)
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(0),
Q => \^o2\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(1),
Q => \^o2\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(2),
Q => \^o2\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(3),
Q => \^i6\(3)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => E(0),
D => \plusOp__0\(0),
PRE => I3(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__0\(1),
Q => \^q\(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__0\(2),
Q => \^q\(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__0\(3),
Q => \^q\(3)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F888"
)
port map (
I0 => n_0_ram_empty_fb_i_i_2,
I1 => n_0_ram_empty_fb_i_i_3,
I2 => I1,
I3 => I2,
O => O1
);
ram_empty_fb_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^o2\(2),
I1 => O3(2),
I2 => \^i6\(3),
I3 => O3(3),
O => n_0_ram_empty_fb_i_i_2
);
ram_empty_fb_i_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^o2\(0),
I1 => O3(0),
I2 => \^o2\(1),
I3 => O3(1),
O => n_0_ram_empty_fb_i_i_3
);
\rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(0),
I1 => \^o2\(1),
O => \^i6\(0)
);
\rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(1),
I1 => \^o2\(2),
O => \^i6\(1)
);
\rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(2),
I1 => \^i6\(3),
O => \^i6\(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_fwft is
port (
empty : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC;
O2 : out STD_LOGIC_VECTOR ( 0 to 0 );
O4 : out STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_en : in STD_LOGIC;
p_18_out : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_fwft : entity is "rd_fwft";
end fifo_async_103x16_rd_fwft;
architecture STRUCTURE of fifo_async_103x16_rd_fwft is
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal \n_0_gpregsm1.curr_fwft_state_reg[1]\ : STD_LOGIC;
signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair4";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[3]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \goreg_dm.dout_i[102]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \gpr1.dout_i[102]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[0]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair5";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
begin
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F540"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => empty_fwft_fb,
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty
);
\gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => O2(0)
);
\goreg_dm.dout_i[102]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D0"
)
port map (
I0 => curr_fwft_state(0),
I1 => rd_en,
I2 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
O => E(0)
);
\gpr1.dout_i[102]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => O4(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AE"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => curr_fwft_state(0),
I2 => rd_en,
O => next_fwft_state(0)
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"20FF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => next_fwft_state(1)
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(0),
Q => curr_fwft_state(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(1),
Q => \n_0_gpregsm1.curr_fwft_state_reg[1]\
);
ram_empty_fb_i_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"00DF0000000000DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
I4 => O3(0),
I5 => I1(0),
O => O1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_status_flags_as is
port (
p_18_out : out STD_LOGIC;
I1 : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_status_flags_as : entity is "rd_status_flags_as";
end fifo_async_103x16_rd_status_flags_as;
architecture STRUCTURE of fifo_async_103x16_rd_status_flags_as is
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => I1,
PRE => Q(0),
Q => p_18_out
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_reset_blk_ramfifo is
port (
rst_d2 : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
O1 : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end fifo_async_103x16_reset_blk_ramfifo;
architecture STRUCTURE of fifo_async_103x16_reset_blk_ramfifo is
signal \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\ : STD_LOGIC;
signal \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d1 : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
signal \^rst_d2\ : STD_LOGIC;
signal rst_d3 : STD_LOGIC;
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d1 : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_d2 <= \^rst_d2\;
\grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => rst,
D => rst_d3,
Q => rst_full_gen_i
);
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => \^rst_d2\
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \^rst_d2\,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg,
Q => rd_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg_d1,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => rd_clk,
CE => rd_rst_asreg_d1,
D => '0',
PRE => rst,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(2)
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg,
Q => wr_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg_d1,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => wr_clk,
CE => wr_rst_asreg_d1,
D => '0',
PRE => rst,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => O1(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => O1(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff is
port (
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(3),
Q => Q(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff_0 is
port (
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff_0 : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff_0;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff_0 is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(3),
Q => Q(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff_1 is
port (
p_0_in : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff_1 : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff_1;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff_1 is
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
signal \^p_0_in\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \wr_pntr_bin[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_bin[1]_i_1\ : label is "soft_lutpair0";
begin
p_0_in(3 downto 0) <= \^p_0_in\(3 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(3),
Q => \^p_0_in\(3)
);
\wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[1]\,
I1 => \n_0_Q_reg_reg[0]\,
I2 => \^p_0_in\(3),
I3 => \n_0_Q_reg_reg[2]\,
O => \^p_0_in\(0)
);
\wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \^p_0_in\(3),
O => \^p_0_in\(1)
);
\wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \^p_0_in\(3),
O => \^p_0_in\(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_synchronizer_ff_2 is
port (
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 2 downto 0 );
D : in STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_synchronizer_ff_2 : entity is "synchronizer_ff";
end fifo_async_103x16_synchronizer_ff_2;
architecture STRUCTURE of fifo_async_103x16_synchronizer_ff_2 is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_bin[0]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \rd_pntr_bin[1]_i_1\ : label is "soft_lutpair1";
begin
Q(0) <= \^q\(0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(3),
Q => \^q\(0)
);
\rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[1]\,
I1 => \n_0_Q_reg_reg[0]\,
I2 => \^q\(0),
I3 => \n_0_Q_reg_reg[2]\,
O => O1(0)
);
\rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \^q\(0),
O => O1(1)
);
\rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \^q\(0),
O => O1(2)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : out STD_LOGIC_VECTOR ( 1 downto 0 );
ram_full_i : out STD_LOGIC;
O3 : out STD_LOGIC_VECTOR ( 3 downto 0 );
wr_en : in STD_LOGIC;
p_1_out : in STD_LOGIC;
O1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
wr_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_bin_cntr : entity is "wr_bin_cntr";
end fifo_async_103x16_wr_bin_cntr;
architecture STRUCTURE of fifo_async_103x16_wr_bin_cntr is
signal \^o2\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 2 downto 0 );
signal \n_0_gdiff.diff_pntr_pad[4]_i_2\ : STD_LOGIC;
signal \n_0_gdiff.diff_pntr_pad[4]_i_3\ : STD_LOGIC;
signal n_0_ram_full_i_i_2 : STD_LOGIC;
signal n_0_ram_full_i_i_3 : STD_LOGIC;
signal p_8_out : STD_LOGIC_VECTOR ( 3 to 3 );
signal \plusOp__1\ : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gdiff.diff_pntr_pad[4]_i_2\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair10";
attribute SOFT_HLUTNM of ram_full_i_i_2 : label is "soft_lutpair11";
begin
O2(3 downto 0) <= \^o2\(3 downto 0);
Q(2 downto 0) <= \^q\(2 downto 0);
\gdiff.diff_pntr_pad[2]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"9699999966669699"
)
port map (
I0 => \^q\(1),
I1 => O1(1),
I2 => p_1_out,
I3 => wr_en,
I4 => \^q\(0),
I5 => O1(0),
O => D(0)
);
\gdiff.diff_pntr_pad[4]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"9999669969996669"
)
port map (
I0 => p_8_out(3),
I1 => O1(3),
I2 => \n_0_gdiff.diff_pntr_pad[4]_i_2\,
I3 => \^q\(2),
I4 => O1(2),
I5 => \n_0_gdiff.diff_pntr_pad[4]_i_3\,
O => D(1)
);
\gdiff.diff_pntr_pad[4]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^q\(1),
I1 => O1(1),
O => \n_0_gdiff.diff_pntr_pad[4]_i_2\
);
\gdiff.diff_pntr_pad[4]_i_3\: unisim.vcomponents.LUT6
generic map(
INIT => X"DD0DFF0F0000DD0D"
)
port map (
I0 => wr_en,
I1 => p_1_out,
I2 => \^q\(1),
I3 => O1(1),
I4 => \^q\(0),
I5 => O1(0),
O => \n_0_gdiff.diff_pntr_pad[4]_i_3\
);
\gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^o2\(0),
O => \plusOp__1\(0)
);
\gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(0),
I1 => \^o2\(1),
O => \plusOp__1\(1)
);
\gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"6A"
)
port map (
I0 => \^o2\(2),
I1 => \^o2\(1),
I2 => \^o2\(0),
O => \plusOp__1\(2)
);
\gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => \^o2\(3),
I1 => \^o2\(0),
I2 => \^o2\(1),
I3 => \^o2\(2),
O => \plusOp__1\(3)
);
\gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \^o2\(0),
PRE => I3(0),
Q => \^q\(0)
);
\gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o2\(1),
Q => \^q\(1)
);
\gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o2\(2),
Q => \^q\(2)
);
\gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o2\(3),
Q => p_8_out(3)
);
\gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(0),
Q => O3(0)
);
\gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(1),
Q => O3(1)
);
\gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(2),
Q => O3(2)
);
\gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => p_8_out(3),
Q => O3(3)
);
\gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(0),
Q => \^o2\(0)
);
\gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \plusOp__1\(1),
PRE => I3(0),
Q => \^o2\(1)
);
\gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(2),
Q => \^o2\(2)
);
\gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(3),
Q => \^o2\(3)
);
ram_full_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"00000000111111F1"
)
port map (
I0 => n_0_ram_full_i_i_2,
I1 => n_0_ram_full_i_i_3,
I2 => E(0),
I3 => I1,
I4 => I2,
I5 => rst_full_gen_i,
O => ram_full_i
);
ram_full_i_i_2: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^q\(1),
I1 => O1(1),
I2 => \^q\(2),
I3 => O1(2),
O => n_0_ram_full_i_i_2
);
ram_full_i_i_3: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => p_8_out(3),
I1 => O1(3),
I2 => O1(0),
I3 => \^q\(0),
O => n_0_ram_full_i_i_3
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_pf_as is
port (
prog_full : out STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
p_1_out : in STD_LOGIC;
D : in STD_LOGIC_VECTOR ( 2 downto 0 );
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_pf_as : entity is "wr_pf_as";
end fifo_async_103x16_wr_pf_as;
architecture STRUCTURE of fifo_async_103x16_wr_pf_as is
signal diff_pntr : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \n_0_gpf1.prog_full_i_i_1\ : STD_LOGIC;
signal \^prog_full\ : STD_LOGIC;
begin
prog_full <= \^prog_full\;
\gdiff.diff_pntr_pad_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I3(0),
D => D(0),
Q => diff_pntr(1)
);
\gdiff.diff_pntr_pad_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I3(0),
D => D(1),
Q => diff_pntr(2)
);
\gdiff.diff_pntr_pad_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I3(0),
D => D(2),
Q => diff_pntr(3)
);
\gpf1.prog_full_i_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"00FF00E0000000E0"
)
port map (
I0 => diff_pntr(1),
I1 => diff_pntr(2),
I2 => diff_pntr(3),
I3 => rst_full_gen_i,
I4 => p_1_out,
I5 => \^prog_full\,
O => \n_0_gpf1.prog_full_i_i_1\
);
\gpf1.prog_full_i_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \n_0_gpf1.prog_full_i_i_1\,
PRE => rst_d2,
Q => \^prog_full\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_status_flags_as is
port (
full : out STD_LOGIC;
p_1_out : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
ram_full_i : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_status_flags_as : entity is "wr_status_flags_as";
end fifo_async_103x16_wr_status_flags_as;
architecture STRUCTURE of fifo_async_103x16_wr_status_flags_as is
signal \^p_1_out\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
p_1_out <= \^p_1_out\;
\gic0.gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => \^p_1_out\,
O => E(0)
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_d2,
Q => \^p_1_out\
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => ram_full_i,
PRE => rst_d2,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_clk_x_pntrs is
port (
D : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O2 : out STD_LOGIC;
O3 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O4 : out STD_LOGIC;
O5 : out STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 2 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC_VECTOR ( 2 downto 0 );
I2 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I3 : in STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 );
I6 : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_clk_x_pntrs : entity is "clk_x_pntrs";
end fifo_async_103x16_clk_x_pntrs;
architecture STRUCTURE of fifo_async_103x16_clk_x_pntrs is
signal \^o1\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^o3\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \n_0_gdiff.diff_pntr_pad[3]_i_2\ : STD_LOGIC;
signal \n_0_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_0_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_0_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_0_in2_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal rd_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 );
signal wr_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gdiff.diff_pntr_pad[3]_i_2\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of ram_full_i_i_5 : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair3";
begin
O1(3 downto 0) <= \^o1\(3 downto 0);
O3(3 downto 0) <= \^o3\(3 downto 0);
\gdiff.diff_pntr_pad[3]_i_1\: unisim.vcomponents.LUT6
generic map(
INIT => X"9A5959599A9A9A59"
)
port map (
I0 => \n_0_gdiff.diff_pntr_pad[3]_i_2\,
I1 => Q(1),
I2 => \^o1\(1),
I3 => E(0),
I4 => Q(0),
I5 => \^o1\(0),
O => D(0)
);
\gdiff.diff_pntr_pad[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o1\(2),
I1 => Q(2),
O => \n_0_gdiff.diff_pntr_pad[3]_i_2\
);
\gsync_stage[1].rd_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff
port map (
I1(3 downto 0) => wr_pntr_gc(3 downto 0),
I5(0) => I5(0),
Q(3) => \n_0_gsync_stage[1].rd_stg_inst\,
Q(2) => \n_1_gsync_stage[1].rd_stg_inst\,
Q(1) => \n_2_gsync_stage[1].rd_stg_inst\,
Q(0) => \n_3_gsync_stage[1].rd_stg_inst\,
rd_clk => rd_clk
);
\gsync_stage[1].wr_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff_0
port map (
I1(3 downto 0) => rd_pntr_gc(3 downto 0),
I4(0) => I4(0),
Q(3) => \n_0_gsync_stage[1].wr_stg_inst\,
Q(2) => \n_1_gsync_stage[1].wr_stg_inst\,
Q(1) => \n_2_gsync_stage[1].wr_stg_inst\,
Q(0) => \n_3_gsync_stage[1].wr_stg_inst\,
wr_clk => wr_clk
);
\gsync_stage[2].rd_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff_1
port map (
D(3) => \n_0_gsync_stage[1].rd_stg_inst\,
D(2) => \n_1_gsync_stage[1].rd_stg_inst\,
D(1) => \n_2_gsync_stage[1].rd_stg_inst\,
D(0) => \n_3_gsync_stage[1].rd_stg_inst\,
I5(0) => I5(0),
p_0_in(3 downto 0) => p_0_in(3 downto 0),
rd_clk => rd_clk
);
\gsync_stage[2].wr_stg_inst\: entity work.fifo_async_103x16_synchronizer_ff_2
port map (
D(3) => \n_0_gsync_stage[1].wr_stg_inst\,
D(2) => \n_1_gsync_stage[1].wr_stg_inst\,
D(1) => \n_2_gsync_stage[1].wr_stg_inst\,
D(0) => \n_3_gsync_stage[1].wr_stg_inst\,
I4(0) => I4(0),
O1(2) => \n_1_gsync_stage[2].wr_stg_inst\,
O1(1) => \n_2_gsync_stage[2].wr_stg_inst\,
O1(0) => \n_3_gsync_stage[2].wr_stg_inst\,
Q(0) => \n_0_gsync_stage[2].wr_stg_inst\,
wr_clk => wr_clk
);
ram_empty_fb_i_i_4: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \^o3\(2),
I1 => I1(2),
I2 => \^o3\(1),
I3 => I1(1),
I4 => I1(0),
I5 => \^o3\(0),
O => O2
);
ram_full_i_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^o1\(1),
I1 => I2(1),
I2 => \^o1\(0),
I3 => I2(0),
O => O4
);
ram_full_i_i_5: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^o1\(3),
I1 => I2(3),
I2 => \^o1\(2),
I3 => I2(2),
O => O5
);
\rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_3_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(0)
);
\rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_2_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(1)
);
\rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_1_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(2)
);
\rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_0_gsync_stage[2].wr_stg_inst\,
Q => \^o1\(3)
);
\rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(0),
Q => rd_pntr_gc(0)
);
\rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(1),
Q => rd_pntr_gc(1)
);
\rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(2),
Q => rd_pntr_gc(2)
);
\rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I6(3),
Q => rd_pntr_gc(3)
);
\wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(0),
Q => \^o3\(0)
);
\wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(1),
Q => \^o3\(1)
);
\wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(2),
Q => \^o3\(2)
);
\wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(3),
Q => \^o3\(3)
);
\wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(0),
I1 => I3(1),
O => p_0_in2_out(0)
);
\wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(1),
I1 => I3(2),
O => p_0_in2_out(1)
);
\wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(2),
I1 => I3(3),
O => p_0_in2_out(2)
);
\wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in2_out(0),
Q => wr_pntr_gc(0)
);
\wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in2_out(1),
Q => wr_pntr_gc(1)
);
\wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in2_out(2),
Q => wr_pntr_gc(2)
);
\wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I3(3),
Q => wr_pntr_gc(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_memory is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
ADDRA : in STD_LOGIC_VECTOR ( 3 downto 0 );
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I2 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_memory : entity is "memory";
end fifo_async_103x16_memory;
architecture STRUCTURE of fifo_async_103x16_memory is
signal p_0_out : STD_LOGIC_VECTOR ( 102 downto 0 );
begin
\gdm.dm\: entity work.fifo_async_103x16_dmem
port map (
ADDRA(3 downto 0) => ADDRA(3 downto 0),
E(0) => E(0),
I1(0) => Q(0),
I2(0) => I1(0),
O3(3 downto 0) => O3(3 downto 0),
Q(102 downto 0) => p_0_out(102 downto 0),
din(102 downto 0) => din(102 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
\goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(0),
Q => dout(0)
);
\goreg_dm.dout_i_reg[100]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(100),
Q => dout(100)
);
\goreg_dm.dout_i_reg[101]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(101),
Q => dout(101)
);
\goreg_dm.dout_i_reg[102]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(102),
Q => dout(102)
);
\goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(10),
Q => dout(10)
);
\goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(11),
Q => dout(11)
);
\goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(12),
Q => dout(12)
);
\goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(13),
Q => dout(13)
);
\goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(14),
Q => dout(14)
);
\goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(15),
Q => dout(15)
);
\goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(16),
Q => dout(16)
);
\goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(17),
Q => dout(17)
);
\goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(18),
Q => dout(18)
);
\goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(19),
Q => dout(19)
);
\goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(1),
Q => dout(1)
);
\goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(20),
Q => dout(20)
);
\goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(21),
Q => dout(21)
);
\goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(22),
Q => dout(22)
);
\goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(23),
Q => dout(23)
);
\goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(24),
Q => dout(24)
);
\goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(25),
Q => dout(25)
);
\goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(26),
Q => dout(26)
);
\goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(27),
Q => dout(27)
);
\goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(28),
Q => dout(28)
);
\goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(29),
Q => dout(29)
);
\goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(2),
Q => dout(2)
);
\goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(30),
Q => dout(30)
);
\goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(31),
Q => dout(31)
);
\goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(32),
Q => dout(32)
);
\goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(33),
Q => dout(33)
);
\goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(34),
Q => dout(34)
);
\goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(35),
Q => dout(35)
);
\goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(36),
Q => dout(36)
);
\goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(37),
Q => dout(37)
);
\goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(38),
Q => dout(38)
);
\goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(39),
Q => dout(39)
);
\goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(3),
Q => dout(3)
);
\goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(40),
Q => dout(40)
);
\goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(41),
Q => dout(41)
);
\goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(42),
Q => dout(42)
);
\goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(43),
Q => dout(43)
);
\goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(44),
Q => dout(44)
);
\goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(45),
Q => dout(45)
);
\goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(46),
Q => dout(46)
);
\goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(47),
Q => dout(47)
);
\goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(48),
Q => dout(48)
);
\goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(49),
Q => dout(49)
);
\goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(4),
Q => dout(4)
);
\goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(50),
Q => dout(50)
);
\goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(51),
Q => dout(51)
);
\goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(52),
Q => dout(52)
);
\goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(53),
Q => dout(53)
);
\goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(54),
Q => dout(54)
);
\goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(55),
Q => dout(55)
);
\goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(56),
Q => dout(56)
);
\goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(57),
Q => dout(57)
);
\goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(58),
Q => dout(58)
);
\goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(59),
Q => dout(59)
);
\goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(5),
Q => dout(5)
);
\goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(60),
Q => dout(60)
);
\goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(61),
Q => dout(61)
);
\goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(62),
Q => dout(62)
);
\goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(63),
Q => dout(63)
);
\goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(64),
Q => dout(64)
);
\goreg_dm.dout_i_reg[65]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(65),
Q => dout(65)
);
\goreg_dm.dout_i_reg[66]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(66),
Q => dout(66)
);
\goreg_dm.dout_i_reg[67]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(67),
Q => dout(67)
);
\goreg_dm.dout_i_reg[68]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(68),
Q => dout(68)
);
\goreg_dm.dout_i_reg[69]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(69),
Q => dout(69)
);
\goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(6),
Q => dout(6)
);
\goreg_dm.dout_i_reg[70]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(70),
Q => dout(70)
);
\goreg_dm.dout_i_reg[71]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(71),
Q => dout(71)
);
\goreg_dm.dout_i_reg[72]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(72),
Q => dout(72)
);
\goreg_dm.dout_i_reg[73]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(73),
Q => dout(73)
);
\goreg_dm.dout_i_reg[74]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(74),
Q => dout(74)
);
\goreg_dm.dout_i_reg[75]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(75),
Q => dout(75)
);
\goreg_dm.dout_i_reg[76]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(76),
Q => dout(76)
);
\goreg_dm.dout_i_reg[77]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(77),
Q => dout(77)
);
\goreg_dm.dout_i_reg[78]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(78),
Q => dout(78)
);
\goreg_dm.dout_i_reg[79]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(79),
Q => dout(79)
);
\goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(7),
Q => dout(7)
);
\goreg_dm.dout_i_reg[80]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(80),
Q => dout(80)
);
\goreg_dm.dout_i_reg[81]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(81),
Q => dout(81)
);
\goreg_dm.dout_i_reg[82]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(82),
Q => dout(82)
);
\goreg_dm.dout_i_reg[83]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(83),
Q => dout(83)
);
\goreg_dm.dout_i_reg[84]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(84),
Q => dout(84)
);
\goreg_dm.dout_i_reg[85]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(85),
Q => dout(85)
);
\goreg_dm.dout_i_reg[86]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(86),
Q => dout(86)
);
\goreg_dm.dout_i_reg[87]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(87),
Q => dout(87)
);
\goreg_dm.dout_i_reg[88]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(88),
Q => dout(88)
);
\goreg_dm.dout_i_reg[89]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(89),
Q => dout(89)
);
\goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(8),
Q => dout(8)
);
\goreg_dm.dout_i_reg[90]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(90),
Q => dout(90)
);
\goreg_dm.dout_i_reg[91]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(91),
Q => dout(91)
);
\goreg_dm.dout_i_reg[92]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(92),
Q => dout(92)
);
\goreg_dm.dout_i_reg[93]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(93),
Q => dout(93)
);
\goreg_dm.dout_i_reg[94]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(94),
Q => dout(94)
);
\goreg_dm.dout_i_reg[95]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(95),
Q => dout(95)
);
\goreg_dm.dout_i_reg[96]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(96),
Q => dout(96)
);
\goreg_dm.dout_i_reg[97]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(97),
Q => dout(97)
);
\goreg_dm.dout_i_reg[98]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(98),
Q => dout(98)
);
\goreg_dm.dout_i_reg[99]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(99),
Q => dout(99)
);
\goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(9),
Q => dout(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_rd_logic is
port (
empty : out STD_LOGIC;
O1 : out STD_LOGIC_VECTOR ( 2 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O2 : out STD_LOGIC_VECTOR ( 0 to 0 );
I6 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O4 : out STD_LOGIC_VECTOR ( 2 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_en : in STD_LOGIC;
I1 : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_rd_logic : entity is "rd_logic";
end fifo_async_103x16_rd_logic;
architecture STRUCTURE of fifo_async_103x16_rd_logic is
signal \n_2_gr1.rfwft\ : STD_LOGIC;
signal n_4_rpntr : STD_LOGIC;
signal p_14_out : STD_LOGIC;
signal p_18_out : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 );
begin
\gr1.rfwft\: entity work.fifo_async_103x16_rd_fwft
port map (
E(0) => E(0),
I1(0) => rd_pntr_plus1(3),
O1 => \n_2_gr1.rfwft\,
O2(0) => p_14_out,
O3(0) => O3(3),
O4(0) => O2(0),
Q(0) => Q(0),
empty => empty,
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_en => rd_en
);
\gras.rsts\: entity work.fifo_async_103x16_rd_status_flags_as
port map (
I1 => n_4_rpntr,
Q(0) => Q(0),
p_18_out => p_18_out,
rd_clk => rd_clk
);
rpntr: entity work.fifo_async_103x16_rd_bin_cntr
port map (
E(0) => p_14_out,
I1 => I1,
I2 => \n_2_gr1.rfwft\,
I3(0) => Q(0),
I6(3 downto 0) => I6(3 downto 0),
O1 => n_4_rpntr,
O2(2 downto 0) => O4(2 downto 0),
O3(3 downto 0) => O3(3 downto 0),
Q(3) => rd_pntr_plus1(3),
Q(2 downto 0) => O1(2 downto 0),
rd_clk => rd_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_wr_logic is
port (
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 3 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O3 : out STD_LOGIC_VECTOR ( 3 downto 0 );
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
wr_en : in STD_LOGIC;
O1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 );
D : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_wr_logic : entity is "wr_logic";
end fifo_async_103x16_wr_logic;
architecture STRUCTURE of fifo_async_103x16_wr_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal p_1_out : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 4 downto 2 );
signal ram_full_i : STD_LOGIC;
begin
E(0) <= \^e\(0);
\gwas.gpf.wrpf\: entity work.fifo_async_103x16_wr_pf_as
port map (
D(2) => plusOp(4),
D(1) => D(0),
D(0) => plusOp(2),
I3(0) => I3(0),
p_1_out => p_1_out,
prog_full => prog_full,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
\gwas.wsts\: entity work.fifo_async_103x16_wr_status_flags_as
port map (
E(0) => \^e\(0),
full => full,
p_1_out => p_1_out,
ram_full_i => ram_full_i,
rst_d2 => rst_d2,
wr_clk => wr_clk,
wr_en => wr_en
);
wpntr: entity work.fifo_async_103x16_wr_bin_cntr
port map (
D(1) => plusOp(4),
D(0) => plusOp(2),
E(0) => \^e\(0),
I1 => I1,
I2 => I2,
I3(0) => I3(0),
O1(3 downto 0) => O1(3 downto 0),
O2(3 downto 0) => O2(3 downto 0),
O3(3 downto 0) => O3(3 downto 0),
Q(2 downto 0) => Q(2 downto 0),
p_1_out => p_1_out,
ram_full_i => ram_full_i,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_fifo_generator_ramfifo is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end fifo_async_103x16_fifo_generator_ramfifo;
architecture STRUCTURE of fifo_async_103x16_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal WR_RST : STD_LOGIC;
signal \n_0_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal \n_10_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal \n_11_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal n_2_rstblk : STD_LOGIC;
signal \n_5_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal \n_7_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal \n_8_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal \n_9_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_15_out : STD_LOGIC;
signal p_1_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_20_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal p_3_out : STD_LOGIC;
signal p_8_out : STD_LOGIC_VECTOR ( 2 downto 0 );
signal p_9_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal ram_rd_en_i : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 );
signal rd_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_d2 : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
\gntv_or_sync_fifo.gcx.clkx\: entity work.fifo_async_103x16_clk_x_pntrs
port map (
D(0) => \n_0_gntv_or_sync_fifo.gcx.clkx\,
E(0) => p_3_out,
I1(2 downto 0) => rd_pntr_plus1(2 downto 0),
I2(3 downto 0) => wr_pntr_plus2(3 downto 0),
I3(3 downto 0) => p_9_out(3 downto 0),
I4(0) => \^rst\,
I5(0) => RD_RST,
I6(3) => p_20_out(3),
I6(2) => \n_7_gntv_or_sync_fifo.gl0.rd\,
I6(1) => \n_8_gntv_or_sync_fifo.gl0.rd\,
I6(0) => \n_9_gntv_or_sync_fifo.gl0.rd\,
O1(3 downto 0) => p_0_out(3 downto 0),
O2 => \n_5_gntv_or_sync_fifo.gcx.clkx\,
O3(3 downto 0) => p_1_out(3 downto 0),
O4 => \n_10_gntv_or_sync_fifo.gcx.clkx\,
O5 => \n_11_gntv_or_sync_fifo.gcx.clkx\,
Q(2 downto 0) => p_8_out(2 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
\gntv_or_sync_fifo.gl0.rd\: entity work.fifo_async_103x16_rd_logic
port map (
E(0) => p_15_out,
I1 => \n_5_gntv_or_sync_fifo.gcx.clkx\,
I6(3) => p_20_out(3),
I6(2) => \n_7_gntv_or_sync_fifo.gl0.rd\,
I6(1) => \n_8_gntv_or_sync_fifo.gl0.rd\,
I6(0) => \n_9_gntv_or_sync_fifo.gl0.rd\,
O1(2 downto 0) => rd_pntr_plus1(2 downto 0),
O2(0) => ram_rd_en_i,
O3(3 downto 0) => p_1_out(3 downto 0),
O4(2 downto 0) => p_20_out(2 downto 0),
Q(0) => n_2_rstblk,
empty => empty,
rd_clk => rd_clk,
rd_en => rd_en
);
\gntv_or_sync_fifo.gl0.wr\: entity work.fifo_async_103x16_wr_logic
port map (
D(0) => \n_0_gntv_or_sync_fifo.gcx.clkx\,
E(0) => p_3_out,
I1 => \n_10_gntv_or_sync_fifo.gcx.clkx\,
I2 => \n_11_gntv_or_sync_fifo.gcx.clkx\,
I3(0) => WR_RST,
O1(3 downto 0) => p_0_out(3 downto 0),
O2(3 downto 0) => wr_pntr_plus2(3 downto 0),
O3(3 downto 0) => p_9_out(3 downto 0),
Q(2 downto 0) => p_8_out(2 downto 0),
full => full,
prog_full => prog_full,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.fifo_async_103x16_memory
port map (
ADDRA(3 downto 0) => p_20_out(3 downto 0),
E(0) => ram_rd_en_i,
I1(0) => p_3_out,
I2(0) => p_15_out,
O3(3 downto 0) => p_9_out(3 downto 0),
Q(0) => rd_rst_i(0),
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
rstblk: entity work.fifo_async_103x16_reset_blk_ramfifo
port map (
O1(1) => WR_RST,
O1(0) => \^rst\,
Q(2) => n_2_rstblk,
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
rd_clk => rd_clk,
rst => rst,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_fifo_generator_top is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_fifo_generator_top : entity is "fifo_generator_top";
end fifo_async_103x16_fifo_generator_top;
architecture STRUCTURE of fifo_async_103x16_fifo_generator_top is
begin
\grf.rf\: entity work.fifo_async_103x16_fifo_generator_ramfifo
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16_fifo_generator_v12_0_synth is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
wr_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x16_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth";
end fifo_async_103x16_fifo_generator_v12_0_synth;
architecture STRUCTURE of fifo_async_103x16_fifo_generator_v12_0_synth is
begin
\gconvfifo.rf\: entity work.fifo_async_103x16_fifo_generator_top
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 3 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 3 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 3 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 3 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "fifo_generator_v12_0";
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 103;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 103;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "zynq";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x72";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 12;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 11;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is "1kx18";
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ : entity is 0;
end \fifo_async_103x16_fifo_generator_v12_0__parameterized0\;
architecture STRUCTURE of \fifo_async_103x16_fifo_generator_v12_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.fifo_async_103x16_fifo_generator_v12_0_synth
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x16 is
port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
prog_full : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of fifo_async_103x16 : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of fifo_async_103x16 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of fifo_async_103x16 : entity is "fifo_generator_v12_0,Vivado 2014.3.1";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of fifo_async_103x16 : entity is "fifo_async_103x16,fifo_generator_v12_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of fifo_async_103x16 : entity is "fifo_async_103x16,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=103,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=103,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=12,C_PROG_FULL_THRESH_NEGATE_VAL=11,C_PROG_FULL_TYPE=1,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
end fifo_async_103x16;
architecture STRUCTURE of fifo_async_103x16 is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 4;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 103;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 103;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "512x72";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 12;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 11;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 1;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 4;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 16;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 4;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 4;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 16;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.\fifo_async_103x16_fifo_generator_v12_0__parameterized0\
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3) => '0',
axi_ar_prog_empty_thresh(2) => '0',
axi_ar_prog_empty_thresh(1) => '0',
axi_ar_prog_empty_thresh(0) => '0',
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3) => '0',
axi_ar_prog_full_thresh(2) => '0',
axi_ar_prog_full_thresh(1) => '0',
axi_ar_prog_full_thresh(0) => '0',
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3) => '0',
axi_aw_prog_empty_thresh(2) => '0',
axi_aw_prog_empty_thresh(1) => '0',
axi_aw_prog_empty_thresh(0) => '0',
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3) => '0',
axi_aw_prog_full_thresh(2) => '0',
axi_aw_prog_full_thresh(1) => '0',
axi_aw_prog_full_thresh(0) => '0',
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3) => '0',
axi_b_prog_empty_thresh(2) => '0',
axi_b_prog_empty_thresh(1) => '0',
axi_b_prog_empty_thresh(0) => '0',
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3) => '0',
axi_b_prog_full_thresh(2) => '0',
axi_b_prog_full_thresh(1) => '0',
axi_b_prog_full_thresh(0) => '0',
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9) => '0',
axi_r_prog_empty_thresh(8) => '0',
axi_r_prog_empty_thresh(7) => '0',
axi_r_prog_empty_thresh(6) => '0',
axi_r_prog_empty_thresh(5) => '0',
axi_r_prog_empty_thresh(4) => '0',
axi_r_prog_empty_thresh(3) => '0',
axi_r_prog_empty_thresh(2) => '0',
axi_r_prog_empty_thresh(1) => '0',
axi_r_prog_empty_thresh(0) => '0',
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9) => '0',
axi_r_prog_full_thresh(8) => '0',
axi_r_prog_full_thresh(7) => '0',
axi_r_prog_full_thresh(6) => '0',
axi_r_prog_full_thresh(5) => '0',
axi_r_prog_full_thresh(4) => '0',
axi_r_prog_full_thresh(3) => '0',
axi_r_prog_full_thresh(2) => '0',
axi_r_prog_full_thresh(1) => '0',
axi_r_prog_full_thresh(0) => '0',
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9) => '0',
axi_w_prog_empty_thresh(8) => '0',
axi_w_prog_empty_thresh(7) => '0',
axi_w_prog_empty_thresh(6) => '0',
axi_w_prog_empty_thresh(5) => '0',
axi_w_prog_empty_thresh(4) => '0',
axi_w_prog_empty_thresh(3) => '0',
axi_w_prog_empty_thresh(2) => '0',
axi_w_prog_empty_thresh(1) => '0',
axi_w_prog_empty_thresh(0) => '0',
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9) => '0',
axi_w_prog_full_thresh(8) => '0',
axi_w_prog_full_thresh(7) => '0',
axi_w_prog_full_thresh(6) => '0',
axi_w_prog_full_thresh(5) => '0',
axi_w_prog_full_thresh(4) => '0',
axi_w_prog_full_thresh(3) => '0',
axi_w_prog_full_thresh(2) => '0',
axi_w_prog_full_thresh(1) => '0',
axi_w_prog_full_thresh(0) => '0',
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9) => '0',
axis_prog_empty_thresh(8) => '0',
axis_prog_empty_thresh(7) => '0',
axis_prog_empty_thresh(6) => '0',
axis_prog_empty_thresh(5) => '0',
axis_prog_empty_thresh(4) => '0',
axis_prog_empty_thresh(3) => '0',
axis_prog_empty_thresh(2) => '0',
axis_prog_empty_thresh(1) => '0',
axis_prog_empty_thresh(0) => '0',
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9) => '0',
axis_prog_full_thresh(8) => '0',
axis_prog_full_thresh(7) => '0',
axis_prog_full_thresh(6) => '0',
axis_prog_full_thresh(5) => '0',
axis_prog_full_thresh(4) => '0',
axis_prog_full_thresh(3) => '0',
axis_prog_full_thresh(2) => '0',
axis_prog_full_thresh(1) => '0',
axis_prog_full_thresh(0) => '0',
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => '0',
data_count(3 downto 0) => NLW_U0_data_count_UNCONNECTED(3 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1) => '0',
m_axi_bresp(0) => '0',
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63) => '0',
m_axi_rdata(62) => '0',
m_axi_rdata(61) => '0',
m_axi_rdata(60) => '0',
m_axi_rdata(59) => '0',
m_axi_rdata(58) => '0',
m_axi_rdata(57) => '0',
m_axi_rdata(56) => '0',
m_axi_rdata(55) => '0',
m_axi_rdata(54) => '0',
m_axi_rdata(53) => '0',
m_axi_rdata(52) => '0',
m_axi_rdata(51) => '0',
m_axi_rdata(50) => '0',
m_axi_rdata(49) => '0',
m_axi_rdata(48) => '0',
m_axi_rdata(47) => '0',
m_axi_rdata(46) => '0',
m_axi_rdata(45) => '0',
m_axi_rdata(44) => '0',
m_axi_rdata(43) => '0',
m_axi_rdata(42) => '0',
m_axi_rdata(41) => '0',
m_axi_rdata(40) => '0',
m_axi_rdata(39) => '0',
m_axi_rdata(38) => '0',
m_axi_rdata(37) => '0',
m_axi_rdata(36) => '0',
m_axi_rdata(35) => '0',
m_axi_rdata(34) => '0',
m_axi_rdata(33) => '0',
m_axi_rdata(32) => '0',
m_axi_rdata(31) => '0',
m_axi_rdata(30) => '0',
m_axi_rdata(29) => '0',
m_axi_rdata(28) => '0',
m_axi_rdata(27) => '0',
m_axi_rdata(26) => '0',
m_axi_rdata(25) => '0',
m_axi_rdata(24) => '0',
m_axi_rdata(23) => '0',
m_axi_rdata(22) => '0',
m_axi_rdata(21) => '0',
m_axi_rdata(20) => '0',
m_axi_rdata(19) => '0',
m_axi_rdata(18) => '0',
m_axi_rdata(17) => '0',
m_axi_rdata(16) => '0',
m_axi_rdata(15) => '0',
m_axi_rdata(14) => '0',
m_axi_rdata(13) => '0',
m_axi_rdata(12) => '0',
m_axi_rdata(11) => '0',
m_axi_rdata(10) => '0',
m_axi_rdata(9) => '0',
m_axi_rdata(8) => '0',
m_axi_rdata(7) => '0',
m_axi_rdata(6) => '0',
m_axi_rdata(5) => '0',
m_axi_rdata(4) => '0',
m_axi_rdata(3) => '0',
m_axi_rdata(2) => '0',
m_axi_rdata(1) => '0',
m_axi_rdata(0) => '0',
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1) => '0',
m_axi_rresp(0) => '0',
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(3) => '0',
prog_empty_thresh(2) => '0',
prog_empty_thresh(1) => '0',
prog_empty_thresh(0) => '0',
prog_empty_thresh_assert(3) => '0',
prog_empty_thresh_assert(2) => '0',
prog_empty_thresh_assert(1) => '0',
prog_empty_thresh_assert(0) => '0',
prog_empty_thresh_negate(3) => '0',
prog_empty_thresh_negate(2) => '0',
prog_empty_thresh_negate(1) => '0',
prog_empty_thresh_negate(0) => '0',
prog_full => prog_full,
prog_full_thresh(3) => '0',
prog_full_thresh(2) => '0',
prog_full_thresh(1) => '0',
prog_full_thresh(0) => '0',
prog_full_thresh_assert(3) => '0',
prog_full_thresh_assert(2) => '0',
prog_full_thresh_assert(1) => '0',
prog_full_thresh_assert(0) => '0',
prog_full_thresh_negate(3) => '0',
prog_full_thresh_negate(2) => '0',
prog_full_thresh_negate(1) => '0',
prog_full_thresh_negate(0) => '0',
rd_clk => rd_clk,
rd_data_count(3 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(3 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arcache(3) => '0',
s_axi_arcache(2) => '0',
s_axi_arcache(1) => '0',
s_axi_arcache(0) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arlock(0) => '0',
s_axi_arprot(2) => '0',
s_axi_arprot(1) => '0',
s_axi_arprot(0) => '0',
s_axi_arqos(3) => '0',
s_axi_arqos(2) => '0',
s_axi_arqos(1) => '0',
s_axi_arqos(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3) => '0',
s_axi_arregion(2) => '0',
s_axi_arregion(1) => '0',
s_axi_arregion(0) => '0',
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awcache(3) => '0',
s_axi_awcache(2) => '0',
s_axi_awcache(1) => '0',
s_axi_awcache(0) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awlock(0) => '0',
s_axi_awprot(2) => '0',
s_axi_awprot(1) => '0',
s_axi_awprot(0) => '0',
s_axi_awqos(3) => '0',
s_axi_awqos(2) => '0',
s_axi_awqos(1) => '0',
s_axi_awqos(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3) => '0',
s_axi_awregion(2) => '0',
s_axi_awregion(1) => '0',
s_axi_awregion(0) => '0',
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63) => '0',
s_axi_wdata(62) => '0',
s_axi_wdata(61) => '0',
s_axi_wdata(60) => '0',
s_axi_wdata(59) => '0',
s_axi_wdata(58) => '0',
s_axi_wdata(57) => '0',
s_axi_wdata(56) => '0',
s_axi_wdata(55) => '0',
s_axi_wdata(54) => '0',
s_axi_wdata(53) => '0',
s_axi_wdata(52) => '0',
s_axi_wdata(51) => '0',
s_axi_wdata(50) => '0',
s_axi_wdata(49) => '0',
s_axi_wdata(48) => '0',
s_axi_wdata(47) => '0',
s_axi_wdata(46) => '0',
s_axi_wdata(45) => '0',
s_axi_wdata(44) => '0',
s_axi_wdata(43) => '0',
s_axi_wdata(42) => '0',
s_axi_wdata(41) => '0',
s_axi_wdata(40) => '0',
s_axi_wdata(39) => '0',
s_axi_wdata(38) => '0',
s_axi_wdata(37) => '0',
s_axi_wdata(36) => '0',
s_axi_wdata(35) => '0',
s_axi_wdata(34) => '0',
s_axi_wdata(33) => '0',
s_axi_wdata(32) => '0',
s_axi_wdata(31) => '0',
s_axi_wdata(30) => '0',
s_axi_wdata(29) => '0',
s_axi_wdata(28) => '0',
s_axi_wdata(27) => '0',
s_axi_wdata(26) => '0',
s_axi_wdata(25) => '0',
s_axi_wdata(24) => '0',
s_axi_wdata(23) => '0',
s_axi_wdata(22) => '0',
s_axi_wdata(21) => '0',
s_axi_wdata(20) => '0',
s_axi_wdata(19) => '0',
s_axi_wdata(18) => '0',
s_axi_wdata(17) => '0',
s_axi_wdata(16) => '0',
s_axi_wdata(15) => '0',
s_axi_wdata(14) => '0',
s_axi_wdata(13) => '0',
s_axi_wdata(12) => '0',
s_axi_wdata(11) => '0',
s_axi_wdata(10) => '0',
s_axi_wdata(9) => '0',
s_axi_wdata(8) => '0',
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7) => '0',
s_axi_wstrb(6) => '0',
s_axi_wstrb(5) => '0',
s_axi_wstrb(4) => '0',
s_axi_wstrb(3) => '0',
s_axi_wstrb(2) => '0',
s_axi_wstrb(1) => '0',
s_axi_wstrb(0) => '0',
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7) => '0',
s_axis_tdata(6) => '0',
s_axis_tdata(5) => '0',
s_axis_tdata(4) => '0',
s_axis_tdata(3) => '0',
s_axis_tdata(2) => '0',
s_axis_tdata(1) => '0',
s_axis_tdata(0) => '0',
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3) => '0',
s_axis_tuser(2) => '0',
s_axis_tuser(1) => '0',
s_axis_tuser(0) => '0',
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => wr_clk,
wr_data_count(3 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(3 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
| gpl-3.0 | d983ad4f3667187bb0ccbbc80d75ce8f | 0.572808 | 2.759291 | false | false | false | false |
rflamino/StellaBlue | core/TIA/src/TIA.vhd | 1 | 45,101 | -- TV Interface Adapter (TIA)
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
entity lfsr6 is
port(clk: in std_logic;
prst: in std_logic;
cnt: in std_logic;
o: out std_logic_vector(5 downto 0)
);
end lfsr6;
architecture arch of lfsr6 is
signal d: std_logic_vector(5 downto 0);
signal prst_l: std_logic := '1';
begin
o <= d;
process(clk, prst)
begin
if (clk'event and clk = '1') then
if (prst = '1' and prst_l = '0') then
prst_l <= '1';
elsif (cnt = '1') then
prst_l <= '0';
end if;
end if;
if (clk'event and clk = '1') then
if (cnt = '1') then
if (prst_l = '1') then
d <= "000000";
else
d <= (d(0) xnor d(1)) & d(5 downto 1);
end if;
end if;
end if;
end process;
end arch;
library ieee;
use ieee.std_logic_1164.all;
entity cntr2 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
o: out std_logic_vector(1 downto 0)
);
end cntr2;
architecture arch of cntr2 is
signal d: std_logic_vector(1 downto 0) := "00";
begin
o <= d;
process(clk, rst)
begin
-- if (rst = '1') then
-- d <= "00";
if (clk'event and clk = '1') then
if (rst = '1') then
d <= "00";
elsif (en = '1') then
case d is
when "00" => d <= "10";
when "10" => d <= "11";
when "11" => d <= "01";
when "01" => d <= "00";
when others => null;
end case;
end if;
end if;
end process;
end arch;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity cntr3 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
o: out std_logic_vector(2 downto 0)
);
end cntr3;
architecture arch of cntr3 is
signal d: unsigned(2 downto 0) := "000";
begin
o <= std_logic_vector(d);
process(clk, rst)
begin
if (clk'event and clk = '1') then
if (rst = '1') then
d <= "000";
elsif (en = '1') then
d <= d + 1;
end if;
end if;
end process;
end arch;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.TIA_common.all;
entity audio is
port(clk: in std_logic;
cnt: in std_logic;
freq: in std_logic_vector(4 downto 0);
ctrl: in std_logic_vector(3 downto 0);
ao: out std_logic
);
end audio;
architecture arch of audio is
signal dvdr: unsigned(4 downto 0) := "00000";
signal sr4: std_logic_vector(3 downto 0) := "0000";
signal sr5: std_logic_vector(4 downto 0) := "00000";
signal sr5_tap: std_logic;
signal sr4_in: std_logic;
signal sr5_in: std_logic;
signal sr4_cnt: std_logic;
signal sr5_cnt: std_logic;
begin
process(clk)
begin
if (clk'event and clk = '1') then
if (cnt = '1') then
if (sr4_cnt = '1') then
sr4 <= sr4_in & sr4(3 downto 1);
end if;
if (sr5_cnt = '1') then
sr5 <= sr5_in & sr5(4 downto 1);
end if;
if (dvdr = unsigned(freq)) then
dvdr <= "00000";
else
dvdr <= dvdr + 1;
end if;
end if;
end if;
end process;
sr5_in <= '1' when
(ctrl = "0000") or
(sr5_tap = '1') or
(sr5 = "00000" and (ctrl(0) = '1' or ctrl(1) = '1' or sr4 = "1111"))
else '0';
sr4_in <= '1' when
(ctrl = "0000") or
(ctrl(3 downto 2) = "00" and (sr4 = "1111" or ((sr4(1) xnor sr4(0)) = '1'))) or
(ctrl(3 downto 2) = "11" and (sr4(3 downto 1) = "101" or sr4(1) = '0')) or
(ctrl(3 downto 2) = "01" and sr4(3) = '0') or
(ctrl(3 downto 2) = "10" and sr5(0) = '1')
else '0';
sr5_tap <= sr5(0) xor sr4(0) when (ctrl(1 downto 0) = "00") else sr5(0) xor sr5(3);
sr5_cnt <= '1' when (dvdr = unsigned(freq)) else '0'; -- CHECKME
sr4_cnt <= '1' when
(dvdr = unsigned(freq) and (
(ctrl(1 downto 0) = "10" and sr5(4 downto 1) = "0001") or
(ctrl(1 downto 0) = "11" and sr5(0) = '1') or
(ctrl(1) = '0')))
else '0';
ao <= sr4(0);
end arch;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity player is
port(clk: in std_logic;
prst: in std_logic;
count: in std_logic;
nusiz: in std_logic_vector(2 downto 0);
reflect: in std_logic;
grpnew: in std_logic_vector(7 downto 0);
grpold: in std_logic_vector(7 downto 0);
vdel: in std_logic;
pix: out std_logic
);
end player;
architecture arch of player is
component cntr2 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
o: out std_logic_vector(1 downto 0)
);
end component;
component cntr3 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
o: out std_logic_vector(2 downto 0)
);
end component;
component lfsr6 is
port(clk: in std_logic;
prst: in std_logic;
cnt: in std_logic;
o: out std_logic_vector(5 downto 0)
);
end component;
signal lfsr_out: std_logic_vector(5 downto 0);
signal lfsr_rst: std_logic;
signal lfsr_cnt: std_logic;
signal cntr_out: std_logic_vector(1 downto 0);
signal cntr_rst: std_logic;
signal cntr_en: std_logic;
signal scan_out: std_logic_vector(2 downto 0);
signal scan_clk: std_logic := '0';
signal scan_en: std_logic := '0';
signal scan_cnt: std_logic;
signal start: std_logic := '0';
signal scan_adr: std_logic_vector(2 downto 0);
signal pix_sel: std_logic_vector(1 downto 0);
signal ph0: std_logic;
signal ph1: std_logic;
signal ph1_edge: std_logic;
begin
lfsr: lfsr6 port map(clk, lfsr_rst, lfsr_cnt, lfsr_out);
cntr: cntr2 port map(clk, cntr_rst, cntr_en, cntr_out);
scan: cntr3 port map(clk, '0', scan_cnt, scan_out);
ph0 <= '1' when (cntr_out = "00") else '0';
ph1_edge <= '1' when (cntr_out = "10") else '0';
ph1 <= '1' when (cntr_out = "11") else '0';
cntr_rst <= prst;
cntr_en <= count;
lfsr_rst <= '1' when (lfsr_out = "101101") or (lfsr_out = "111111") or (prst = '1') else '0';
lfsr_cnt <= '1' when (ph1_edge = '1') and (count = '1') else '0';
process(clk, count)
begin
if (clk'event and clk = '1' and count = '1') then
if (ph1_edge = '1') then
if (lfsr_out = "101101") or
((lfsr_out = "111000") and ((nusiz = "001") or (nusiz = "011"))) or
((lfsr_out = "101111") and ((nusiz = "011") or (nusiz = "010") or (nusiz = "110"))) or
((lfsr_out = "111001") and ((nusiz = "100") or (nusiz = "110"))) then
start <= '1';
else
start <= '0';
end if;
end if;
end if;
end process;
process(clk, scan_clk, start, scan_out, count)
begin
if (clk'event and clk = '1' and count = '1') then
if (scan_clk = '1') then
if (start = '1') then
scan_en <= '1';
elsif (scan_out = "111") then
scan_en <= '0';
end if;
end if;
end if;
end process;
process (clk, ph0, ph1, count)
begin
if (clk'event and clk = '1' and count = '1') then
if (nusiz = "111") then
scan_clk <= ph1;
elsif (nusiz = "101") then
scan_clk <= ph0 or ph1;
else
scan_clk <= '1';
end if;
end if;
end process;
scan_adr <= scan_out when reflect = '1' else not scan_out;
scan_cnt <= scan_en and scan_clk and count;
pix_sel <= scan_en & vdel;
with pix_sel select pix <=
grpnew(to_integer(unsigned(scan_adr))) when "10",
grpold(to_integer(unsigned(scan_adr))) when "11",
'0' when others;
end arch;
library ieee;
use ieee.std_logic_1164.all;
entity missile is
port(clk: in std_logic;
prst: in std_logic;
count: in std_logic;
enable: in std_logic;
nusiz: in std_logic_vector(2 downto 0);
size: in std_logic_vector(1 downto 0);
pix: out std_logic
);
end missile;
architecture arch of missile is
component cntr2 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
o: out std_logic_vector(1 downto 0)
);
end component;
component lfsr6 is
port(clk: in std_logic;
prst: in std_logic;
cnt: in std_logic;
o: out std_logic_vector(5 downto 0)
);
end component;
signal lfsr_out: std_logic_vector(5 downto 0);
signal lfsr_rst: std_logic;
signal lfsr_cnt: std_logic;
signal cntr_out: std_logic_vector(1 downto 0);
signal cntr_rst: std_logic;
signal cntr_en: std_logic;
signal start1: std_logic := '0';
signal start2: std_logic := '0';
signal ph1: std_logic;
signal ph1_edge: std_logic;
begin
lfsr: lfsr6 port map(clk, lfsr_rst, lfsr_cnt, lfsr_out);
cntr: cntr2 port map(clk, cntr_rst, cntr_en, cntr_out);
ph1_edge <= '1' when (cntr_out = "10") else '0';
ph1 <= '1' when (cntr_out = "11") else '0';
cntr_rst <= prst;
cntr_en <= count;
lfsr_rst <= '1' when (lfsr_out = "101101") or (lfsr_out = "111111") or (prst = '1') else '0';
lfsr_cnt <= '1' when (ph1_edge = '1') and (count = '1') else '0';
process(clk)
begin
if (clk'event and clk = '1') then
if (ph1_edge = '1') then
if (lfsr_out = "101101") or
((lfsr_out = "111000") and ((nusiz = "001") or (nusiz = "011"))) or
((lfsr_out = "101111") and ((nusiz = "011") or (nusiz = "010") or (nusiz = "110"))) or
((lfsr_out = "111001") and ((nusiz = "100") or (nusiz = "110"))) then
start1 <= '1';
else
start1 <= '0';
end if;
start2 <= start1;
end if;
end if;
end process;
pix <= '1' when
(enable = '1' and (
(start1 = '1' and (
(size(1) = '1') or
(ph1 = '1') or
(cntr_out(0) = '1' and size(0) = '1'))) or
(start2 = '1' and size = "11")))
else '0';
end arch;
-- XYZ
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity paddle is
port(clk: in std_logic;
value: in std_logic_vector(7 downto 0);
rst: in std_logic;
o: out std_logic
);
end paddle;
architecture arch of paddle is
begin
process(clk, rst)
variable cnt: integer range 0 to 190;
begin
if( rst = '1' ) then
-- map -128..127 -> 190..0
cnt := to_integer(96 + signed(value)/2 + signed(value)/4);
elsif (clk'event and clk = '1') then
if(cnt /= 190) then
cnt := cnt + 1;
end if;
end if;
-- return 1 if counter has "discharged"
if(cnt = 190) then
o <= '1';
else
o <= '0';
end if;
end process;
end arch;
library ieee;
use ieee.std_logic_1164.all;
entity ball is
port(clk: in std_logic;
prst: in std_logic;
count: in std_logic;
ennew: in std_logic;
enold: in std_logic;
vdel: in std_logic;
size: in std_logic_vector(1 downto 0);
pix: out std_logic
);
end ball;
architecture arch of ball is
component cntr2 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
o: out std_logic_vector(1 downto 0)
);
end component;
component lfsr6 is
port(clk: in std_logic;
prst: in std_logic;
cnt: in std_logic;
o: out std_logic_vector(5 downto 0)
);
end component;
signal lfsr_out: std_logic_vector(5 downto 0);
signal lfsr_rst: std_logic;
signal lfsr_cnt: std_logic;
signal cntr_out: std_logic_vector(1 downto 0);
signal cntr_rst: std_logic;
signal cntr_en: std_logic;
signal start1: std_logic := '0';
signal start2: std_logic := '0';
signal ph1: std_logic;
signal ph1_edge: std_logic;
begin
lfsr: lfsr6 port map(clk, lfsr_rst, lfsr_cnt, lfsr_out);
cntr: cntr2 port map(clk, cntr_rst, cntr_en, cntr_out);
ph1_edge <= '1' when (cntr_out = "10") else '0';
ph1 <= '1' when (cntr_out = "11") else '0';
cntr_rst <= prst;
cntr_en <= count;
lfsr_rst <= '1' when (lfsr_out = "101101") or (lfsr_out = "111111") or (prst = '1') else '0';
lfsr_cnt <= '1' when (ph1_edge = '1') and (count = '1') else '0';
process(clk)
begin
if (clk'event and clk = '1') then
if (ph1_edge = '1') then
if (lfsr_out = "101101") or (prst = '1') then
start1 <= '1';
else
start1 <= '0';
end if;
start2 <= start1;
end if;
end if;
end process;
pix <= '1' when
((ennew = '1' and vdel = '0') or (enold = '1' and vdel = '1')) and (
(start1 = '1' and (
(size(1) = '1') or
(ph1 = '1') or
(cntr_out(0) = '1' and size(0) = '1'))) or
(start2 = '1' and size = "11"))
else '0';
end arch;
library ieee;
use ieee.std_logic_1164.all;
entity mux20 is
port(i: in std_logic_vector(19 downto 0);
a: in std_logic_vector(4 downto 0);
o: out std_logic
);
end mux20;
architecture arch of mux20 is
begin
with a select o <=
i(0) when "00000",
i(1) when "00001",
i(2) when "00010",
i(3) when "00011",
i(11) when "00100",
i(10) when "00101",
i(9) when "00110",
i(8) when "00111",
i(7) when "01000",
i(6) when "01001",
i(5) when "01010",
i(4) when "01011",
i(12) when "01100",
i(13) when "01101",
i(14) when "01110",
i(15) when "01111",
i(16) when "10000",
i(17) when "10001",
i(18) when "10010",
i(19) when "10011",
'-' when others;
end arch;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.TIA_common.all;
use work.TIA_NTSCLookups.all;
entity TIA is
port(vid_clk: in std_logic;
cs: in std_logic;
r: in std_logic;
a: in std_logic_vector(5 downto 0);
d: inout std_logic_vector(7 downto 0);
colu: out std_logic_vector(6 downto 0);
csyn: out std_logic;
hsyn: out std_logic;
vsyn: out std_logic;
rgbx2: out std_logic_vector(23 downto 0);
cv: out std_logic_vector(7 downto 0) := "00000000";
rdy: out std_logic;
ph0: out std_logic;
ph1: out std_logic;
au0: out std_logic;
au1: out std_logic;
av0: out std_logic_vector(3 downto 0);
av1: out std_logic_vector(3 downto 0);
paddle_0: in std_logic_vector(7 downto 0);
paddle_1: in std_logic_vector(7 downto 0);
paddle_2: in std_logic_vector(7 downto 0);
paddle_3: in std_logic_vector(7 downto 0);
paddle_ena: in std_logic;
inpt4: in std_logic;
inpt5: in std_logic;
pal: in std_logic := '0'
);
end TIA;
architecture arch of TIA is
-- COMPONENT VGA_SCANDBL
-- PORT(
-- I : IN std_logic_vector(6 downto 0);
-- I_HSYNC : IN std_logic;
-- I_VSYNC : IN std_logic;
-- CLK : IN std_logic;
-- CLK_X2 : IN std_logic;
-- O : OUT std_logic_vector(6 downto 0);
-- O_HSYNC : OUT std_logic;
-- O_VSYNC : OUT std_logic
-- );
-- END COMPONENT;
--
-- COMPONENT VGAColorTable
-- PORT(
-- clk : IN std_logic;
-- lum : IN std_logic_vector(3 downto 0);
-- hue : IN std_logic_vector(3 downto 0);
-- mode : IN std_logic_vector(1 downto 0);
-- outColor : OUT std_logic_vector(23 downto 0)
-- );
-- END COMPONENT;
component cntr2 is
port(clk: in std_logic;
rst: in std_logic;
en: in std_logic;
o: out std_logic_vector(1 downto 0)
);
end component;
component lfsr6 is
port(clk: in std_logic;
prst: in std_logic;
cnt: in std_logic;
o: out std_logic_vector(5 downto 0)
);
end component;
component audio is
port(clk: in std_logic;
cnt: in std_logic;
freq: in std_logic_vector(4 downto 0);
ctrl: in std_logic_vector(3 downto 0);
ao: out std_logic
);
end component;
component player is
port(clk: in std_logic;
prst: in std_logic;
count: in std_logic;
nusiz: in std_logic_vector(2 downto 0);
reflect: in std_logic;
grpnew: in std_logic_vector(7 downto 0);
grpold: in std_logic_vector(7 downto 0);
vdel: in std_logic;
pix: out std_logic
);
end component;
component missile is
port(clk: in std_logic;
prst: in std_logic;
count: in std_logic;
enable: in std_logic;
nusiz: in std_logic_vector(2 downto 0);
size: in std_logic_vector(1 downto 0);
pix: out std_logic
);
end component;
component ball is
port(clk: in std_logic;
prst: in std_logic;
count: in std_logic;
ennew: in std_logic;
enold: in std_logic;
vdel: in std_logic;
size: in std_logic_vector(1 downto 0);
pix: out std_logic
);
end component;
component mux20 is
port(i: in std_logic_vector(19 downto 0);
a: in std_logic_vector(4 downto 0);
o: out std_logic
);
end component;
component paddle is
port(clk: in std_logic;
value: in std_logic_vector(7 downto 0);
rst: in std_logic;
o: out std_logic
);
end component;
signal h_lfsr_out: std_logic_vector(5 downto 0);
signal h_lfsr_rst: std_logic;
signal h_lfsr_cnt: std_logic;
signal h_cntr_out: std_logic_vector(1 downto 0);
signal h_cntr_rst: std_logic;
signal hsync: std_logic := '0';
signal cburst: std_logic := '0';
signal hblank: std_logic := '1';
signal hmove: std_logic := '0';
signal hmove_set: std_logic;
signal hmove_cntr: unsigned(3 downto 0) := "1111";
signal hmove_cntr_sl: std_logic_vector(3 downto 0);
signal p0_rst: std_logic;
signal p0_nusiz: std_logic_vector(2 downto 0) := "000";
signal p0_reflect: std_logic;
signal p0_grpnew: std_logic_vector(7 downto 0);
signal p0_grpold: std_logic_vector(7 downto 0);
signal p0_vdel: std_logic := '0';
signal p0_pix: std_logic;
signal p0_colu: std_logic_vector(6 downto 0) := "0000000";
signal p0_hmove: std_logic_vector(3 downto 0);
signal p0_count: std_logic;
signal p0_ec: std_logic := '0';
signal p1_rst: std_logic;
signal p1_nusiz: std_logic_vector(2 downto 0) := "000";
signal p1_reflect: std_logic;
signal p1_grpnew: std_logic_vector(7 downto 0);
signal p1_grpold: std_logic_vector(7 downto 0);
signal p1_vdel: std_logic := '0';
signal p1_pix: std_logic;
signal p1_colu: std_logic_vector(6 downto 0) := "0000000";
signal p1_hmove: std_logic_vector(3 downto 0);
signal p1_count: std_logic;
signal p1_ec: std_logic := '0';
signal m0_rst: std_logic;
signal m0_enable: std_logic;
signal m0_size: std_logic_vector(1 downto 0) := "00";
signal m0_pix: std_logic;
signal m0_hmove: std_logic_vector(3 downto 0);
signal m0_count: std_logic;
signal m0_ec: std_logic := '0';
signal m1_rst: std_logic;
signal m1_enable: std_logic;
signal m1_size: std_logic_vector(1 downto 0) := "00";
signal m1_pix: std_logic;
signal m1_hmove: std_logic_vector(3 downto 0);
signal m1_count: std_logic;
signal m1_ec: std_logic := '0';
signal bl_rst: std_logic;
signal bl_ennew: std_logic;
signal bl_enold: std_logic;
signal bl_vdel: std_logic := '0';
signal bl_size: std_logic_vector(1 downto 0);
signal bl_pix: std_logic;
signal bl_hmove: std_logic_vector(3 downto 0);
signal bl_count: std_logic;
signal bl_ec: std_logic := '0';
signal pf_gr: std_logic_vector(19 downto 0);
signal pf_adr: unsigned(4 downto 0) := "00000";
signal pf_pix: std_logic;
signal pf_mux_out: std_logic;
signal pf_reflect: std_logic;
signal pf_score: std_logic;
signal pf_priority: std_logic := '0';
signal pf_colu: std_logic_vector(6 downto 0) := "0000000";
signal bk_colu: std_logic_vector(6 downto 0) := "0000000";
signal a0_freq: std_logic_vector(4 downto 0);
signal a0_ctrl: std_logic_vector(3 downto 0);
signal a0_vol: std_logic_vector(3 downto 0) := "0000";
signal a1_freq: std_logic_vector(4 downto 0);
signal a1_ctrl: std_logic_vector(3 downto 0);
signal a1_vol: std_logic_vector(3 downto 0) := "0000";
signal wsync: std_logic := '0';
signal vsync: std_logic := '0';
signal vblank: std_logic := '0';
signal center: std_logic := '0';
signal pf_cnt: std_logic := '0';
signal cx: std_logic_vector(14 downto 0) := "000000000000000";
signal cx_clr: std_logic;
signal clk_dvdr: std_logic_vector(1 downto 0) := "01";
signal phi0: std_logic := '0';
signal phi1: std_logic := '1';
signal inpt45_len: std_logic := '0';
signal inpt45_rst: std_logic;
signal inpt4_l: std_logic := '1';
signal inpt5_l: std_logic := '1';
signal au_cnt: std_logic;
signal sec_dl: std_logic_vector(1 downto 0) := "00";
signal sec: std_logic;
signal hh0: std_logic;
signal hh0_edge: std_logic;
signal hh1: std_logic;
signal hh1_edge: std_logic;
signal clk, clkx2: std_logic;
signal sync: std_logic;
signal blank: std_logic;
signal int_colu: std_logic_vector(6 downto 0) := "0000000";
signal lum_lu: unsigned(7 downto 0);
signal col_lut_idx: std_logic_vector(7 downto 0);
signal col_lu: unsigned(7 downto 0);
signal vid_clk_dvdr: unsigned(3 downto 0) := "0000";
signal vga_colu: std_logic_vector(6 downto 0);
signal inpt03_chg: std_logic;
signal inpt0: std_logic;
signal inpt1: std_logic;
signal inpt2: std_logic;
signal inpt3: std_logic;
begin
paddle0: paddle port map(hsync, paddle_0, inpt03_chg, inpt0);
paddle1: paddle port map(hsync, paddle_1, inpt03_chg, inpt1);
paddle2: paddle port map(hsync, paddle_2, inpt03_chg, inpt2);
paddle3: paddle port map(hsync, paddle_3, inpt03_chg, inpt3);
h_cntr: cntr2 port map(clk, h_cntr_rst, '1', h_cntr_out);
lfsr: lfsr6 port map(clk, h_lfsr_rst, h_lfsr_cnt, h_lfsr_out);
pf_mux: mux20 port map(pf_gr, std_logic_vector(pf_adr), pf_mux_out);
hh0_edge <= '1' when (h_cntr_out = "01") else '0';
hh0 <= '1' when (h_cntr_out = "00") else '0';
hh1_edge <= '1' when (h_cntr_out = "10") else '0';
hh1 <= '1' when (h_cntr_out = "11") else '0';
aud0: audio port map(clk, au_cnt, a0_freq, a0_ctrl, au0);
aud1: audio port map(clk, au_cnt, a1_freq, a1_ctrl, au1);
av0 <= a0_vol;
av1 <= a1_vol;
au_cnt <= '1' when (h_lfsr_out = "110111" or h_lfsr_out = "101100") and (h_lfsr_cnt = '1') else '0';
h_lfsr_rst <= '1' when (h_lfsr_out = "010100") else '0';
h_lfsr_cnt <= '1' when (hh1_edge = '1') else '0';
h_cntr_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RSYNC) else '0';
h_decode: process(clk, h_lfsr_out)
begin
if (clk'event and clk = '1') then
if (hh1_edge = '1') then
case h_lfsr_out is
when "111100" =>
hsync <= '1';
when "110111" =>
hsync <= '0';
cburst <= '1';
when "001111" =>
cburst <= '0';
when "111001" =>
pf_cnt <= '1';
when "011100" =>
hblank <= hmove;
when "010111" =>
hblank <= '0';
when "101001" =>
center <= '0';
when "010100" =>
hblank <= '1';
pf_cnt <= '0';
when "011000" =>
center <= '1';
when others => null;
end case;
end if;
end if;
end process;
process(clk)
begin
if (clk'event and clk = '1') then
if (h_lfsr_out = "010111") and (hh1_edge = '1') then
hmove <= '0';
elsif (hmove_set = '1') then
hmove <= '1';
end if;
end if;
end process;
process(clk)
begin
if (clk'event and clk = '1') then
if (h_lfsr_out = "000000" and hh1_edge = '1') then
wsync <= '0';
elsif (r = '0') and (cs = '1') and (a = A_WSYNC) then
wsync <= '1';
end if;
end if;
end process;
csyn <= (vsync nand hsync) and (vsync or hsync);
-- vsyn <= vsync;
-- hsyn <= hsync;
rdy <= '0' when (wsync = '1') else '1';
p0: player
port map(clk, p0_rst, p0_count, p0_nusiz, p0_reflect,
p0_grpnew, p0_grpold, p0_vdel, p0_pix);
p1: player
port map(clk, p1_rst, p1_count, p1_nusiz, p1_reflect,
p1_grpnew, p1_grpold, p1_vdel, p1_pix);
m0: missile
port map(clk, m0_rst, m0_count, m0_enable, p0_nusiz, m0_size, m0_pix);
m1: missile
port map(clk, m1_rst, m1_count, m1_enable, p1_nusiz, m1_size, m1_pix);
bl: ball
port map(clk, bl_rst, bl_count, bl_ennew, bl_enold, bl_vdel, bl_size, bl_pix);
pf_output: process(clk, h_lfsr_cnt)
begin
if (clk'event and clk = '1') then
if (h_lfsr_cnt = '1') then
if (pf_cnt = '1') then
if (pf_adr = "10011") and (center = '0') and (pf_reflect = '0') then
pf_adr <= "00000";
elsif (pf_reflect = '1') and (center = '1') and not (pf_adr = "00000") then
pf_adr <= pf_adr - 1;
elsif not (pf_adr = "10011") then
pf_adr <= pf_adr + 1;
end if;
else
pf_adr <= "00000";
end if;
pf_pix <= pf_mux_out;
end if;
end if;
end process;
p0_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESP0) and (phi0 = '0') else '0';
p1_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESP1) and (phi0 = '0') else '0';
m0_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESM0) and (phi0 = '0') else '0';
m1_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESM1) and (phi0 = '0') else '0';
bl_rst <= '1' when (r = '0') and (cs = '1') and (a = A_RESBL) and (phi0 = '0') else '0';
p0_count <= '1' when (hblank = '0') or (p0_ec = '1' and hh0 = '1') else '0';
p1_count <= '1' when (hblank = '0') or (p1_ec = '1' and hh0 = '1') else '0';
m0_count <= '1' when (hblank = '0') or (m0_ec = '1' and hh0 = '1') else '0';
m1_count <= '1' when (hblank = '0') or (m1_ec = '1' and hh0 = '1') else '0';
bl_count <= '1' when (hblank = '0') or (bl_ec = '1' and hh0 = '1') else '0';
hmove_set <= '1' when (a = A_HMOVE) and (r = '0') and (cs = '1') else '0';
cx_clr <= '1' when (a = A_CXCLR) and (r = '0') and (cs = '1') else '0';
inpt45_rst <= '1' when (a = A_VBLANK) and (r = '0') and (cs = '1') else '0';
process(clk, phi1, a, d, r, cs, cx, inpt45_len, inpt4_l, inpt4, inpt5_l, inpt5)
begin
if (r = '1') and (cs = '1') then
d(5 downto 0) <= "000000";
case a(3 downto 0) is
when A_CXM0P =>
d(7 downto 6) <= cx(1 downto 0);
when A_CXM1P =>
d(7 downto 6) <= cx(3 downto 2);
when A_CXP0FB =>
d(7 downto 6) <= cx(5 downto 4);
when A_CXP1FB =>
d(7 downto 6) <= cx(7 downto 6);
when A_CXM0FB =>
d(7 downto 6) <= cx(9 downto 8);
when A_CXM1FB =>
d(7 downto 6) <= cx(11 downto 10);
when A_CXBLPF =>
d(7) <= cx(12);
d(6) <= 'Z';
when A_CXPPMM =>
d(7 downto 6) <= cx(14 downto 13);
when A_INPT0 =>
if(paddle_ena = '1') then
d(7) <= inpt0;
else
d(7) <= '1';
end if;
d(6) <= '0';
when A_INPT1 =>
if(paddle_ena = '1') then
d(7) <= inpt1;
else
d(7) <= '1';
end if;
d(6) <= '0';
when A_INPT2 =>
if(paddle_ena = '1') then
d(7) <= inpt2;
else
d(7) <= '1';
end if;
d(6) <= '0';
when A_INPT3 =>
if(paddle_ena = '1') then
d(7) <= inpt3;
else
d(7) <= '1';
end if;
d(6) <= '0';
when A_INPT4 =>
if (inpt45_len = '1') then
d(7) <= inpt4_l;
else
d(7) <= inpt4;
end if;
--d(6) <= 'Z';
d(6) <= '0';
when A_INPT5 =>
if (inpt45_len = '1') then
d(7) <= inpt5_l;
else
d(7) <= inpt5;
end if;
--d(6) <= 'Z';
d(6) <= '0';
when others =>
d(7 downto 6) <= "--";
end case;
else
d <= "ZZZZZZZZ";
end if;
if (phi1'event and phi1 = '0') then
if (r = '0') and (cs = '1') then
case a is
when A_VSYNC =>
vsync <= d(1);
when A_VBLANK =>
inpt03_chg <= d(7);
inpt45_len <= d(6);
vblank <= d(1);
when A_PF0 =>
pf_gr(3 downto 0) <= d(7 downto 4);
when A_PF1 =>
pf_gr(11 downto 4) <= d;
when A_PF2 =>
pf_gr(19 downto 12) <= d;
when A_CTRLPF =>
pf_reflect <= d(0);
pf_score <= d(1);
pf_priority <= d(2);
bl_size <= d(5 downto 4);
when A_NUSIZ0 =>
p0_nusiz <= d(2 downto 0);
m0_size <= d(5 downto 4);
when A_NUSIZ1 =>
p1_nusiz <= d(2 downto 0);
m1_size <= d(5 downto 4);
when A_HMCLR =>
p0_hmove <= "0000";
p1_hmove <= "0000";
m0_hmove <= "0000";
m1_hmove <= "0000";
bl_hmove <= "0000";
when A_HMP0 =>
p0_hmove <= d(7 downto 4);
when A_HMP1 =>
p1_hmove <= d(7 downto 4);
when A_HMM0 =>
m0_hmove <= d(7 downto 4);
when A_HMM1 =>
m1_hmove <= d(7 downto 4);
when A_HMBL =>
bl_hmove <= d(7 downto 4);
when A_ENAM0 =>
m0_enable <= d(1);
when A_ENAM1 =>
m1_enable <= d(1);
when A_ENABL =>
bl_enold <= bl_ennew;
bl_ennew <= d(1);
when A_GRP0 =>
p1_grpold <= p1_grpnew;
p0_grpnew <= d;
when A_GRP1 =>
p0_grpold <= p0_grpnew;
p1_grpnew <= d;
when A_REFP0 =>
p0_reflect <= d(3);
when A_REFP1 =>
p1_reflect <= d(3);
when A_VDELP0 =>
p0_vdel <= d(0);
when A_VDELP1 =>
p1_vdel <= d(0);
when A_VDELBL =>
bl_vdel <= d(0);
when A_COLUP0 =>
p0_colu <= d(7 downto 1);
when A_COLUP1 =>
p1_colu <= d(7 downto 1);
when A_COLUPF =>
pf_colu <= d(7 downto 1);
when A_COLUBK =>
bk_colu <= d(7 downto 1);
when A_AUDF0 =>
a0_freq <= d(4 downto 0);
when A_AUDF1 =>
a1_freq <= d(4 downto 0);
when A_AUDC0 =>
a0_ctrl <= d(3 downto 0);
when A_AUDC1 =>
a1_ctrl <= d(3 downto 0);
when A_AUDV0 =>
a0_vol <= d(3 downto 0);
when A_AUDV1 =>
a1_vol <= d(3 downto 0);
when others => null;
end case;
end if;
end if;
end process;
output: process(
clk, hblank, pf_priority, p0_pix, p1_pix, m0_pix, m1_pix,
bl_pix, pf_pix, p0_colu, p1_colu, pf_colu, bk_colu)
begin
if (clk = '1' and clk'event) then
if (hblank = '1' or vblank = '1') then
int_colu <= "0000000";
elsif (pf_priority = '0') then
if (p0_pix = '1' or m0_pix = '1') then
int_colu <= p0_colu;
elsif (p1_pix = '1' or m1_pix = '1') then
int_colu <= p1_colu;
elsif (pf_pix = '1' or bl_pix = '1') then
int_colu <= pf_colu;
else
-- int_colu <= "0110010";
int_colu <= bk_colu;
end if;
else
if (pf_pix = '1' or bl_pix = '1') then
int_colu <= pf_colu;
elsif (p0_pix = '1' or m0_pix = '1') then
int_colu <= p0_colu;
elsif (p1_pix = '1' or m1_pix = '1') then
int_colu <= p1_colu;
else
-- int_colu <= "0110010";
int_colu <= bk_colu;
end if;
end if;
end if;
end process;
colu <= int_colu;
sec_delay: process(clk, r)
begin
if (clk'event and clk = '1') then
if (hmove_set = '1') then
sec_dl(1) <= '1';
elsif (sec = '1') then
sec_dl(1) <= '0';
end if;
if (hh0_edge = '1') then
sec_dl(0) <= sec_dl(1);
elsif (hh1_edge = '1') then
sec <= sec_dl(0);
end if;
end if;
end process;
hmove_cntr_sl <= std_logic_vector(hmove_cntr);
motion: process(clk, r, hmove_set)
begin
if (clk'event and clk = '1') then
if (hh1_edge = '1') then
if (sec = '1') then
hmove_cntr <= hmove_cntr + 1;
end if;
if (p0_hmove(3) /= hmove_cntr(3)) and
(p0_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then
p0_ec <= '0';
elsif (sec = '1') then
p0_ec <= '1';
end if;
if (p1_hmove(3) /= hmove_cntr(3)) and
(p1_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then
p1_ec <= '0';
elsif (sec = '1') then
p1_ec <= '1';
end if;
if (m0_hmove(3) /= hmove_cntr(3)) and
(m0_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then
m0_ec <= '0';
elsif (sec = '1') then
m0_ec <= '1';
end if;
if (m1_hmove(3) /= hmove_cntr(3)) and
(m1_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then
m1_ec <= '0';
elsif (sec = '1') then
m1_ec <= '1';
end if;
if (bl_hmove(3) /= hmove_cntr(3)) and
(bl_hmove(2 downto 0) = hmove_cntr_sl(2 downto 0)) then
bl_ec <= '0';
elsif (sec = '1') then
bl_ec <= '1';
end if;
if not (hmove_cntr = "0000") then
hmove_cntr <= hmove_cntr + 1;
end if;
end if;
end if;
end process;
collision: process(clk, cx_clr)
begin
if (clk'event and clk = '1') then
if (cx_clr = '1') then
cx <= "000000000000000";
else
if (m0_pix = '1' and p0_pix = '1') then
cx(0) <= '1';
end if;
if (m0_pix = '1' and p1_pix = '1') then
cx(1) <= '1';
end if;
if (m1_pix = '1' and p1_pix = '1') then
cx(2) <= '1';
end if;
if (m1_pix = '1' and p0_pix = '1') then
cx(3) <= '1';
end if;
if (bl_pix = '1' and p0_pix = '1') then
cx(4) <= '1';
end if;
if (pf_pix = '1' and p0_pix = '1') then
cx(5) <= '1';
end if;
if (bl_pix = '1' and p1_pix = '1') then
cx(6) <= '1';
end if;
if (pf_pix = '1' and p1_pix = '1') then
cx(7) <= '1';
end if;
if (bl_pix = '1' and m0_pix = '1') then
cx(8) <= '1';
end if;
if (pf_pix = '1' and m0_pix = '1') then
cx(9) <= '1';
end if;
if (bl_pix = '1' and m1_pix = '1') then
cx(10) <= '1';
end if;
if (pf_pix = '1' and m1_pix = '1') then
cx(11) <= '1';
end if;
if (pf_pix = '1' and bl_pix = '1') then
cx(12) <= '1';
end if;
if (m0_pix = '1' and m1_pix = '1') then
cx(13) <= '1';
end if;
if (p0_pix = '1' and p1_pix = '1') then
cx(14) <= '1';
end if;
end if;
end if;
end process;
ph0 <= phi0;
ph1 <= phi1;
process(clk)
begin
if (clk'event and clk = '1') then
if (h_lfsr_out = "010100" and hh1_edge = '1') then
clk_dvdr <= "01";
phi0 <= '0';
phi1 <= '0';
else
case clk_dvdr is
when "00" =>
clk_dvdr <= "01";
phi0 <= '0';
phi1 <= '1';
when "01" =>
clk_dvdr <= "11";
phi0 <= '0';
phi1 <= '0';
when "11" =>
clk_dvdr <= "00";
phi0 <= '1';
phi1 <= '1';
when others =>
null;
end case;
end if;
end if;
end process;
process(clk, inpt45_rst, inpt45_len, inpt4, inpt5)
begin
if (clk'event and clk = '1') then
if (inpt45_rst = '1') then
inpt4_l <= '1';
inpt5_l <= '1';
elsif (inpt45_len = '1') then
if (inpt4 = '0') then
inpt4_l <= '0';
end if;
if (inpt5 = '0') then
inpt5_l <= '0';
end if;
end if;
end if;
end process;
sync <= hsync xor vsync;
blank <= hblank or vblank;
process(vid_clk, vid_clk_dvdr)
begin
if (vid_clk'event and vid_clk = '1') then
vid_clk_dvdr <= vid_clk_dvdr + 1;
end if;
end process;
clk <= vid_clk_dvdr(3);
clkx2 <= vid_clk_dvdr(2);
-- Inst_VGA_SCANDBL: VGA_SCANDBL PORT MAP(
-- I => int_colu,
-- I_HSYNC => hsync,
-- I_VSYNC => vsync,
-- O => vga_colu,
-- O_HSYNC => hsyn,
-- O_VSYNC => vsyn,
-- CLK => clk,
-- CLK_X2 => clkx2
-- );
-- Inst_VGAColorTable: VGAColorTable PORT MAP(
-- clk => clkx2,
-- lum => '0' & vga_colu(2 downto 0),
-- hue => vga_colu(6 downto 3),
-- mode => '0' & pal, -- 00 = NTSC, 01 = PAL
-- outColor => rgbx2
-- );
-- O_VIDEO_R(3 downto 1) <= video_r_x2;
-- O_VIDEO_G(3 downto 1) <= video_g_x2;
-- O_VIDEO_B(3 downto 2) <= video_b_x2;
-- O_HSYNC <= hsync_x2;
-- O_VSYNC <= vsyn;
col_lut_idx <=
"0001" & (not vid_clk_dvdr(3)) & vid_clk_dvdr(2) & vid_clk_dvdr(1) & vid_clk_dvdr(0) when (cburst = '1') else
int_colu(6 downto 3) & (not vid_clk_dvdr(3)) & vid_clk_dvdr(2) & vid_clk_dvdr(1) & vid_clk_dvdr(0);
col_lu <= col_lut(to_integer(unsigned(col_lut_idx)));
lum_lu <= lum_lut(to_integer(unsigned(int_colu(2 downto 0))));
-- Composite video output
process(vid_clk)
begin
if (vid_clk'event and vid_clk = '1') then
if (sync = '1') then
cv <= std_logic_vector(sync_level);
elsif (cburst = '1') then
cv <= std_logic_vector(blank_level + col_lu);
elsif (blank = '1') then
cv <= std_logic_vector(blank_level);
else
cv <= std_logic_vector(lum_lu + col_lu);
end if;
end if;
end process;
end arch;
| mit | 8214851878fad97c1c9f2634683457b0 | 0.441831 | 3.373551 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/RS232/Rs232 RefProj/SourceFiles/RS232RefComp.vhd | 3 | 11,032 | ------------------------------------------------------------------------
-- RS232RefCom.vhd
------------------------------------------------------------------------
-- Author: Dan Pederson
-- Copyright 2004 Digilent, Inc.
------------------------------------------------------------------------
-- Description: This file defines a UART which tranfers data from
-- serial form to parallel form and vice versa.
------------------------------------------------------------------------
-- Revision History:
-- 07/15/04 (Created) DanP
-- 02/25/08 (Created) ClaudiaG: made use of the baudDivide constant
-- in the Clock Dividing Processes
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Rs232RefComp is
Port (
TXD : out std_logic := '1';
RXD : in std_logic;
CLK : in std_logic; --Master Clock = 50MHz
DBIN : in std_logic_vector (7 downto 0); --Data Bus in
DBOUT : out std_logic_vector (7 downto 0); --Data Bus out
RDA : inout std_logic; --Read Data Available
TBE : inout std_logic := '1'; --Transfer Bus Empty
RD : in std_logic; --Read Strobe
WR : in std_logic; --Write Strobe
PE : out std_logic; --Parity Error Flag
FE : out std_logic; --Frame Error Flag
OE : out std_logic; --Overwrite Error Flag
RST : in std_logic := '0'); --Master Reset
end Rs232RefComp;
architecture Behavioral of Rs232RefComp is
------------------------------------------------------------------------
-- Component Declarations
------------------------------------------------------------------------
------------------------------------------------------------------------
-- Local Type Declarations
------------------------------------------------------------------------
--Receive state machine
type rstate is (
strIdle, --Idle state
strEightDelay, --Delays for 8 clock cycles
strGetData, --Shifts in the 8 data bits, and checks parity
strCheckStop --Sets framing error flag if Stop bit is wrong
);
type tstate is (
sttIdle, --Idle state
sttTransfer, --Move data into shift register
sttShift --Shift out data
);
type TBEstate is (
stbeIdle,
stbeSetTBE,
stbeWaitLoad,
stbeWaitWrite
);
------------------------------------------------------------------------
-- Signal Declarations
------------------------------------------------------------------------
constant baudDivide : std_logic_vector(7 downto 0) := "10100011"; --Baud Rate dividor, set now for a rate of 9600.
--Found by dividing 50MHz by 9600 and 16.
signal rdReg : std_logic_vector(7 downto 0) := "00000000"; --Receive holding register
signal rdSReg : std_logic_vector(9 downto 0) := "1111111111"; --Receive shift register
signal tfReg : std_logic_vector(7 downto 0); --Transfer holding register
signal tfSReg : std_logic_vector(10 downto 0) := "11111111111"; --Transfer shift register
signal clkDiv : std_logic_vector(8 downto 0) := "000000000"; --used for rClk
signal rClkDiv : std_logic_vector(3 downto 0) := "0000"; --used for tClk
signal ctr : std_logic_vector(3 downto 0) := "0000"; --used for delay times
signal tfCtr : std_logic_vector(3 downto 0) := "0000"; --used to delay in transfer
signal rClk : std_logic := '0'; --Receiving Clock
signal tClk : std_logic; --Transfering Clock
signal dataCtr : std_logic_vector(3 downto 0) := "0000"; --Counts the number of read data bits
signal parError: std_logic; --Parity error bit
signal frameError: std_logic; --Frame error bit
signal CE : std_logic; --Clock enable for the latch
signal ctRst : std_logic := '0';
signal load : std_logic := '0';
signal shift : std_logic := '0';
signal par : std_logic;
signal tClkRST : std_logic := '0';
signal rShift : std_logic := '0';
signal dataRST : std_logic := '0';
signal dataIncr: std_logic := '0';
signal strCur : rstate := strIdle; --Current state in the Receive state machine
signal strNext : rstate; --Next state in the Receive state machine
signal sttCur : tstate := sttIdle; --Current state in the Transfer state machine
signal sttNext : tstate; --Next state in the Transfer staet machine
signal stbeCur : TBEstate := stbeIdle;
signal stbeNext: TBEstate;
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
begin
frameError <= not rdSReg(9);
parError <= not ( rdSReg(8) xor (((rdSReg(0) xor rdSReg(1)) xor (rdSReg(2) xor rdSReg(3))) xor ((rdSReg(4) xor rdSReg(5)) xor (rdSReg(6) xor rdSReg(7)))) );
DBOUT <= rdReg;
tfReg <= DBIN;
par <= not ( ((tfReg(0) xor tfReg(1)) xor (tfReg(2) xor tfReg(3))) xor ((tfReg(4) xor tfReg(5)) xor (tfReg(6) xor tfReg(7))) );
--Clock Dividing Functions--
process (CLK, clkDiv) --set up clock divide for rClk
begin
if (Clk = '1' and Clk'event) then
if (clkDiv = baudDivide) then
clkDiv <= "000000000";
else
clkDiv <= clkDiv +1;
end if;
end if;
end process;
process (clkDiv, rClk, CLK) --Define rClk
begin
if CLK = '1' and CLK'Event then
if clkDiv = baudDivide then
rClk <= not rClk;
else
rClk <= rClk;
end if;
end if;
end process;
process (rClk) --set up clock divide for tClk
begin
if (rClk = '1' and rClk'event) then
rClkDiv <= rClkDiv +1;
end if;
end process;
tClk <= rClkDiv(3); --define tClk
process (rClk, ctRst) --set up a counter based on rClk
begin
if rClk = '1' and rClk'Event then
if ctRst = '1' then
ctr <= "0000";
else
ctr <= ctr +1;
end if;
end if;
end process;
process (tClk, tClkRST) --set up a counter based on tClk
begin
if (tClk = '1' and tClk'event) then
if tClkRST = '1' then
tfCtr <= "0000";
else
tfCtr <= tfCtr +1;
end if;
end if;
end process;
--This process controls the error flags--
process (rClk, RST, RD, CE)
begin
if RD = '1' or RST = '1' then
FE <= '0';
OE <= '0';
RDA <= '0';
PE <= '0';
elsif rClk = '1' and rClk'event then
if CE = '1' then
FE <= frameError;
OE <= RDA;
RDA <= '1';
PE <= parError;
rdReg(7 downto 0) <= rdSReg (7 downto 0);
end if;
end if;
end process;
--This process controls the receiving shift register--
process (rClk, rShift)
begin
if rClk = '1' and rClk'Event then
if rShift = '1' then
rdSReg <= (RXD & rdSReg(9 downto 1));
end if;
end if;
end process;
--This process controls the dataCtr to keep track of shifted values--
process (rClk, dataRST)
begin
if (rClk = '1' and rClk'event) then
if dataRST = '1' then
dataCtr <= "0000";
elsif dataIncr = '1' then
dataCtr <= dataCtr +1;
end if;
end if;
end process;
--Receiving State Machine--
process (rClk, RST)
begin
if rClk = '1' and rClk'Event then
if RST = '1' then
strCur <= strIdle;
else
strCur <= strNext;
end if;
end if;
end process;
--This process generates the sequence of steps needed receive the data
process (strCur, ctr, RXD, dataCtr, rdSReg, rdReg, RDA)
begin
case strCur is
when strIdle =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
CE <= '0';
if RXD = '0' then
ctRst <= '1';
strNext <= strEightDelay;
else
ctRst <= '0';
strNext <= strIdle;
end if;
when strEightDelay =>
dataIncr <= '0';
rShift <= '0';
CE <= '0';
if ctr(2 downto 0) = "111" then
ctRst <= '1';
dataRST <= '1';
strNext <= strGetData;
else
ctRst <= '0';
dataRST <= '0';
strNext <= strEightDelay;
end if;
when strGetData =>
CE <= '0';
dataRst <= '0';
if ctr(3 downto 0) = "1111" then
ctRst <= '1';
dataIncr <= '1';
rShift <= '1';
else
ctRst <= '0';
dataIncr <= '0';
rShift <= '0';
end if;
if dataCtr = "1010" then
strNext <= strCheckStop;
else
strNext <= strGetData;
end if;
when strCheckStop =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
ctRst <= '0';
CE <= '1';
strNext <= strIdle;
end case;
end process;
--TBE State Machine--
process (CLK, RST)
begin
if CLK = '1' and CLK'Event then
if RST = '1' then
stbeCur <= stbeIdle;
else
stbeCur <= stbeNext;
end if;
end if;
end process;
--This process gererates the sequence of events needed to control the TBE flag--
process (stbeCur, CLK, WR, DBIN, load)
begin
case stbeCur is
when stbeIdle =>
TBE <= '1';
if WR = '1' then
stbeNext <= stbeSetTBE;
else
stbeNext <= stbeIdle;
end if;
when stbeSetTBE =>
TBE <= '0';
if load = '1' then
stbeNext <= stbeWaitLoad;
else
stbeNext <= stbeSetTBE;
end if;
when stbeWaitLoad =>
if load = '0' then
stbeNext <= stbeWaitWrite;
else
stbeNext <= stbeWaitLoad;
end if;
when stbeWaitWrite =>
if WR = '0' then
stbeNext <= stbeIdle;
else
stbeNext <= stbeWaitWrite;
end if;
end case;
end process;
--This process loads and shifts out the transfer shift register--
process (load, shift, tClk, tfSReg)
begin
TXD <= tfsReg(0);
if tClk = '1' and tClk'Event then
if load = '1' then
tfSReg (10 downto 0) <= ('1' & par & tfReg(7 downto 0) &'0');
end if;
if shift = '1' then
tfSReg (10 downto 0) <= ('1' & tfSReg(10 downto 1));
end if;
end if;
end process;
-- Transfer State Machine--
process (tClk, RST)
begin
if (tClk = '1' and tClk'Event) then
if RST = '1' then
sttCur <= sttIdle;
else
sttCur <= sttNext;
end if;
end if;
end process;
-- This process generates the sequence of steps needed transfer the data--
process (sttCur, tfCtr, tfReg, TBE, tclk)
begin
case sttCur is
when sttIdle =>
tClkRST <= '0';
shift <= '0';
load <= '0';
if TBE = '1' then
sttNext <= sttIdle;
else
sttNext <= sttTransfer;
end if;
when sttTransfer =>
shift <= '0';
load <= '1';
tClkRST <= '1';
sttNext <= sttShift;
when sttShift =>
shift <= '1';
load <= '0';
tClkRST <= '0';
if tfCtr = "1100" then
sttNext <= sttIdle;
else
sttNext <= sttShift;
end if;
end case;
end process;
end Behavioral; | gpl-3.0 | c209a799770d9ac0ab6cc05c2e8b5015 | 0.534445 | 3.145709 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/siete_segmentos.vhd | 4 | 3,225 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/07/29 12:56:33
-- Nombre del módulo: siete_segmentos - Behavioral
-- Descripción:
-- Decodificador de seis bits a siete segmentos. Se incluyen los números del 0
-- al 9, las letras de la A a la Z, y otros signos de puntuación utilizados.
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/siete-segmentos-y-vhdl/
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity siete_segmentos is
PORT (
entrada: IN STD_LOGIC_VECTOR(5 downto 0);
salida : OUT STD_LOGIC_VECTOR(7 downto 0)
);
end siete_segmentos;
architecture Behavioral of siete_segmentos is
begin
visualizador: process (entrada) begin
case entrada is
when "000000" => salida <= x"C0"; -- 0
when "000001" => salida <= x"F9"; -- 1
when "000010" => salida <= x"A4"; -- 2
when "000011" => salida <= x"B0"; -- 3
when "000100" => salida <= x"99"; -- 4
when "000101" => salida <= x"92"; -- 5
when "000110" => salida <= x"82"; -- 6
when "000111" => salida <= x"F8"; -- 7
when "001000" => salida <= x"80"; -- 8
when "001001" => salida <= x"98"; -- 9
when "001010" => salida <= x"88"; -- A
when "001011" => salida <= x"83"; -- B
when "001100" => salida <= x"C6"; -- C
when "001101" => salida <= x"A1"; -- D
when "001110" => salida <= x"86"; -- E
when "001111" => salida <= x"8E"; -- F
when "010000" => salida <= x"90"; -- G
when "010001" => salida <= x"89"; -- H
when "010010" => salida <= x"E6"; -- I
when "010011" => salida <= x"E1"; -- J
when "010100" => salida <= x"85"; -- K
when "010101" => salida <= x"C7"; -- L
when "010110" => salida <= x"C8"; -- M
when "010111" => salida <= x"AB"; -- N
when "011000" => salida <= x"C0"; -- O
when "011001" => salida <= x"8C"; -- P
when "011010" => salida <= x"98"; -- Q
when "011011" => salida <= x"AF"; -- R
when "011100" => salida <= x"92"; -- S
when "011101" => salida <= x"87"; -- T
when "011110" => salida <= x"E3"; -- U
when "011111" => salida <= x"C1"; -- V
when "100000" => salida <= x"E2"; -- W
when "100001" => salida <= x"8F"; -- X
when "100010" => salida <= x"91"; -- Y
when "100011" => salida <= x"B6"; -- Z
when "100100" => salida <= x"BF"; -- -
when "100101" => salida <= x"F7"; -- _
when "100110" => salida <= x"7F"; -- .
when others => salida <= x"FF"; -- Nada
end case;
end process;
end Behavioral; | lgpl-2.1 | 7a03fb019439c8b573b5811ae08ae6a2 | 0.454404 | 3.458558 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/pdi_spi.vhd | 5 | 11,210 | ------------------------------------------------------------------------------------------------------------------------
-- Parallel port (8/16bit) for PDI
--
-- Copyright (C) 2010 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2010-08-31 V0.01 zelenkaj First version
-- 2010-11-23 V0.02 zelenkaj Added write/read sequence feature (WRSQ and RDSQ)
-- 2010-11-29 V0.03 zelenkaj Added endian generic
-- 2011-01-10 V0.04 zelenkaj Added wake up feature
-- 2011-02-28 V0.05 zelenkaj Added inversion of wake up command
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity pdi_spi is
generic (
spiSize_g : integer := 8;
cpol_g : boolean := false;
cpha_g : boolean := false;
spiBigEnd_g : boolean := false
);
port (
-- SPI
spi_clk : in std_logic;
spi_sel : in std_logic;
spi_miso : out std_logic;
spi_mosi : in std_logic;
-- clock for AP side
ap_reset : in std_logic;
ap_clk : in std_logic;
-- Avalon Slave Interface for AP
ap_chipselect : out std_logic;
ap_read : out std_logic;
ap_write : out std_logic;
ap_byteenable : out std_logic_vector(3 DOWNTO 0);
ap_address : out std_logic_vector(12 DOWNTO 0);
ap_writedata : out std_logic_vector(31 DOWNTO 0);
ap_readdata : in std_logic_vector(31 DOWNTO 0)
);
end entity pdi_spi;
architecture rtl of pdi_spi is
--wake up command
constant cmdWakeUp : std_logic_vector(7 downto 0) := x"03"; --0b00000011
constant cmdWakeUp1 : std_logic_vector(7 downto 0) := x"0A"; --0b00001010
constant cmdWakeUp2 : std_logic_vector(7 downto 0) := x"0C"; --0b00001100
constant cmdWakeUp3 : std_logic_vector(7 downto 0) := x"0F"; --0b00001111
--spi frame constants
constant cmdHighaddr_c : std_logic_vector(2 downto 0) := "100";
constant cmdMidaddr_c : std_logic_vector(2 downto 0) := "101";
constant cmdWr_c : std_logic_vector(2 downto 0) := "110";
constant cmdRd_c : std_logic_vector(2 downto 0) := "111";
constant cmdWRSQ_c : std_logic_vector(2 downto 0) := "001";
constant cmdRDSQ_c : std_logic_vector(2 downto 0) := "010";
constant cmdLowaddr_c : std_logic_vector(2 downto 0) := "011";
constant cmdIdle_c : std_logic_vector(2 downto 0) := "000";
--pdi_spi control signals
type fsm_t is (reset, reset1, reset2, reset3, idle, decode, waitwr, waitrd, wr, rd);
signal fsm : fsm_t;
signal addrReg : std_logic_vector(ap_address'left+2 downto 0);
signal cmd : std_logic_vector(2 downto 0);
signal highPriorLoad : std_logic;
signal highPriorLoadVal : std_logic_vector(spiSize_g-1 downto 0);
--spi core signals
signal clk : std_logic;
signal rst : std_logic;
signal din : std_logic_vector(spiSize_g-1 downto 0);
signal load : std_logic;
signal dout : std_logic_vector(spiSize_g-1 downto 0);
signal valid : std_logic;
--
signal ap_byteenable_s : std_logic_vector(ap_byteenable'range);
begin
clk <= ap_clk;
rst <= ap_reset;
ap_chipselect <= '1' when fsm = wr or fsm = rd or fsm = waitrd else '0';
ap_write <= '1' when fsm = wr else '0';
ap_read <= '1' when fsm = waitrd or fsm = rd else '0';
ap_address <= addrReg(addrReg'left downto 2);
ap_byteenable <= ap_byteenable_s;
ap_byteenable_s <= --little endian
"0001" when addrReg(1 downto 0) = "00" and spiBigEnd_g = false else
"0010" when addrReg(1 downto 0) = "01" and spiBigEnd_g = false else
"0100" when addrReg(1 downto 0) = "10" and spiBigEnd_g = false else
"1000" when addrReg(1 downto 0) = "11" and spiBigEnd_g = false else
--big endian
"0001" when addrReg(1 downto 0) = "11" and spiBigEnd_g = true else
"0010" when addrReg(1 downto 0) = "10" and spiBigEnd_g = true else
"0100" when addrReg(1 downto 0) = "01" and spiBigEnd_g = true else
"1000" when addrReg(1 downto 0) = "00" and spiBigEnd_g = true else
"0000";
ap_writedata <= (dout & dout & dout & dout);
din <= highPriorLoadVal when highPriorLoad = '1' else --load value that was just received
ap_readdata( 7 downto 0) when ap_byteenable_s = "0001" else
ap_readdata(15 downto 8) when ap_byteenable_s = "0010" else
ap_readdata(23 downto 16) when ap_byteenable_s = "0100" else
ap_readdata(31 downto 24) when ap_byteenable_s = "1000" else
(others => '0');
load <= '1' when highPriorLoad = '1' else --load value that was just received
'1' when fsm = rd else --load data from pdi to spi shift register
'0';
cmd <= dout(dout'left downto dout'left-2); --get cmd pattern
highPriorLoadVal <= not dout; --create inverse of received pattern
thePdiSpiFsm : process(clk, rst)
variable timeout : integer range 0 to 3;
variable writes : integer range 0 to 32;
variable reads : integer range 0 to 32;
begin
if rst = '1' then
fsm <= reset;
timeout := 0;
writes := 0; reads := 0;
addrReg <= (others => '0');
highPriorLoad <= '0';
elsif clk = '1' and clk'event then
--default assignment
highPriorLoad <= '0';
case fsm is
when reset =>
fsm <= reset;
if valid = '1' then
--load inverse pattern of received pattern
highPriorLoad <= '1';
if dout = cmdWakeUp then
--wake up command (1/4) received
fsm <= reset1;
else
--wake up command not decoded correctly
fsm <= reset;
end if;
end if;
when reset1 =>
fsm <= reset1;
if valid = '1' then
--load inverse pattern of received pattern
highPriorLoad <= '1';
if dout = cmdWakeUp1 then
--wake up command (2/4) sequence was correctly decoded!
fsm <= reset2;
else
--wake up command not decoded correctly
fsm <= reset;
end if;
end if;
when reset2 =>
fsm <= reset2;
if valid = '1' then
--load inverse pattern of received pattern
highPriorLoad <= '1';
if dout = cmdWakeUp2 then
--wake up command (3/4) sequence was correctly decoded!
fsm <= reset3;
else
--wake up command not decoded correctly
fsm <= reset;
end if;
end if;
when reset3 =>
fsm <= reset3;
if valid = '1' then
--load inverse pattern of received pattern
highPriorLoad <= '1';
if dout = cmdWakeUp3 then
--wake up command (4/4) sequence was correctly decoded!
fsm <= idle;
else
--wake up command not decoded correctly
fsm <= reset;
end if;
end if;
when idle =>
if writes /= 0 then
fsm <= waitwr;
elsif reads /= 0 and valid = '1' then
fsm <= waitrd;
elsif valid = '1' then
fsm <= decode;
else
fsm <= idle;
end if;
when decode =>
fsm <= idle; --default
case cmd is
when cmdHighaddr_c =>
addrReg(addrReg'left downto addrReg'left-4) <= dout(spiSize_g-4 downto 0);
when cmdMidaddr_c =>
addrReg(addrReg'left-5 downto addrReg'left-9) <= dout(spiSize_g-4 downto 0);
when cmdLowaddr_c =>
addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0);
when cmdWr_c =>
addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0);
fsm <= waitwr;
writes := 1;
when cmdRd_c =>
addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0);
fsm <= waitrd;
reads := 1;
when cmdWRSQ_c =>
fsm <= waitwr;
writes := conv_integer(dout(spiSize_g-4 downto 0)) + 1; --BYTES byte are written
when cmdRDSQ_c =>
fsm <= waitrd;
reads := conv_integer(dout(spiSize_g-4 downto 0)) + 1; --BYTES byte are read
when cmdIdle_c =>
--don't interpret the command, inverse pattern and goto idle
when others =>
--error, goto idle
end case;
when waitwr =>
--wait for data from spi master
if valid = '1' then
fsm <= wr;
else
fsm <= waitwr;
end if;
when waitrd =>
--spi master wants to read
--wait for dpr to read
if timeout = 3 then
fsm <= rd;
timeout := 0;
else
timeout := timeout + 1;
fsm <= waitrd;
end if;
when wr =>
fsm <= idle;
writes := writes - 1;
addrReg <= addrReg + 1;
when rd =>
fsm <= idle;
reads := reads - 1;
addrReg <= addrReg + 1;
end case;
end if;
end process;
theSpiCore : entity work.spi
generic map (
frameSize_g => spiSize_g,
cpol_g => cpol_g,
cpha_g => cpha_g
)
port map (
-- Control Interface
clk => clk,
rst => rst,
din => din,
load => load,
dout => dout,
valid => valid,
-- SPI
sck => spi_clk,
ss => spi_sel,
miso => spi_miso,
mosi => spi_mosi
);
end architecture rtl;
| gpl-2.0 | dcc04a19d6f897bd0f6453548f8110be | 0.570562 | 3.368389 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/builtin_extdepth_v6.vhd | 6 | 50,137 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 35376)
`protect data_block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`protect end_protected
| gpl-3.0 | 17c04f97ddf00ffaa98b8f8936ae6783 | 0.949857 | 1.822766 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/ClockGenerator/ClockGenerator.vhd | 1 | 1,866 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
--library hdl_library_CommonFunctions;
--use hdl_library_CommonFunctions.CommonFunctions.all;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.MathHelpers.all;
entity ClockGenerator is
generic
(
G_CLOCK_FREQUENCY : integer;
G_CLOCK_DIVIDER : integer
);
port
(
clock : in std_logic;
enable : in std_logic;
clock_output : out std_logic;
clock_output_n : out std_logic
);
end entity; --ClockGenerator
architecture arch of ClockGenerator is
signal i_counter : std_logic_vector(log2(G_CLOCK_DIVIDER) downto 0) := (others => '0');
signal i_clock_output : std_logic := '0';
signal i_index : integer := 0;
begin
PowerOF2: if (isPow2(G_CLOCK_DIVIDER) = true) and (G_CLOCK_FREQUENCY/G_CLOCK_DIVIDER > 1) generate
--i_index <= log2(G_CLOCK_FREQUENCY/G_CLOCK_DIVIDER);
pow2_divider:process(clock)
begin
if rising_edge(clock) then
if enable = '1' then
i_counter <= i_counter + 1;
else
i_clock_output <= '0';
end if;
i_clock_output <= i_counter(log2(G_CLOCK_DIVIDER));
end if;
end process pow2_divider;
end generate PowerOF2;
clock_output <= i_clock_output;
clock_output_n <= not i_clock_output;
NotPowerOF2: if (isPow2(G_CLOCK_DIVIDER) = false) and (G_CLOCK_FREQUENCY/G_CLOCK_DIVIDER > 1) generate
clock_counter:process(clock)
begin
if rising_edge(clock) then
if enable = '1' then
i_counter <= i_counter + 1;
if i_counter = (G_CLOCK_DIVIDER) - 1 then
i_clock_output <= not i_clock_output;
i_counter <= (others => '0');
end if;
else
i_clock_output <= '0';
end if;
end if;
end process clock_counter; -- clock_counter
end generate NotPowerOF2;
end architecture; -- arch | gpl-3.0 | 402f3ea1baf3c81028c94b0e6e057ada | 0.6597 | 2.875193 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/axi_reg_slice.vhd | 6 | 17,522 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11232)
`protect data_block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`protect end_protected
| gpl-3.0 | ba26135c77f4653b09117a1a2a8e5ae3 | 0.93745 | 1.851828 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/auditoría_imagen_16x16/ro_filt_3x3.vhd | 1 | 6,254 | -------------------------------------------------------------- ------------
-- filename: ro_filt_3x3.vhd
-- author: Tony Nelson
-- date: 12/21/99
--
-- detail: 3x3 Rank Order Filter. Generic order sets filter order.
-- order: integer:= 5 is a Median Filter.
--
-- auditoria
----------------------------------- ----------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity ro_filt_3x3 is
generic (
vwidth: integer:=8;
order: integer:=5;
num_cols: integer:=16;
num_rows: integer:=16
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
Dout : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic;
FColPos : out integer;
FRowPos : out integer
);
end ro_filt_3x3;
architecture ro_filt_3x3 of ro_filt_3x3 is
component sort_3x3
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
w11 : in std_logic_vector((vwidth -1) downto 0);
w12 : in std_logic_vector((vwidth -1) downto 0);
w13 : in std_logic_vector((vwidth -1) downto 0);
w21 : in std_logic_vector((vwidth-1) downto 0);
w22 : in std_logic_vector((vwidth -1) downto 0);
w23 : in std_logic_vector((vwidth -1) downto 0);
w31 : in std_logic_vector((vwidth -1) downto 0);
w32 : in std_logic_vector((vwidth -1) downto 0);
w33 : in std_logic_vector((vwidth-1) downto 0);
DVw : in std_logic;
DVs : out std_logic;
s1 : out std_logic_vector(vwidth -1 downto 0);
s2 : out std_logic_vector(vwidth -1 downto 0);
s3 : out std_logic_vector(vwidth -1 downto 0);
s4 : out std_logic_vector(vwidth-1 downto 0);
s5 : out std_logic_vector(vwidth -1 downto 0);
s6 : out std_logic_vector(vwidth -1 downto 0);
s7 : out std_logic_vector(vwidth -1 downto 0);
s8 : out std_logic_vector(vwidth -1 downto 0);
s9 : out std_logic_vector(vwidth -1 downto 0)
);
end component sort_3x3;
signal w11: std_logic_vector((vwidth -1) downto 0);
signal w12: std_logic_vector((vwidth -1) downto 0);
signal w13: std_logic_vector((vwidth -1) downto 0);
signal w21: std_logic_vector((vwidth -1) downto 0);
signal w22: std_logic_vector((vwidth-1) downto 0);
signal w23: std_logic_vector((vwidth -1) downto 0);
signal w31: std_logic_vector((vwidth -1) downto 0);
signal w32: std_logic_vector((vwidth -1) downto 0);
signal w33: std_logic_vector((vwidth -1) downto 0);
signal DVw: std_logic;
signal DVs: std_logic;
signal s1: std_logic_vector(vwidth -1 downto 0);
signal s2: std_logic_vector(vwidth -1 downto 0);
signal s3: std_logic_vector(vwidth -1 downto 0);
signal s4: std_logic_vector(vwidth -1 downto 0);
signal s5: std_logic_vector(vwidth-1 downto 0);
signal s6: std_logic_vector(vwidth -1 downto 0);
signal s7: std_logic_vector(vwidth -1 downto 0);
signal s8: std_logic_vector(vwidth -1 downto 0);
signal s9: std_logic_vector(vwidth -1 downto 0);
component window_3x3
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
w11 : out std_logic_vector(vwidth -1 downto 0);
w12 : out std_logic_vector(vwidth -1 downto 0);
w13 : out std_logic_vector(vwidth-1 downto 0);
w21 : out std_logic_vector(vwidth -1 downto 0);
w22 : out std_logic_vector(vwidth -1 downto 0);
w23 : out std_logic_vector(vwidth -1 downto 0);
w31 : out std_logic_vector(vwidth -1 downto 0);
w32 : out std_logic_vector(vwidth-1 downto 0);
w33 : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic:='0'
);
end component window_3x3;
component rc_counter
generic (
num_cols: integer:=16;
num_rows: integer:=16
);
port (
Clk : in std_logic;
RSTn : in std_logic;
En : in std_logic;
ColPos : out integer;
RowPos : out integer
);
end component rc_counter;
signal ColPos: integer:=0;
signal RowPos: integer:=0;
signal ColPos_c: integer:=0; -- corrected positions
signal RowPos_c: integer:=0;
signal rt1: integer:=0;
signal rt2: integer:=0;
signal rt3: integer:=0;
signal rt4: integer:=0;
signal rt5: integer:=0;
signal rt6: integer:=0;
signal rt7: integer:=0;
signal rt8: integer:=0;
signal rt9: integer:=0;
signal rt10: integer:=0;
signal rt11: integer:=0;
signal rt12: integer:=0;
signal rt13: integer:=0;
signal rt14: integer:=0;
signal rt15: integer:=0;
signal rt16: integer:=0;
signal flag: std_logic:='0';
begin
sort_3x3x: sort_3x3
generic map (
vwidth => 8
)
port map (
Clk => Clk,
RSTn => RSTn,
w11 => w11,
w12 => w12,
w13 => w13,
w21 => w21,
w22 => w22,
w23 => w23,
w31 => w31,
w32 => w32,
w33 => w33,
DVw => DVw,
DVs => DVs,
s1 => s1,
s2 => s2,
s3 => s3,
s4 => s4,
s5 => s5,
s6 => s6,
s7 => s7,
s8 => s8,
s9 => s9
);
window_3x3x: window_3x3
generic map (
vwidth => 8
)
port map (
Clk => Clk,
RSTn => RSTn,
D => D,
w11 => w11,
w12 => w12,
w13 => w13,
w21 => w21,
w22 => w22,
w23 => w23,
w31 => w31,
w32 => w32,
w33 => w33,
DV => DVw
);
rc_counterx: rc_counter
generic map (
num_cols => 16,
num_rows => 16
)
port map (
Clk => Clk,
RSTn => RSTn,
En => RSTn,
ColPos => ColPos,
RowPos => RowPos
);
FColPos <= ColPos;
FRowPos <= RowPos;
ro_filt_proc: process(RSTn,Clk)
begin
if RSTn = '0' then
ColPos_c <= 0;
rt1 <= 0;
rt2 <= 0;
rt3 <= 0;
rt4 <= 0;
rt5 <= 0;
rt6 <= 0;
rt7 <= 0;
rt8 <= 0;
rt9 <= 0;
rt10 <= 0;
rt11 <= 0;
rt12 <= 0;
rt13 <= 0;
rt14 <= 0;
rt15 <= 0;
rt16 <= 0;
RowPos_c <= 0;
Dout <= (others=>'0');
DV <= '0';
flag <= '0';
elsif rising_edge(Clk) then
-- counter correction
ColPos_c <= ((ColPos-17) mod 16);-- ojo aquí antes era 512 --ojo con el 17);
rt1 <= ((RowPos-1) mod 16);-- ojo aquí antes era 512);
rt2 <= rt1;
rt3 <= rt2;
rt4 <= rt3;
rt5 <= rt4;
rt6 <= rt5;
rt7 <= rt6;
rt8 <= rt7;
rt9 <= rt8;
rt10 <= rt9;
rt11 <= rt10;
rt12 <= rt11;
rt13 <= rt12;
rt14 <= rt13;
rt15 <= rt14;
rt16 <= rt15;
RowPos_c <= rt16;
-- screen edge detection
if (ColPos_c = num_cols-1) or (RowPos_c = num_rows-1) or (ColPos_c
= num_cols-2) or (RowPos_c = 0) then
Dout <= (others=>'0');
else
if order = 1 then
Dout <= s1;
elsif order = 2 then
Dout <= s2;
elsif order = 3 then
Dout <= s3;
elsif order = 4 then
Dout <= s4;
elsif order = 5 then
Dout <= s5;
elsif order = 6 then
Dout <= s6;
elsif order = 7 then
Dout <= s7;
elsif order = 8 then
Dout <= s8;
elsif order = 9 then
Dout <= s9;
end if;
end if;
if ColPos >= 1 and RowPos >= 2 then -- antes eran 17 y 1(por que 1???)
DV <= '1';
flag <= '1';
elsif flag = '1' then
DV <= '1';
else
DV <= '0';
end if;
end if;
end process;
end ro_filt_3x3;
| gpl-3.0 | 156a9f2c22a6ef417facddb8af9875ed | 0.642149 | 2.519742 | false | false | false | false |
takeshineshiro/fpga_linear_128 | lf_ast.vhd | 3 | 6,763 | -- ================================================================================
-- Legal Notice: Copyright (C) 1991-2006 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only to
-- program PLD devices (but not masked PLD devices) from Altera. Any other
-- use of such megafunction design, net list, support information, device
-- programming or simulation file, or any other related documentation or
-- information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to
-- the intellectual property, including patents, copyrights, trademarks,
-- trade secrets, or maskworks, embodied in any such megafunction design,
-- net list, support information, device programming or simulation file, or
-- any other related documentation or information provided by Altera or a
-- megafunction partner, remains with Altera, the megafunction partner, or
-- their respective licensors. No other licenses, including any licenses
-- needed under any third party's intellectual property, are provided herein.
-- ================================================================================
--
-- Generated by: FIR Compiler 9.0
-- Generated on: 2014-8-27 12:51:35
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library auk_dspip_lib;
use auk_dspip_lib.auk_dspip_lib_pkg_fir_90.all;
entity lf_ast is
port(
clk : in std_logic;
reset_n : in std_logic;
ast_sink_ready : out std_logic;
ast_source_data : out std_logic_vector (31 -1 downto 0);
ast_sink_data : in std_logic_vector (15 -1 downto 0);
ast_sink_valid : in std_logic;
ast_source_valid : out std_logic;
ast_source_ready : in std_logic;
ast_sink_error : in std_logic_vector (1 downto 0);
ast_source_error : out std_logic_vector (1 downto 0)
);
attribute altera_attribute : string;
attribute altera_attribute of lf_ast:entity is "-name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410; -name MESSAGE_DISABLE 10036";
end lf_ast;
-- Warnings Suppression On
-- altera message_off 10036
architecture struct of lf_ast is
signal sink_packet_error : std_logic_vector(1 downto 0);
signal data_in : std_logic_vector(15 -1 downto 0);
signal data_out : std_logic_vector(31 -1 downto 0);
signal core_out : std_logic_vector(31 -1 downto 0);
signal ready : std_logic;
signal reset_fir : std_logic;
signal sink_ready_ctrl : std_logic;
signal sink_stall : std_logic;
signal source_packet_error : std_logic_vector(1 downto 0);
signal source_stall : std_logic;
signal source_valid_ctrl : std_logic;
signal stall : std_logic;
signal valid : std_logic;
signal core_valid : std_logic;
signal enable_in : std_logic;
signal stall_delayed : std_logic;
constant ENABLE_PIPELINE_DEPTH_c : natural := 0;
component lf_st is
port (
rst : in std_logic;
clk : in std_logic;
clk_en : in std_logic;
rdy_to_ld : out std_logic;
done : out std_logic;
data_in : in std_logic_vector(15 - 1 downto 0);
fir_result : out std_logic_vector(31 - 1 downto 0));
end component lf_st;
begin
sink : auk_dspip_avalon_streaming_sink_fir_90
generic map (
WIDTH_g => 15,
PACKET_SIZE_g => 1,
FIFO_DEPTH_g => 7,
FAMILY_g => "Cyclone III",
MEM_TYPE_g => "Auto")
port map (
clk => clk,
reset_n => reset_n,
data => data_in,
sink_ready_ctrl => sink_ready_ctrl,
sink_stall => sink_stall,
packet_error => sink_packet_error,
at_sink_ready => ast_sink_ready,
at_sink_valid => ast_sink_valid,
at_sink_data => ast_sink_data,
at_sink_error => ast_sink_error);
source : auk_dspip_avalon_streaming_source_fir_90
generic map (
WIDTH_g => 31,
packet_size_g => 1)
port map (
clk => clk,
reset_n => reset_n,
data => data_out,
source_valid_ctrl => source_valid_ctrl,
design_stall => stall_delayed,
source_stall => source_stall,
packet_error => source_packet_error,
at_source_ready => ast_source_ready,
at_source_valid => ast_source_valid,
at_source_data => ast_source_data,
at_source_error => ast_source_error);
intf_ctrl : auk_dspip_avalon_streaming_controller_fir_90
port map (
clk => clk,
ready => ready,
reset_n => reset_n,
sink_packet_error => sink_packet_error,
sink_stall => sink_stall,
source_stall => source_stall,
valid => valid,
reset_design => reset_fir,
sink_ready_ctrl => sink_ready_ctrl,
source_packet_error => source_packet_error,
source_valid_ctrl => source_valid_ctrl,
stall => stall);
fircore: lf_st
port map (
rst => reset_fir,
clk => clk,
clk_en => enable_in,
rdy_to_ld => ready,
done => core_valid,
data_in => data_in,
fir_result => core_out);
data_out <= core_out;
valid <= core_valid;
enable_in <= not stall;
no_enable_pipeline: if ENABLE_PIPELINE_DEPTH_c = 0 generate
stall_delayed <= stall;
end generate no_enable_pipeline;
enable_pipeline: if ENABLE_PIPELINE_DEPTH_c > 0 generate
delay_core_enable : process (clk, reset_n)
variable stall_delay : std_logic_vector(ENABLE_PIPELINE_DEPTH_c downto 0);
begin -- process delay_core_enable
if reset_n = '0' then
stall_delay := (others => '0');
elsif rising_edge(clk) then
stall_delay := stall_delay(stall_delay'high-1 downto 0) & stall;
end if;
stall_delayed <= stall_delay(stall_delay'high);
end process delay_core_enable;
end generate enable_pipeline;
end struct;
| mit | 3bf650dafaefb110445e9099bd48a535 | 0.6021 | 3.795174 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/ClockGenerator/ClockGeneratorTB.vhd | 1 | 1,165 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.CommonFunctions.all;
entity ClockGeneratorTB is
end entity; --ClockGenerator
architecture tb of ClockGeneratorTB is
constant G_CLOCK_FREQUENCY : integer := 512;
constant G_BASE_FREQUENCY : integer := 12E6;
constant G_CLOCK_DIVIDER : integer := 10;
signal clock : std_logic := '0';
signal clock_n : std_logic := '0';
signal enable : std_logic := '0';
signal clock_output : std_logic := '0';
signal clock_output_n : std_logic := '0';
begin
clock <= not clock after (1 sec / G_CLOCK_FREQUENCY) / 2;
clock_n <= not clock;
--Test:process
--begin
enable <= '1';
--end process; --Test
dut : entity work.ClockGenerator
generic map
(
G_CLOCK_FREQUENCY => G_CLOCK_FREQUENCY,
G_CLOCK_DIVIDER => G_CLOCK_DIVIDER
)
port map
(
clock => clock,
enable => enable,
-- module clock output
clock_output => clock_output,
clock_output_n => clock_output_n
);
end architecture; -- tb | gpl-3.0 | 0202cf9a74c3c6e262c3d6806d985bb8 | 0.64206 | 3.025974 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_axi_read_fsm.vhd | 8 | 83,900 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60368)
`protect data_block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`protect end_protected
| gpl-3.0 | f5ea18fa2419e32ae00f51a36c71769a | 0.951871 | 1.810414 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/auk_dspip_avalon_streaming_source_hpfir.vhd | 2 | 19,165 | -- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--
-- Revision Control Information
--
-- $Revision: #1 $
-- $Date: 2009/07/29 $
-- Author : Boon Hong Oh
--
-- Project : Avalon_streaming II Source Interface with ready_latency=0
--
-- Description :
--
-- This interface is capable of handling single or multi channel streams as
-- well as blocks of data. The at_source_sop and at_source_eop are generated as
-- described in the Avalon_streaming II specification. The at_source_error output is a 2-
-- bit signal that complies with the PFC error format (by Kent Orthner).
--
-- 00: no error
-- 01: missing sop
-- 10: missing eop
-- 11: unexpected eop
-- other types of errors also marked as 11. Any error signal is accompanied
-- by at_sink_eop flagged high.
--
-- When packet_size is greater than one, this interface expects the main design
-- to supply the count of data starting from 1 to the packet_size. When it
-- receives the valid flag together with the data_count=1, it starts pumping
-- out data by flagging the at_source_sop and at_source_valid both high.
--
-- When the data_count=packet_size, the at_source_eop is flagged high together
-- with at_source_valid. THERE IS NO ERROR CHECKING FOR THE data_count signal.
--
-- If the receiver is not ready to accept any data, the interface flags the source_
-- stall signal high to tell the design to stall. It is the designers
-- responsibility to use this signal properly. In some design, the stall signal
-- needs to stall all of the design so that no new data can be accepted (as in
-- FIR), in other cases (i.e. a FIFO built on a dual port RAM),the input can
-- still accept new data although it cannot send any output.
--
-- ALTERA Confidential and Proprietary
-- Copyright 2006 (c) Altera Corporation
-- All rights reserved
--
-------------------------------------------------------------------------
-------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
use work.auk_dspip_math_pkg_hpfir.all;
entity auk_dspip_avalon_streaming_source_hpfir is
generic(
WIDTH_g : integer := 8; -- DATA_PORT_COUNT * DATA_WIDTH
DATA_WIDTH : integer := 8;
DATA_PORT_COUNT : integer := 1;
PACKET_SIZE_g : natural := 2;
FIFO_DEPTH_g : natural := 0;
HAVE_COUNTER_g : boolean := false;
COUNTER_LIMIT_g : natural := 4;
--MULTI_CHANNEL_g : boolean := true;
USE_PACKETS : integer := 1;
--FAMILY_g : string := "Stratix II";
--MEM_TYPE_g : string := "Auto";
ENABLE_BACKPRESSURE_g : boolean := true
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data_in : in std_logic_vector (WIDTH_g-1 downto 0);
data_count : in std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0) := (others => '0');
source_valid_ctrl : in std_logic;
source_stall : out std_logic;
packet_error : in std_logic_vector (1 downto 0);
----------------- AVALON_STREAMING SIDE SIGNALS
at_source_ready : in std_logic;
at_source_valid : out std_logic;
at_source_data : out std_logic_vector (WIDTH_g-1 downto 0);
at_source_channel : out std_logic_vector (log2_ceil_one(PACKET_SIZE_g)-1 downto 0);
at_source_error : out std_logic_vector (1 downto 0);
at_source_sop : out std_logic;
at_source_eop : out std_logic
);
-- Declarations
end auk_dspip_avalon_streaming_source_hpfir;
-- hds interface_end
architecture rtl of auk_dspip_avalon_streaming_source_hpfir is
--constant FIFO_HINT_c : string := "RAM_BLOCK_TYPE="& MEM_TYPE_g;
constant FIFO_DEPTH_c : natural := FIFO_DEPTH_g;
constant LOG2PACKET_SIZE_c : natural := log2_ceil_one(PACKET_SIZE_g);
constant MIN_DATA_COUNT_g : natural := 2;
type STATE_TYPE_t is (start, sop, run1, st_err, end1); --wait1, stall,
signal source_state : STATE_TYPE_t;
signal source_next_state : STATE_TYPE_t;
signal packet_error0 : std_logic;
signal at_source_error_int : std_logic_vector(1 downto 0);
signal at_source_sop_int : std_logic := '0';
signal at_source_eop_int : std_logic := '0';
signal count_finished : boolean := false;
signal count_started : boolean := false;
signal at_source_valid_s : std_logic;
signal data_valid : std_logic;
signal data_out : std_logic_vector(WIDTH_g-1 downto 0);
signal fifo_count : std_logic_vector(DATA_PORT_COUNT*log2_ceil(FIFO_DEPTH_g)-1 downto 0);
signal fifo_empty : std_logic_vector(DATA_PORT_COUNT-1 downto 0); -- multichan, multiinout
signal fifo_alm_empty : std_logic_vector(DATA_PORT_COUNT-1 downto 0);
signal fifo_alm_full : std_logic_vector(DATA_PORT_COUNT-1 downto 0);
signal fifo_full : std_logic_vector(DATA_PORT_COUNT-1 downto 0);
signal clear_fifo : std_logic;
signal fifo_rdreq : std_logic;
signal fifo_rdreq_d : std_logic;
signal fifo_wrreq : std_logic;
signal fifo_empty_d : std_logic;
signal reset_design_int : std_logic;
signal channel_out : std_logic_vector(log2_ceil_one(PACKET_SIZE_g)-1 downto 0) := (others => '0');
signal fifo_sop_in : std_logic := '0';
signal fifo_eop_in : std_logic := '0';
signal fifo_error_in : std_logic_vector(1 downto 0);
signal at_source_sop_s : std_logic := '0';
signal at_source_eop_s : std_logic := '0';
signal at_source_error_s : std_logic_vector(1 downto 0);
signal in_ready : std_logic;
component altera_avalon_sc_fifo is
generic(
SYMBOLS_PER_BEAT : integer := 1;
BITS_PER_SYMBOL : integer := 8;
FIFO_DEPTH : integer := 16;
CHANNEL_WIDTH : integer := 2;
ERROR_WIDTH : integer := 2;
--EMPTY_LATENCY : integer := 0;
USE_PACKETS : integer := 0
);
port (
-- inputs:
signal clk : IN STD_LOGIC;
signal in_channel : IN STD_LOGIC_VECTOR (log2_ceil_one(PACKET_SIZE_g)-1 DOWNTO 0);
signal in_data : IN STD_LOGIC_VECTOR (DATA_WIDTH*DATA_PORT_COUNT-1 DOWNTO 0);
signal in_error : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
signal in_endofpacket : IN STD_LOGIC;
signal in_startofpacket : IN STD_LOGIC;
signal in_valid : IN STD_LOGIC;
signal out_ready : IN STD_LOGIC;
signal reset : IN STD_LOGIC;
signal in_empty : IN STD_LOGIC_VECTOR (log2_ceil_one(DATA_PORT_COUNT)-1 DOWNTO 0);
signal csr_address : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
signal csr_write : IN STD_LOGIC;
signal csr_read : IN STD_LOGIC;
signal csr_writedata : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
-- outputs:
signal in_ready : OUT STD_LOGIC;
signal out_channel : OUT STD_LOGIC_VECTOR (log2_ceil_one(PACKET_SIZE_g)-1 DOWNTO 0);
signal out_data : OUT STD_LOGIC_VECTOR (DATA_WIDTH*DATA_PORT_COUNT-1 DOWNTO 0);
signal out_error : OUT STD_LOGIC_VECTOR (1 DOWNTO 0);
signal out_endofpacket : OUT STD_LOGIC;
signal out_startofpacket : OUT STD_LOGIC;
signal out_valid : OUT STD_LOGIC;
signal out_empty : OUT STD_LOGIC_VECTOR (log2_ceil_one(DATA_PORT_COUNT)-1 DOWNTO 0)
);
end component altera_avalon_sc_fifo;
begin
single_channel : if USE_PACKETS = 0 generate
at_source_sop_int <= '0';
at_source_eop_int <= '0';
packet_error0 <= packet_error(0);
at_source_error_int(1) <= '0';
at_source_error_int(0) <= packet_error0;
end generate single_channel;
packet_multi : if USE_PACKETS = 1 generate
packet_error0 <= packet_error(1) or packet_error(0);
counter_no : if HAVE_COUNTER_g = false generate
signal data_counter : unsigned(LOG2PACKET_SIZE_c-1 downto 0);
begin
count_finished <= true when data_counter = to_unsigned(PACKET_SIZE_g-1, LOG2PACKET_SIZE_c) else
false;
data_counter <= unsigned(data_count);
count_started <= true when data_counter = 0 else
false;
end generate counter_no;
counter_yes : if HAVE_COUNTER_g = true generate
signal data_counter : unsigned(log2_ceil(COUNTER_LIMIT_g)-1 downto 0);
begin
count_finished <= true when data_counter = to_unsigned(COUNTER_LIMIT_g-1, log2_ceil(COUNTER_LIMIT_g)) else
false;
count_started <= true when data_counter = 0 else
false;
packet_counter : process (clk, reset_n)
begin -- process packet_counter
if reset_n = '0' then
data_counter <= (others => '0');
elsif rising_edge(clk) then
if source_state = start and source_next_state = sop then
data_counter <= --(others => '0'); --
data_counter +1;
elsif data_valid = '1' and at_source_ready = '1' and (data_counter < COUNTER_LIMIT_g-1) then
data_counter <= data_counter +1;
elsif count_finished = true then
data_counter <= (others => '0');
end if;
end if;
end process packet_counter;
end generate counter_yes;
source_comb_update : process (--at_source_ready,
count_finished, count_started,
packet_error, packet_error0, source_state,
--at_source_valid_s
in_ready,
source_valid_ctrl)
begin -- process source_comb_update
case source_state is
when start =>
if packet_error0 = '1' then
source_next_state <= st_err;
at_source_error_int <= packet_error;
at_source_sop_int <= '0';
at_source_eop_int <= '1';
else
at_source_eop_int <= '0';
at_source_error_int <= "00";
if source_valid_ctrl = '1' and count_started = true then --and at_source_ready='1' then
source_next_state <= sop;
at_source_sop_int <= '1';
else
source_next_state <= start;
at_source_sop_int <= '0';
end if;
end if;
when sop =>
if packet_error0 = '1' then
source_next_state <= st_err;
at_source_error_int <= packet_error;
at_source_sop_int <= '0';
at_source_eop_int <= '1';
else
at_source_error_int <= "00";
at_source_eop_int <= '0';
--if source_valid_ctrl = '1' and at_source_ready = '1' and count_finished = false then
if source_valid_ctrl = '1' and in_ready = '1' and count_finished = false then
if PACKET_SIZE_g > 2 then
source_next_state <= run1;
else
source_next_state <= end1;
end if;
at_source_sop_int <= '0';
--elsif (at_source_ready = '1' and source_valid_ctrl = '1' and count_finished = true) or
elsif (in_ready = '1' and source_valid_ctrl = '1' and count_finished = true) or
(source_valid_ctrl = '0' and count_finished = true) then --valid_ctrl_int = '1' and
source_next_state <= end1;
at_source_error_int <= "00";
at_source_eop_int <= '1';
at_source_sop_int <= '0';
else
source_next_state <= sop;
at_source_sop_int <= '1';
end if;
end if;
when run1 =>
at_source_sop_int <= '0';
if packet_error0 = '1' then
source_next_state <= st_err;
at_source_error_int <= packet_error;
at_source_eop_int <= '1';
else
--if (at_source_ready = '1' and source_valid_ctrl = '1' and count_finished = true) or
if (in_ready = '1' and source_valid_ctrl = '1' and count_finished = true) or
(source_valid_ctrl = '0' and count_finished = true) then --valid_ctrl_int = '1' and
source_next_state <= end1;
at_source_error_int <= "00";
at_source_eop_int <= '1';
else
source_next_state <= run1;
at_source_error_int <= "00";
at_source_eop_int <= '0';
end if;
end if;
when end1 =>
if packet_error0 = '1' then
source_next_state <= st_err;
at_source_error_int <= packet_error;
at_source_sop_int <= '0';
at_source_eop_int <= '1';
else
at_source_error_int <= "00";
--if source_valid_ctrl = '1' and count_started = true and at_source_ready = '1' then
if source_valid_ctrl = '1' and count_started = true and in_ready = '1' then
source_next_state <= sop;
at_source_sop_int <= '1';
at_source_eop_int <= '0';
--elsif source_valid_ctrl = '1' and at_source_ready = '1' then
elsif source_valid_ctrl = '1' and in_ready = '1' then
source_next_state <= start;
at_source_sop_int <= '0';
at_source_eop_int <= '0';
else
source_next_state <= end1;
at_source_sop_int <= '0';
at_source_eop_int <= '1';
end if;
end if;
when st_err =>
at_source_sop_int <= '0';
at_source_eop_int <= '0';
if packet_error0 = '1' then
source_next_state <= st_err;
at_source_error_int <= packet_error;
else
source_next_state <= start;
at_source_error_int <= "00";
end if;
when others =>
source_next_state <= st_err;
at_source_sop_int <= '0';
at_source_eop_int <= '1';
at_source_error_int <= "11";
end case;
end process source_comb_update;
source_state_update : process (clk, reset_n)
begin -- process
if reset_n = '0' then
source_state <= start;
elsif clk'event and clk = '1' then
source_state <= source_next_state;
end if;
end process source_state_update;
end generate packet_multi;
at_source_sop <= at_source_sop_s;
at_source_eop <= at_source_eop_s;
at_source_error <= at_source_error_s;
channel_info_exists : if USE_PACKETS = 1 generate
at_source_channel <= channel_out;
end generate channel_info_exists;
no_channel_info : if USE_PACKETS = 0 generate
at_source_channel <= (others => '0');
end generate no_channel_info;
at_source_data <= data_out;
at_source_valid <= data_valid;
backpressure_support: if ENABLE_BACKPRESSURE_g = true generate
reset_design_int <= not reset_n;
--source_stall <= not(in_ready);
source_stall <= not(at_source_ready);
fifo_sop_in <= '0' when USE_PACKETS = 0 else
at_source_sop_int;
fifo_eop_in <= '0' when USE_PACKETS = 0 else
at_source_eop_int;
fifo_error_in <= "00" when USE_PACKETS = 0 else
at_source_error_int;
scfifo : altera_avalon_sc_fifo
generic map (
SYMBOLS_PER_BEAT => DATA_PORT_COUNT,
BITS_PER_SYMBOL => DATA_WIDTH,
FIFO_DEPTH => FIFO_DEPTH_c,
CHANNEL_WIDTH => log2_ceil_one(PACKET_SIZE_g),
ERROR_WIDTH => 2,
--EMPTY_LATENCY => 1,
USE_PACKETS => USE_PACKETS)
port map (
clk => clk,
reset => reset_design_int,
in_ready => in_ready,
--in_data => fifo_datain(((0*DATA_WIDTH)+DATA_WIDTH-1) downto (0*DATA_WIDTH)),
in_data => data_in,
in_valid => source_valid_ctrl,
in_error => fifo_error_in,
in_channel => data_count,
in_startofpacket => fifo_sop_in,
in_endofpacket => fifo_eop_in,
in_empty => (others => '0'),
csr_address => (others => '0'),
csr_write => '0',
csr_read => '0',
csr_writedata => (others => '0'),
out_ready => at_source_ready,
--out_data => fifo_dataout(((0*DATA_WIDTH)+DATA_WIDTH-1) downto (0*DATA_WIDTH)),
out_data => data_out,
out_valid => data_valid,
out_error => at_source_error_s,
out_channel => channel_out,
out_startofpacket => at_source_sop_s,
out_endofpacket => at_source_eop_s,
out_empty => open);
end generate backpressure_support;
backpressure_no_support: if ENABLE_BACKPRESSURE_g = false generate
in_ready <= '1';
source_stall <= '0';
output_registers : process (clk, reset_n)
begin
if reset_n = '0' then
channel_out <= (others => '0');
data_out <= (others => '0');
data_valid <= '0';
at_source_error_s <= "00";
at_source_sop_s <= '0';
at_source_eop_s <= '0';
elsif rising_edge(clk) then
channel_out <= data_count;
data_out <= data_in;
data_valid <= source_valid_ctrl;
at_source_error_s <= at_source_error_int;
at_source_sop_s <= at_source_sop_int;
at_source_eop_s <= at_source_eop_int;
end if;
end process output_registers;
end generate backpressure_no_support;
end rtl;
| gpl-2.0 | c5854b6cd18b36b6fc5fdca60c35ba50 | 0.544013 | 3.750489 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/clk1Hz.vhd | 3 | 1,395 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/10/26 09:35:12
-- Nombre del módulo: clk1Hz - Behavioral
-- Descripción:
-- Divisor de frecuencia implementado con contadores. Este divisor de frecuencia
-- reduce la escala en un factor de 25000000 veces. En este caso, la frecuencia se
-- reduce de 50MHz de entrada a 1Hz a la salida.
--
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk1Hz is
Port (
entrada: in STD_LOGIC;
reset : in STD_LOGIC;
salida : out STD_LOGIC
);
end clk1Hz;
architecture Behavioral of clk1Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 24999999 := 0;
begin
divisor_frecuencia: process (reset, entrada) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(entrada) then
if (contador = 24999999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador+1;
end if;
end if;
end process;
salida <= temporal;
end Behavioral; | lgpl-2.1 | 4effd1b6e59449b3b6a01f1426037f79 | 0.525216 | 4.168168 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenMAC_DPR_Xilinx.vhd | 2 | 11,805 | ------------------------------------------------------------------------------------------------------------------------
-- OpenMAC - DPR for Xilinx FPGA
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2009-08-07 V0.01 zelenkaj Converted to official version.
-- 2011-10-12 V0.10 zelenkaj Implementation is based on UG687 (v13.2)
------------------------------------------------------------------------------------------------------------------------
--
-- dual clocked DPRAM for XILINX SPARTAN 6 --
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity dc_dpr is
generic (
WIDTH : integer := 16;
SIZE : integer := 128;
ADDRWIDTH : integer := 7
);
port (
clkA : in std_logic;
clkB : in std_logic;
enA : in std_logic;
enB : in std_logic;
weA : in std_logic;
weB : in std_logic;
addrA : in std_logic_vector(ADDRWIDTH-1 downto 0);
addrB : in std_logic_vector(ADDRWIDTH-1 downto 0);
diA : in std_logic_vector(WIDTH-1 downto 0);
diB : in std_logic_vector(WIDTH-1 downto 0);
doA : out std_logic_vector(WIDTH-1 downto 0);
doB : out std_logic_vector(WIDTH-1 downto 0)
);
end dc_dpr;
architecture xilinx of dc_dpr is
function log2 (val: INTEGER) return natural is
variable res : natural;
begin
for i in 0 to 31 loop
if (val <= (2**i)) then
res := i;
exit;
end if;
end loop;
return res;
end function Log2;
type ramType is array (0 to SIZE-1) of std_logic_vector(WIDTH-1 downto 0);
shared variable ram : ramType := (others => (others => '0'));
signal readA : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
signal readB : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
begin
process (clkA)
begin
if rising_edge(clkA) then
if enA = '1' then
if weA = '1' then
ram(conv_integer(addrA)) := diA;
end if;
readA <= ram(conv_integer(addrA));
end if;
end if;
end process;
doA <= readA;
process (clkB)
begin
if rising_edge(clkB) then
if enB = '1' then
if weB = '1' then
ram(conv_integer(addrB)) := diB;
end if;
readB <= ram(conv_integer(addrB));
end if;
end if;
end process;
doB <= readB;
end xilinx;
-- dual clocked DPRAM with byte enables for XILINX SPARTAN 6 --
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity dc_dpr_be is
generic (
WIDTH : integer := 16;
SIZE : integer := 128;
ADDRWIDTH : integer := 7
);
port (
clkA : in std_logic;
clkB : in std_logic;
enA : in std_logic;
enB : in std_logic;
weA : in std_logic;
weB : in std_logic;
beA : in std_logic_vector(WIDTH/8-1 downto 0);
beB : in std_logic_vector(WIDTH/8-1 downto 0);
addrA : in std_logic_vector(ADDRWIDTH-1 downto 0);
addrB : in std_logic_vector(ADDRWIDTH-1 downto 0);
diA : in std_logic_vector(WIDTH-1 downto 0);
diB : in std_logic_vector(WIDTH-1 downto 0);
doA : out std_logic_vector(WIDTH-1 downto 0);
doB : out std_logic_vector(WIDTH-1 downto 0)
);
end dc_dpr_be;
architecture xilinx of dc_dpr_be is
function log2 (val: INTEGER) return natural is
variable res : natural;
begin
for i in 0 to 31 loop
if (val <= (2**i)) then
res := i;
exit;
end if;
end loop;
return res;
end function Log2;
type ramType is array (0 to SIZE-1) of std_logic_vector(WIDTH-1 downto 0);
shared variable ram : ramType := (others => (others => '0'));
constant BYTE : integer := 8;
signal readA : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
signal readB : std_logic_vector(WIDTH-1 downto 0):= (others => '0');
begin
process (clkA)
begin
if rising_edge(clkA) then
if enA = '1' then
if weA = '1' then
for i in beA'range loop
if beA(i) = '1' then
ram(conv_integer(addrA))((i+1)*BYTE-1 downto i*BYTE) := diA((i+1)*BYTE-1 downto i*BYTE);
end if;
end loop;
end if;
readA <= ram(conv_integer(addrA));
end if;
end if;
end process;
doA <= readA;
process (clkB)
begin
if rising_edge(clkB) then
if enB = '1' then
if weB = '1' then
for i in beB'range loop
if beB(i) = '1' then
ram(conv_integer(addrB))((i+1)*BYTE-1 downto i*BYTE) := diB((i+1)*BYTE-1 downto i*BYTE);
end if;
end loop;
end if;
readB <= ram(conv_integer(addrB));
end if;
end if;
end process;
doB <= readB;
end xilinx;
-- dual clocked DPRAM with 16x16 --
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity Dpr_16_16 is
generic(Simulate : in boolean);
port (
ClkA, ClkB : in std_logic;
WeA, WeB : in std_logic := '0';
EnA, EnB : in std_logic := '1';
BeA : in std_logic_vector ( 1 downto 0) := "11";
AddrA : in std_logic_vector ( 7 downto 0);
DiA : in std_logic_vector (15 downto 0) := (others => '0');
DoA : out std_logic_vector(15 downto 0);
BeB : in std_logic_vector ( 1 downto 0) := "11";
AddrB : in std_logic_vector ( 7 downto 0);
DiB : in std_logic_vector (15 downto 0) := (others => '0');
DoB : out std_logic_vector(15 downto 0)
);
end Dpr_16_16;
architecture struct of Dpr_16_16 is
begin
dpr_packet: entity work.dc_dpr_be
generic map (
WIDTH => 16,
SIZE => 2**AddrA'length,
ADDRWIDTH => AddrA'length
)
port map (
clkA => ClkA, clkB => ClkB,
enA => EnA, enB => EnB,
addrA => AddrA, addrB => AddrB,
diA => DiA, diB => DiB,
doA => DoA, doB => DoB,
weA => WeA, weB => WeB,
beA => BeA, beB => BeB
);
end struct;
-- dual clocked DPRAM with 16x32 --
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity Dpr_16_32 is
generic(Simulate : in boolean);
port (
ClkA, ClkB : in std_logic;
WeA : in std_logic := '0';
EnA, EnB : in std_logic := '1';
AddrA : in std_logic_vector ( 7 downto 0);
DiA : in std_logic_vector (15 downto 0) := (others => '0');
BeA : in std_logic_vector ( 1 downto 0) := "11";
AddrB : in std_logic_vector ( 6 downto 0);
DoB : out std_logic_vector(31 downto 0)
);
end Dpr_16_32;
architecture struct of Dpr_16_32 is
signal addra_s : std_logic_vector(AddrB'range);
signal dia_s : std_logic_vector(DoB'range);
signal bea_s : std_logic_vector(DoB'length/8-1 downto 0);
begin
dpr_packet: entity work.dc_dpr_be
generic map (
WIDTH => 32,
SIZE => 2**AddrB'length,
ADDRWIDTH => AddrB'length
)
port map (
clkA => ClkA, clkB => ClkB,
enA => EnA, enB => EnB,
addrA => addra_s, addrB => AddrB,
diA => dia_s, diB => (others => '0'),
doA => open, doB => DoB,
weA => weA, weB => '0',
beA => bea_s, beB => (others => '1')
);
addra_s <= AddrA(AddrA'left downto 1);
dia_s <= DiA & DiA;
bea_s(3) <= BeA(1) and AddrA(0);
bea_s(2) <= BeA(0) and AddrA(0);
bea_s(1) <= BeA(1) and not AddrA(0);
bea_s(0) <= BeA(0) and not AddrA(0);
end struct;
-- dual clocked DPRAM with 32x32 for packets --
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY OpenMAC_DPRpackets IS
GENERIC
(
memSizeLOG2_g : integer := 10;
memSize_g : integer := 1024
);
PORT
(
address_a : IN STD_LOGIC_VECTOR (memSizeLOG2_g-2 DOWNTO 0);
address_b : IN STD_LOGIC_VECTOR (memSizeLOG2_g-3 DOWNTO 0);
byteena_a : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '1');
byteena_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1');
clock_a : IN STD_LOGIC := '1';
clock_b : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
rden_a : IN STD_LOGIC := '1';
rden_b : IN STD_LOGIC := '1';
wren_a : IN STD_LOGIC := '0';
wren_b : IN STD_LOGIC := '0';
q_a : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END OpenMAC_DPRpackets;
architecture struct of OpenMAC_DPRpackets is
signal address_a_s : std_logic_vector(address_b'range);
signal bea : std_logic_vector(byteena_b'range);
signal q_a_s, q_b_s, data_a_s : std_logic_vector(q_b'range);
signal q_a_s1 : std_logic_vector(q_a'range);
begin
dpr_packet: entity work.dc_dpr_be
generic map (
WIDTH => 32,
SIZE => memSize_g/4,
ADDRWIDTH => memSizeLOG2_g-2
)
port map (
clkA => clock_a, clkB => clock_b,
enA => '1', enB => '1',
addrA => address_a_s, addrB => address_b,
diA => data_a_s, diB => data_b,
doA => q_a_s, doB => q_b_s,
weA => wren_a, weB => wren_b,
beA => bea, beB => byteena_b
);
address_a_s <= address_a(address_a'left downto 1);
bea(3) <= byteena_a(1) and address_a(0);
bea(2) <= byteena_a(0) and address_a(0);
bea(1) <= byteena_a(1) and not address_a(0);
bea(0) <= byteena_a(0) and not address_a(0);
data_a_s <= data_a & data_a;
q_a_s1 <= q_a_s(q_a'length*2-1 downto q_a'length) when address_a(0) = '1' else
q_a_s(q_a'range);
--sync outputs
process(clock_a)
begin
if rising_edge(clock_a) then
q_a <= q_a_s1;
end if;
end process;
process(clock_b)
begin
if rising_edge(clock_b) then
q_b <= q_b_s;
end if;
end process;
end struct;
| gpl-2.0 | dc1b309a4574137012441731d693561e | 0.564168 | 2.985584 | false | false | false | false |
quicky2000/IP_register | delay_register.vhd | 1 | 2,147 | --
-- This file is part of IP_register
-- Copyright (C) 2011 Julien Thevenon ( julien_thevenon at yahoo.fr )
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity delay_register is
generic (
width : positive ;
delay : natural := 1); -- delay
port (
clk : in std_logic; -- clock
rst : in std_logic; --rst
input : in std_logic_vector(width - 1 downto 0); -- input
output : out std_logic_vector(width - 1 downto 0)); -- output
end delay_register;
architecture behavourial of delay_register is
-- signal delayed_output : std_logic_vector(delay downto 0) := (others => '0'); -- delayed output
type buffer_t is array (0 to delay) of std_logic_vector(width - 1 downto 0);
signal delayed_output : buffer_t := (others => (others => '0')); -- delayed output
begin -- behavourial
delayed_output(0) <= input;
delay_non_zero : if delay > 0 generate
delay_loop: for i in 0 to delay -1 generate
inst: entity work.my_register
generic map (
size => width
)
port map (
clk => clk,
rst => rst,
input => delayed_output(i),
output => delayed_output(i+1));
end generate delay_loop;
output <= delayed_output(delay);
end generate delay_non_zero;
delay_zero: if delay = 0 generate
output <= input;
end generate delay_zero;
end behavourial;
| gpl-3.0 | 08cc2f2db0ab450ea947ee10baa8f1f7 | 0.631579 | 3.875451 | false | false | false | false |
rflamino/StellaBlue | core/debouncevdhl.vhd | 1 | 1,002 | library IEEE;
use IEEE.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
entity debouncevhdl is
Port (
Clock : in std_logic;
Reset : in std_logic;
ClockEn : in std_logic;
Din : in std_logic;
Dout : out std_logic
);
end debouncevhdl;
architecture Behavioral of debouncevhdl is
signal Sync_InSr : std_logic_vector(2 downto 0);
signal Cntr : std_logic_vector(7 downto 0);
begin
process(Clock, Reset)
begin
if (Reset = '1') then
Dout <= '0';
Cntr <= (Others => '0');
Sync_InSr <= (Others => '0');
elsif (Clock'event and Clock = '1') then
Sync_InSr <= Sync_InSr(1 downto 0) & Din;
if (ClockEn = '1') then
if (Sync_InSr(2 downto 1) = "00") then
if (Cntr /= x"00") then
Cntr <= Cntr - 1;
end if;
elsif (Sync_InSr(2 downto 1) = "11") then
if (Cntr /= x"FF") then
Cntr <= Cntr + 1;
end if;
end if;
if (Cntr = x"FF") then
Dout <= '1';
elsif (Cntr = x"00") then
Dout <= '0';
end if;
end if;
end if;
end process;
end Behavioral;
| mit | 1df79a75e39f4747941b9da86585904d | 0.603792 | 2.474074 | false | false | false | false |
takeshineshiro/fpga_linear_128 | tb_lf.vhd | 2 | 8,634 | -- ================================================================================
-- Legal Notice: Copyright (C) 1991-2006 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only to
-- program PLD devices (but not masked PLD devices) from Altera. Any other
-- use of such megafunction design, net list, support information, device
-- programming or simulation file, or any other related documentation or
-- information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to
-- the intellectual property, including patents, copyrights, trademarks,
-- trade secrets, or maskworks, embodied in any such megafunction design,
-- net list, support information, device programming or simulation file, or
-- any other related documentation or information provided by Altera or a
-- megafunction partner, remains with Altera, the megafunction partner, or
-- their respective licensors. No other licenses, including any licenses
-- needed under any third party's intellectual property, are provided herein.
-- ================================================================================
--
-- Generated by: FIR Compiler 9.0
-- Generated on: 2014-8-27 12:51:35
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
entity tb_lf is
--START MEGAWIZARD INSERT CONSTANTS
constant FIR_INPUT_FILE_c : string := "lf_input.txt";
constant FIR_OUTPUT_FILE_c : string := "lf_output.txt";
constant NUM_OF_CHANNELS_c : natural := 1;
constant DATA_WIDTH_c : natural := 15;
constant CHANNEL_OUT_WIDTH_c : natural := 0;
constant OUT_WIDTH_c : natural := 31;
constant COEF_SET_ADDRESS_WIDTH_c : natural := 0;
constant COEF_RELOAD_BIT_WIDTH_c : natural := 13;
--END MEGAWIZARD INSERT CONSTANTS
end entity tb_lf;
--library work;
--library auk_dspip_lib;
-------------------------------------------------------------------------------
architecture rtl of tb_lf is
signal ast_sink_data : std_logic_vector (DATA_WIDTH_c-1 downto 0) := (others => '0');
signal ast_source_data : std_logic_vector (OUT_WIDTH_c-1 downto 0);
signal ast_sink_error : std_logic_vector (1 downto 0) := (others => '0');
signal ast_source_error : std_logic_vector (1 downto 0);
signal ast_sink_valid : std_logic := '0';
signal ast_source_valid : std_logic;
signal ast_source_ready : std_logic := '0';
signal clk : std_logic := '0';
signal reset_testbench : std_logic := '0';
signal reset_design : std_logic;
signal eof : std_logic;
signal ast_sink_ready : std_logic;
signal start : std_logic;
signal cnt : natural range 0 to NUM_OF_CHANNELS_c;
constant tclk : time := 10 ns;
constant time_lapse_max : time := 60 us;
signal time_lapse : time;
begin
DUT : entity work.lf
port map (
clk => clk,
reset_n => reset_design,
ast_sink_ready => ast_sink_ready,
ast_sink_data => ast_sink_data,
ast_source_data => ast_source_data,
ast_sink_valid => ast_sink_valid,
ast_source_valid => ast_source_valid,
ast_source_ready => ast_source_ready,
ast_sink_error => ast_sink_error,
ast_source_error => ast_source_error);
-- for example purposes, the ready signal is always asserted.
ast_source_ready <= '1';
-- no input error
ast_sink_error <= (others => '0');
-- start valid for first cycle to indicate that the file reading should start.
start_p : process (clk, reset_testbench)
begin
if reset_testbench = '0' then
start <= '1';
elsif rising_edge(clk) then
if ast_sink_valid = '1' and ast_sink_ready = '1' then
start <= '0';
end if;
end if;
end process start_p;
-----------------------------------------------------------------------------------------------
-- Read input data from file
-----------------------------------------------------------------------------------------------
source_model : process(clk) is
file in_file : text open read_mode is FIR_INPUT_FILE_c;
variable data_in : integer;
variable indata : line;
begin
if rising_edge(clk) then
if(reset_testbench = '0') then
ast_sink_data <= std_logic_vector(to_signed(0, DATA_WIDTH_c)) after tclk/4;
ast_sink_valid <= '0' after tclk/4;
eof <= '0';
else
if not endfile(in_file) and (eof = '0') then
eof <= '0';
if((ast_sink_valid = '1' and ast_sink_ready = '1') or
(start = '1'and not (ast_sink_valid = '1' and ast_sink_ready = '0'))) then
readline(in_file, indata);
read(indata, data_in);
ast_sink_valid <= '1' after tclk/4;
ast_sink_data <= std_logic_vector(to_signed(data_in, DATA_WIDTH_c)) after tclk/4;
else
ast_sink_valid <= '1' after tclk/4;
ast_sink_data <= ast_sink_data after tclk/4;
end if;
else
eof <= '1';
ast_sink_valid <= '0' after tclk/4;
ast_sink_data <= std_logic_vector(to_signed(0, DATA_WIDTH_c)) after tclk/4;
end if;
end if;
end if;
end process source_model;
---------------------------------------------------------------------------------------------
-- Write FIR output to file
---------------------------------------------------------------------------------------------
sink_model : process(clk) is
file ro_file : text open write_mode is FIR_OUTPUT_FILE_c;
variable rdata : line;
variable data_r : integer;
begin
if rising_edge(clk) then
if(ast_source_valid = '1' and ast_source_ready = '1') then
data_r := to_integer(signed(ast_source_data));
write(rdata, data_r);
writeline(ro_file, rdata);
end if;
end if;
end process sink_model;
-------------------------------------------------------------------------------
-- clock generator
-------------------------------------------------------------------------------
clkgen : process
begin -- process clkgen
if eof = '1' then
clk <= '0';
assert FALSE
report "NOTE: Stimuli ended" severity note;
wait;
elsif time_lapse >= time_lapse_max then
clk <= '0';
assert FALSE
report "ERROR: Reached time_lapse_max without activity, probably simulation is stuck!" severity Error;
wait;
else
clk <= '0';
wait for tclk/2;
clk <= '1';
wait for tclk/2;
end if;
end process clkgen;
monitor_toggling_activity : process(clk, reset_testbench,
ast_source_data, ast_source_valid)
begin
if reset_testbench = '0' then
time_lapse <= 0 ns;
elsif ast_source_data'event or ast_source_valid'event then
time_lapse <= 0 ns;
elsif rising_edge(clk) then
if time_lapse < time_lapse_max then
time_lapse <= time_lapse + tclk;
end if;
end if;
end process monitor_toggling_activity;
-------------------------------------------------------------------------------
-- reset generator
-------------------------------------------------------------------------------
reset_testbench_gen : process
begin -- process resetgen
reset_testbench <= '1';
wait for tclk/4;
reset_testbench <= '0';
wait for tclk*2;
reset_testbench <= '1';
wait;
end process reset_testbench_gen;
reset_design_gen : process
begin -- process resetgen
reset_design <= '1';
wait for tclk/4;
reset_design <= '0';
wait for tclk*2;
reset_design <= '1';
wait for tclk*80;
reset_design <= '1';
wait for tclk*47*2;
reset_design <= '1';
wait;
end process reset_design_gen;
-------------------------------------------------------------------------------
-- control signals
-------------------------------------------------------------------------------
end architecture rtl;
| mit | 1e0045062a296146e2dbfd7b1f30b0a9 | 0.533241 | 4.189229 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/openMAC_16to32conv.vhd | 5 | 6,620 | -------------------------------------------------------------------------------
--
-- Title : openMAC_16to32conv
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : openMAC_16to32conv.vhd
-- Generated : Mon Sep 12 15:35:37 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- This is a 32-to-16 bit converter which is necessary for e.g. Xilinx PLB.
-- The component has to be connected to openMAC_Ethernet or powerlink.
-- NOT use this directly with openMAC!
--
-------------------------------------------------------------------------------
-- 2011-09-12 V0.01 zelenkaj Initial creation
-- 2011-10-10 V0.02 zelenkaj Split bus ack into wr/rd and bug fix
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_unsigned.ALL;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
entity openMAC_16to32conv is
generic(
bus_address_width : integer := 10
);
port(
clk : in std_logic;
rst : in std_logic;
--port from 32bit bus
bus_select : in std_logic;
bus_write : in std_logic;
bus_read : in std_logic;
bus_byteenable : in std_logic_vector(3 downto 0);
bus_writedata : in std_logic_vector(31 downto 0);
bus_readdata : out std_logic_vector(31 downto 0);
bus_address : in std_logic_vector(bus_address_width-1 downto 0);
bus_ack_wr : out std_logic;
bus_ack_rd : out std_logic;
--port to openMAC_Ethernet
s_chipselect : out std_logic;
s_write : out std_logic;
s_read : out std_logic;
s_address : out std_logic_vector(bus_address_width-1 downto 0);
s_byteenable : out std_logic_vector(1 downto 0);
s_waitrequest : in std_logic;
s_readdata : in std_logic_vector(15 downto 0);
s_writedata : out std_logic_vector(15 downto 0)
);
end openMAC_16to32conv;
architecture rtl of openMAC_16to32conv is
-- types
type fsm_t is (idle, doAccess);
type bus_access_t is (none, dword, word);
-- fsm
signal fsm, fsm_next : fsm_t;
signal bus_access : bus_access_t;
-- cnt
signal cnt, cnt_next, cnt_load_val : std_logic_vector(1 downto 0);
signal cnt_load, cnt_dec, cnt_zero : std_logic;
signal bus_ack : std_logic;
-- word register
signal word_reg, word_reg_next : std_logic_vector(15 downto 0);
begin
process(clk, rst)
begin
if rst = '1' then
cnt <= (others => '0');
fsm <= idle;
word_reg <= (others => '0');
elsif clk = '1' and clk'event then
cnt <= cnt_next;
fsm <= fsm_next;
word_reg <= word_reg_next;
end if;
end process;
word_reg_next <= s_readdata when bus_access = dword and cnt = 2 and s_waitrequest = '0' else
word_reg;
s_chipselect <= bus_select; --not cnt_zero;
s_write <= bus_write and bus_select;
s_read <= bus_read and bus_select;
cnt_dec <= (not s_waitrequest) and bus_select;
bus_readdata <= word_reg & s_readdata when bus_access = dword else
s_readdata & s_readdata;
bus_ack <= '1' when cnt = 1 and s_waitrequest = '0' and bus_access = dword else
'1' when s_waitrequest = '0' and bus_access = word else
'0';
bus_ack_wr <= bus_ack and bus_write;
bus_ack_rd <= bus_ack and bus_read;
s_address(bus_address_width-1 downto 1) <= '0' & bus_address(bus_address_width-1 downto 2);
--word address set to +0 when first dword access or word access with selected word/byte
s_address(0) <= '0' when bus_access = dword and cnt = 2 else --first word of dword access
'1' when bus_access = dword and cnt = 1 else
bus_address(1);
s_byteenable <= "11" when bus_access = dword else
bus_byteenable(3 downto 2) or bus_byteenable(1 downto 0);
s_writedata <= bus_writedata(31 downto 16) when bus_access = dword and cnt = 2 else
bus_writedata(15 downto 0) when bus_access = dword and cnt = 1 else
bus_writedata(31 downto 16) when bus_address(1) = '0' else
bus_writedata(15 downto 0); --when bus_address(1) = '1' else
--fsm
bus_access <= none when bus_select /= '1' else
dword when bus_byteenable = "1111" else
word;
fsm_next <= doAccess when fsm = idle and cnt_zero = '1' and bus_access = dword else
idle when fsm = doAccess and cnt_zero = '1' and bus_access = none else
fsm;
--if dword, access twice, otherwise (byte, word) access once
cnt_load_val <= "10" when bus_byteenable = "1111" and bus_read = '1' else "01";
cnt_load <= '1' when fsm_next = doAccess and fsm = idle else '0';
--counter
cnt_next <= cnt_load_val when cnt_load = '1' else
cnt - 1 when cnt_dec = '1' and bus_access = dword else
cnt;
cnt_zero <= '1' when cnt = 0 else '0';
end rtl;
| gpl-2.0 | 2479333ef46b41a6b9e371f3b04ac286 | 0.610121 | 3.437175 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/DSP/Filter/FIR/FIR_CoreTB.vhd | 1 | 2,865 | library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.ALL;
use IEEE.std_logic_unsigned.ALL;
library hdl_library_CommonFunctions;
use hdl_library_CommonFunctions.MathHelpers.all;
use hdl_library_CommonFunctions.CommonFunctions.all;
library hdl_library_ClockGenerator;
use hdl_library_ClockGenerator.all;
library hdl_library_DSP_Filter_FIR;
use hdl_library_DSP_Filter_FIR.all;
entity FIR_CoreTB is
end entity; --FIR_CoreTB
architecture arch of FIR_CoreTB is
constant G_CLOCK_FREQUENCY : integer := 100E6;
constant C_FIR_FILTER_ORDER : integer := 16;
constant C_DATA_IN_WIDTH : integer := 32;
constant C_DATA_OUT_WIDTH : integer := 32;
constant C_COEFF_WIDTH : integer := 32;
constant C_MULTIPLIER_DELAY : integer := 8;
constant C_ADDER_DELAY : integer := 8;
signal clock : std_logic := '0';
signal clock_n : std_logic := '0';
signal enable : std_logic := '0';
type T_COEFF_ROM is array(0 to C_FIR_FILTER_ORDER - 1) of std_logic_vector(C_COEFF_WIDTH - 1 downto 0);
signal coeff_ROM : T_COEFF_ROM := (others => (others => '0'));
signal xn : std_logic_vector(C_DATA_IN_WIDTH - 1 downto 0) := (others => '0');
signal xn_nd : std_logic := '0';
signal yn : std_logic_vector(C_DATA_OUT_WIDTH - 1 downto 0) := (others => '0');
signal yn_valid : std_logic := '0';
signal current_coefficient : std_logic_vector(C_COEFF_WIDTH - 1 downto 0) := (others => '0');
signal current_coefficient_address : std_logic_vector(log2(C_FIR_FILTER_ORDER) - 1 downto 0) := (others => '0');
--signal xn : std_logic_vector(C_DATA_IN_WIDTH - 1 downto 0) := (others => '0');
begin
clock <= not clock after (1 sec / G_CLOCK_FREQUENCY) / 2;
clock_n <= not clock;
enable <= '1' after 100 ns;
gen_valid_pulse:process
begin
xn_nd <= '0';
wait_until_rising_edges(clock, 1000);
xn_nd <= '1';
wait_until_rising_edges(clock, 1);
end process; --gen_valid_pulse
dut : entity hdl_library_DSP_Filter_FIR.FIR_Core
generic map
(
C_FIR_FILTER_ORDER => C_FIR_FILTER_ORDER,
C_DATA_IN_WIDTH => C_DATA_IN_WIDTH,
C_DATA_OUT_WIDTH => C_DATA_OUT_WIDTH,
C_COEFF_WIDTH => C_COEFF_WIDTH,
C_MULTIPLIER_DELAY => C_MULTIPLIER_DELAY,
C_ADDER_DELAY => C_ADDER_DELAY
)
port map
(
clock => clock,
enable => enable,
xn => xn,
xn_nd => xn_nd,
yn => yn,
yn_valid => yn_valid,
current_coefficient => current_coefficient,
current_coefficient_address => current_coefficient_address
--ready => ready
);
dataGenerator:process(clock)
begin
if rising_edge(clock) then
if xn_nd = '1' then
xn <= xn + 1;
end if;
end if;
end process dataGenerator; -- dataGenerator
end architecture; -- arch | gpl-3.0 | e8039e0b9766388c84339cd169c95297 | 0.620942 | 2.845084 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/updn_cntr.vhd | 6 | 10,193 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV1273/3SAg6PywpGAfC7LsJ+Y45r
/6kd5VhqGvu+l4DBR+mjKn+oW/uo/WM+9ptbbiHXYSLUWpV63cUcXp98z9UvQP/9n0cQk8chsXk2
/Zevl8obKMx+hAFUs85UE+4GhRjct2yifvfV3h64x+QklXLWr7IlO7O+3X5k5e6JoCvWZ/qxef/J
+YfH5sTY6fuDZINZJRpjKM4Y83f8dgjJJVS1iBzc2Gz/an3Nq1AQtNarcoa52hsaBXAAJpTV0boS
69sPzEVjwoe7PSE64AqXUhBK7NX9q4rc3b3UsHiviMvzqwFrDpzioDLQoHPO7mwKKdOy3gLDCG1s
wg4phy4ac4tC072mFpJjS6bsFKzmJb6egQ1o98fwauwkeKVZAu1twU3dSfNVuzCY3aZN04BD4rPT
8YdiBObqueGVpRww/RSADQQx6e47jhREj0FiqbLt+R/Uln6OuU2nwtnXBhtnqNnmoafln1lqsdYc
cc/X+2PSxNZldV/QGWE/zvYLhtEnV6LIrZto2LFgTkVSCbILRsLV3qIIR0vFpltB8LikDTrqeg9r
lg+YY03jGgA9r9OwLoQ/a77Ejm8AIOZfYnixzS79eaOSRsJYGoB6LblpFPAhsYzuKhzZbblHpBYr
jBpoQaCC6AFXtMdlc8/iKlqedq3mIJDToiJl8mh6hpyPn/DzhCUgZ3+p5MsklQ5UEDWE4qeC01c0
fuRbe5Ijezelk/qXnQqlL74koOidhQMojeoLm+Pzv02G0w87oFTls4ZzigTbYKN0ciJDupvwO6Kl
6ag0NNdXQ6FgKYAsaTsJunygVW1VyO4NYC4Xw00xYsFIbHsnUJkS6OR6uiOogJaBZrp21bpl2itK
+4aynxqeUOonTEVTusO3tSZ/M23NY80w2MukiPcs2fjPUqUllIdGdxFrb0hEHZrID2BvOziV3MtP
WAyMtN4nW/ff7su2bFesiFE5S2kvHhRbJ5tMX28oBcF6bU+8osKd0LdR7SJmwn2uoWQz2wcvLXwG
Eq1UZoi0qgUEYNyAt1orngjNFZKJQEdt/EJIvyezx/vBqvsTJrYpOxwdRodHqHmXY9Q/l00xJBbU
UWHgpyT0/1tbV3/Oyh0Cr1T9hz0DPSku8nzMc4WsM7GpatqNHDA5jA3j3GRbsexLErPxgTjG2vbd
KlrcTjLr268LSkErjUvoZkZIjmLRaRyJyHc1GvFBtWRE/rFuD/ZbS/MdC42/3/ZrBX3/D/sBkUX4
fpM7rzkPqJPbyT24AyetelQHEkbcoFCn5W4qxVVwLZzcRjzv15Y3QhDgeWFqNtD5X4591+gK4KDb
os0/gI0ojlC3wqGEZ7+HHe0MHY0YLhhk4xlPGZzY8yq7yEzbPiIq/OCZEQaYcj0Xs2lVHuUun+6e
Rrfp7fAbxlitHpWOlKZGL69tCOacyKKHRAo7K7I/AOEub/s11R5dyizSPB6lVg6L2TjOQTMNmC/9
cmI+yPJzu044BADcd4r44TZB7TOtlZy8UlzH33RiF2QTwHMDjV/6HwvCOSIWx45r24f5+3uljjJH
+23NsFVf1DEQXs50Iay85zfBrFhoeQuCCWnD2zKQIOUU8N0ce/hmwgLd8zfsniAEZMEs1zsJKUgC
I1xu1iljxSddZNZLWsDTGxooHWR13JlcOGQ+MpWoLZ+3rkrmf41njBrVS0yVDbEzR+JHFfGDeT7u
v871j556U9Km/uVvg28vv3tfnp3JOS+Oozv6IAszymsNvr58OLEAE/+KkeNNdzQGbnUgXcstnqVW
+fYoodFHaUsFmUE4ljHj6tKeX2hFCnFj9FuoGXq3jvvSCULRHHf5LzjhjFdL8SQp7CbY7Uio5NiG
ZvZ2Aaf9VwYisDPN5MJzFG2ty+QUT0Qe76PeZfPOhtDXxhTtVRzTfFGAZFH0ONELx30CwN0cluU2
swb/rj3s0wFz5I8XAfT/RA+/IGyDx0IjuDfJxw5Pwe+JCNazJuA/InX+rjPCodGkBc5CZXZxCKKo
qccR4Yf/o9R/k/zer1xNLpZkWI/pFSFtIEOEzSbWVgNsdnG+yltDVFZef6yq+JrBsFH2jfB3m5GV
6FNA8pwPUMTqb5PEQ5mC6gUTmJWk4ts3eP9z5MhVtjFBagKA0WrCruhvWu0vMb1HOKSyo680nL/C
PJz/M+1Pi5/IS47TnTBu1+qIsUTFPos0/HBTUHSAN/OjZTJ4cNDy8/06zNSKhSWkFDnpDBJSP/Qc
xuv+QNhoGEEVgY5/Hi6kcX0uQFRXbfBVxnBV03yF+spF18PXvZ6IjtX/TI7QTzdDHn7nhSdcITdH
uw1rKPq95G3896mIDRb68oNSLi93GovZWw2O7aZ1VeRbANsMSroEW/JrScYoLLn2TqoaWdNPE65Q
P0oyqnd+Y8TLovCQNx4vyUGd4yTurHanxWKnGfgacit05Tq69XhFMZfhQM4X0BKoIXzni0FZfCQZ
04UfC1HbO43QOGDVpvIBkvsOrDGUG7/U9pdfNDcv17dpMaXquPwcKooilre6zZQlGLjpdjtgGGcy
Vdk29rJaKE8x1gIhSnqUQkfukjVWbT6qBNrNFN64vBvMqjhFF7ENqj3DTkFgJOP8mCJNRGi5Tynd
ciuzvOvNUZitoj7qPE3qjfGBm1R+AwMmAWccv6sL0RpYXgr3Kej5Am+UgtpjQW1ZMOolmzlqjSLa
Oewby9ApjxcHSEz9RcnMKtnzYNlnwKljd7blDOA9/1Te/wiCLLdufLiskJwJAyqjs+XokObmweo6
o9+DmoKqCuiLBv42Pmh/OaHfzmqSBKPz1W9yXGg3xhDKbtgh3nkhO9vtPAfkFTBlXdy/7ob9j/3e
Papful0HNbpLvHdA2DShLKf0XcAPWFRreNglVb8uAyRtM+3VUyr4s3iF/+Yhur6JWZ5PB7KFXmpy
a1X73aVtIgUdpuRRT2lyjrbBP9hKapi7g0PgrTj6LXSxmT0f/9BI6ybolZGKTZ0m9wCwnPZTWRbE
Qdk+0o64NEujMWPm5oorLitR25OKGE0JnQa/+ZjxJL8Isyhwcp+q2MjD4d5sosrC0NEyPytiCbWn
PjOz7wpnSsxGo3/+ItqodJZ3SI9Y9/PXWxCCCFxa8SOtGS+nPuBH5pAa5c1eAZLO7yhhY0zrm8FA
00TQHj7fQq7aFUGweMaj15lRC+2c05YnP1RPliFlIwnUDg++2mQLdTn7TquiOuNJeww+PY+X15bu
OYoNkkxlHO50PkIS/I5c8pVIDpkfDHG0matYV9On0ba7Wdx4SKvpUXgctg5NpO5tcTdBDTn57Avr
45kNoaxGNyt+Lamvawk/oQ5XrIQboItMIb9Hw2hDcVYO3a09mXa4hV4fLcKqCZ1/Vhnvh+cXsOMd
zKm9yBdWvkDENA/XA4k1H8+2PlHzWaZgVdhJqOqCCCAsuENdGpvczql3nLs6m7UG4Tcr31U0YYXe
hJjdHOKEeLgH1niYJ44hsvDU0x9AFlb0sll70VLlp3/cYcDmvOm8zaMjgtrGk48I8ISmxUkyG/PI
cS26clWplxlrTK8fSwKcrHqqgoU8CArCYipVNGffMt5x6R+3xPZgyMmB0ChfHKlgC4Yo/y/0eTB2
srlM7Ytj6J4n39+ZuwFMfVgk4jlIWtQy9pXXjfyj6xBg26JwvgCwnTgEBLHI59Rq4DeqqmZ9OPVo
TH3q03w3PYpmDkkPAZx9jeB993OUeLu06s8uXFPZWGo8QovZ7dGWFCr50cZxIMgZPgZ5IPlAPeW8
iXrJMpCH7jXTyncJPRNC6Qyv4FKFYcn2wqbiA3+AJFpSlY9RSh/wkDK1uoD6l4Mcd0dqi2N8RrjU
ODPD5o5PnrrURU42KqASV9WefntaJFHzLvf1cs1ZI+HOIyBqMtUeaLtaeG6SmJGrNcFtJekoAvrf
U7TSzEjlGphjOLwHVjzIcW+ojEC0pm6ujYdtQxDz3+Y+Jt5xklct8+jK5/6dF1trBthTXDLnQo4b
ZjzpHzIRBx9f6II/F80Aa0pZmfu3bqpDt/EdWxLStd8fzpRrL+mmSMIKlycMveJBgsw5IcA3yqL8
UnuDc5t8qQ7Hq4uAyIHP1yng9k7ykdIHPpuvUL2O96AksJuavbIz7YxfWbb5yvMnDbw9S4HXiZdx
ZTdex7UMY4I92kzNxckYkmXUT5K2e3Dc4LVOxTB1BTguF6GpIc9pXiovMwFmec/AXxDfvTnWgJbh
TVpe6tqU+lkGsjJ6RiAbYpPImprL6JDppIJp9vMO/qYX/obo1aICQ2xWEK5a3hy3KlHw0RgZXEn2
uz161FJ5tiRDD17T+R0SGscKAZ0cpkL1wcDhloBWWK+0+Zc33ggjAkcZOkRycxmDAY5YvSFecZN7
CNkNCalLDRrrQgNL7VvVm+jJ5dH76mKGMIBdflEIRlp0wF3kCa0lOZnJv5zEXGURfhVkxHsG9fU7
tsvEbm9tOazCdeh2wwg3YDHjh2IEoq2Y02RSdynxeQqDunKwK0An7CJjgEE32osOi5l4sR7FT6a+
9EesOrg9BURqTEikwtTWl9XP6NXGO9FnBIEg4VQHbCBY79CxntMlOcXL2VB2yaj84wFhBMc3Cvfp
vPaLmsLXMeOqNIruLU237Am20ZL6sUVy2ZmYhSW8iBye1G+ZDD4whKc/kGqfuGrxd26WJQPCTXjP
9F1Z0mGDwmhwvs0m2zS5lr8gzoKUEidn7Da5u8Sl/b32lK2CZsGZHpUBV6TcoAxRwGGz0s0lBb1g
kFTRMGGLrOdpUGmUvTcVU/TT1eR9AE9FVb/Jw+u+KLpDY1s+p+w6IZkVQ0grjzGVOkjllJVlqGb8
eCW/PYqc1MkXB8nX67rEiHZh4hl9ByWgP0Pzoh1ET8kZAKxkLFQqubNv3lHO8Bk8u7RqE24V7v8q
FQA5znR7uK4LT4i7nhy2zFNUPrxTXHQcCBZAszSQL+3PLhKEjaP0T9+mQYFVLfV8pZxKpVHlMPk2
vWas8Wd/Ag8LyLuICY0la8UbF0ypg/DrCSyEDX7WQf5wbLjNBGmr1+ARrsxug9CKrguLZ+NrMH3S
JrePRAiR0vFR9GD/RFkLFI0KoB/b3f6fYK/QBtSvmomGMHURS23CbVSMjHJyNQA+0jqyOf7vbHSp
Lekn1x01uYB6t3eq0Ze8vED0tM3dTCeOyqCiYmactYOuDH5QAo3Ye5jCT0RSvjrzgPuXNyvPT+6r
n5Fy9et4oXqhA646iruMBH9jkz3oNYBuFbUENef7imGpjMPyX9+CC/PDQNj+XanugTxAImV0LROG
N+iN58fpkUaF/TSeCmRwTwfTOfKKq84SBOL/3rKDbaEfXWS1CEzoDvp210piL0zyntm24mOYqqf0
4BGh8A3QrVzzIIUr7mbl3YdnYClLVFS99CeWuaAGmYbnommrh950clnYGUkrmFFcCaHf2oKQ89+P
OEUwCseWWsyD8aL32nJP/Sk9RkuH8yaCfcECfH+HM1HZZa2LhxjhWQRohjCPWTPsQPb2fbsaAYNv
HaPQypbmjEKgyJRXAveSD+goSuL/l+T6NHHYbr7cQgKYrDV+LMgy5PrJb4lH8XA1Kl0yht+dmPon
l6AA7t23aPQn41QDedI654qRy4QGzwYBYKd6vXJgoMGqdW6xYXfU5ZaW6rlwjDDo7FCZJkacB6pB
I/Ot/B8wdOWmcIhrADh2QRZIAmIxqygECfr49xF/MOxZDP2JBwwHtKBuFOJw6d2cZTZiiHIQSrkx
pVEYDxG7movM4+3kwM6tBzqo/Tc+kMkJQ3iK2kn+9uz9IW0K/+ayxQ7yMC+TqHA0i5PLeVbz2GA/
dpVwq9X0VS/O0aYAIDOYo7bp5DvuYDD5Jm4VNc/eXayTT0jZ2E8r8C7VNAOjN29Lm8vBcQfs3NT2
zrLiuawTtSrNJPYO/Lm8aqUWlrcsgyFhhybiZ9mosk81vVY6BpAYQd90oPkc9xnvCV1QEl75GKDn
loT1DhbyoN5HJvulk2SYB6RM06YV0vIN0QxgmPAwfffYDgUOsdnzkd9xzBOaS6p5Rbc2D0r8oLDo
id5fZQD9ZgS+1ZUlwsDV2soXfrlGMZKu/LwoBDrxAKtHjjXq9Z7ZnzbpLQKGjKPyzXzs5X0UwA4F
431tYtVUHrmvSerl8rMRNj97V4gSj7WwkDYQQCRu31PoAJIS7GG7i94u7NDZI2WA8iqKqvKw+M/2
nvWjR2iZg8t5EyVOjS59YYO6wskOiz7PXwEr87Tg3XuMGP2g8XKT++7TNY6J0nN0R5Vm6Iqgj/E5
6KJSQj9/iVQOdPETLWui/1JX2WaCEdQuRQn/SgG4YV+SF1Jf7rFl9xbBp/xnIiZLRS7q2w1GvkM5
bKPtIVBNieP2N+Ldrf1OkeWCJb50Bd6TdV4ecB4Vz15z1okKwevgBq2M/oFJIiIJ+wMc03POlRcB
sCOhwl3+SR9jSjXXyosaQdYu90nZMNtxWWCWvHMbRcJbof9xN8nXuU10azeVNXaD55zm4apQue9E
avxCeDNuVIMRwn0SI/4XwErrkQOGu0ddB3EhZ5oeSA4/y/lByJT0oYzNEDU7z2vVA4IWIr39WhE3
fYizTnokEj6xeAKjX066ELPSl5OTgaIRe+9GfmAidvKU7TLo26V+UGbmk7vRO+VcMB10oJXbKrjW
LmZIFQYm0F0nm2u4mLy8utgsMLX6vZgUcqMu2vfvwyhuWlK0sLQGkd9v+YP3A2pQqdP0pZ2pEs1y
anZpUH8hzALlwgFyhekhXgy27+gnoJJBf54ygdVeorQIdetyysmXqs/s8NBiZeYj8yI9s/KpyAu+
gWYWXN9hQyhe8lxgl84eRrCg5+a6ZFW8Dx1BXajhXbHXXTTfnZijs648c1crSf6RmmH4W3dC8y65
B1Y65bG0fkt1WgNft0X7TgsDvrlNoCFgzg75A7JzSqaGHH5ZPYGQC/s46tkDuYmyCyf5PHOf9Ifo
HqYpK1ru8lCWe4CVxtsl0LOZ1QixL9r7YJbF7zNWiVW9M41empZHT3dQMY3W6Jh3BRCWm4Ofisvh
kMvZdYyxolSYbb68M2ioGJcdBwFb6JeBS7QL+b4EI3LICgMKiiIyq4+GFkwb4Oz0xYrfKzk/YwHP
RpKIhPSljO36J+O5cUNd62NDlABWHeBKMIO72E7gfjL85wnE9vRgEFnr9w+rttNdCaM6Iep5ZsM+
zgAZYq7YLJ4ovodrhe20SYRCCvJRehfWDTsNNmq3TDTE6qyu2tUcXFE1zm4fjlm4HtXgQeHvB80U
dEKNqF7A8zd0P9h6NsNQjIcEKcZL8kGAcc3uXacyTMU2Q8LblFqBm3o4E/az6giFqCVcTB/6TG65
QQ58IXqkZ2mChXBtfVWFgbXzf7gx4r2zTiWLE6l2fdSwsJKv237mf/3vBLMgbQIiAHVmKDvsh5I5
8HXJzgFmtZAlMtS8DvAdY05TaxCny/QbDuRBOHP85KxvCwiEi8S9B5sYCp5Zor5HBN38NoOnNBuU
q+TtTHhshYD755VGnmGzcHCB6Xa234wJ5DFzt7eBFl9HD1JjaCRQ6CbraWQp8V89kj5ft1/IKUwW
n0oYr0GupFlvQWnXMSFbOYCEvPf+qBGjXsTwfuLtEOcmsoOD6Utr6ZTLSSjLSbEmL3fZ
`protect end_protected
| gpl-3.0 | 57e98698113ed0a363ed98add2bedb1f | 0.924654 | 1.90559 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/portio_cnt.vhd | 5 | 3,520 | ------------------------------------------------------------------------------------------------------------------------
-- Simple Port I/O valid pulse counter
--
-- Copyright (C) 2010 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-14 V0.01 zelenkaj Extract from portio.vhd
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity portio_cnt is
generic (
maxVal : integer := 50 --clock ticks of pcp_clk
);
port (
clk : in std_logic;
rst : in std_logic;
pulse : in std_logic;
valid : out std_logic
);
end entity portio_cnt;
architecture rtl of portio_cnt is
signal cnt : integer range 0 to maxVal-2;
signal tc, en : std_logic;
begin
genCnter : if maxVal > 1 generate
tc <= '1' when cnt = maxVal-2 else '0';
valid <= en or pulse;
counter : process(clk, rst)
begin
if rst = '1' then
cnt <= 0;
elsif clk = '1' and clk'event then
if tc = '1' then
cnt <= 0;
elsif en = '1' then
cnt <= cnt + 1;
else
cnt <= 0;
end if;
end if;
end process;
enGen : process(clk, rst)
begin
if rst = '1' then
en <= '0';
elsif clk = '1' and clk'event then
if pulse = '1' then
en <= '1';
elsif tc = '1' then
en <= '0';
end if;
end if;
end process;
end generate;
genSimple : if maxVal = 1 generate
valid <= pulse;
end generate;
end architecture rtl; | gpl-2.0 | 2fa8f56da7d2273a1ba51cdfb7bcfc72 | 0.559659 | 3.972912 | false | false | false | false |
richard42/CoCo3FPGA | T65_MCode.vhd | 1 | 25,837 | -- ****
-- T65(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 301 Jump timing fixed
-- Ver 300 Bugfixes by ehenciak added
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- 65xx compatible microprocessor core
--
-- Version : 0246 + fix
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t65/
--
-- Limitations :
--
-- 65C02
-- supported : inc, dec, phx, plx, phy, ply
-- missing : bra, ora, lda, cmp, sbc, tsb*2, trb*2, stz*2, bit*2, wai, stp, jmp, bbr*8, bbs*8
--
-- File history :
--
-- 0246 : First release
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T65_Pack.all;
entity T65_MCode is
port(
Mode : in std_logic_vector(1 downto 0); -- "00" => 6502, "01" => 65C02, "10" => 65816
IR : in std_logic_vector(7 downto 0);
MCycle : in std_logic_vector(2 downto 0);
P : in std_logic_vector(7 downto 0);
LCycle : out std_logic_vector(2 downto 0);
ALU_Op : out std_logic_vector(3 downto 0);
Set_BusA_To : out std_logic_vector(2 downto 0); -- DI,A,X,Y,S,P
Set_Addr_To : out std_logic_vector(1 downto 0); -- PC Adder,S,AD,BA
Write_Data : out std_logic_vector(2 downto 0); -- DL,A,X,Y,S,P,PCL,PCH
Jump : out std_logic_vector(1 downto 0); -- PC,++,DIDL,Rel
BAAdd : out std_logic_vector(1 downto 0); -- None,DB Inc,BA Add,BA Adj
BreakAtNA : out std_logic;
ADAdd : out std_logic;
AddY : out std_logic;
PCAdd : out std_logic;
Inc_S : out std_logic;
Dec_S : out std_logic;
LDA : out std_logic;
LDP : out std_logic;
LDX : out std_logic;
LDY : out std_logic;
LDS : out std_logic;
LDDI : out std_logic;
LDALU : out std_logic;
LDAD : out std_logic;
LDBAL : out std_logic;
LDBAH : out std_logic;
SaveP : out std_logic;
Write : out std_logic
);
end T65_MCode;
architecture rtl of T65_MCode is
signal Branch : std_logic;
begin
with IR(7 downto 5) select
Branch <= not P(Flag_N) when "000",
P(Flag_N) when "001",
not P(Flag_V) when "010",
P(Flag_V) when "011",
not P(Flag_C) when "100",
P(Flag_C) when "101",
not P(Flag_Z) when "110",
P(Flag_Z) when others;
process (IR, MCycle, P, Branch, Mode)
begin
LCycle <= "001";
Set_BusA_To <= "001"; -- A
Set_Addr_To <= (others => '0');
Write_Data <= (others => '0');
Jump <= (others => '0');
BAAdd <= "00";
BreakAtNA <= '0';
ADAdd <= '0';
PCAdd <= '0';
Inc_S <= '0';
Dec_S <= '0';
LDA <= '0';
LDP <= '0';
LDX <= '0';
LDY <= '0';
LDS <= '0';
LDDI <= '0';
LDALU <= '0';
LDAD <= '0';
LDBAL <= '0';
LDBAH <= '0';
SaveP <= '0';
Write <= '0';
AddY <= '0';
case IR(7 downto 5) is
when "100" =>
--{{{
case IR(1 downto 0) is
when "00" =>
Set_BusA_To <= "011"; -- Y
Write_Data <= "011"; -- Y
when "10" =>
Set_BusA_To <= "010"; -- X
Write_Data <= "010"; -- X
when others =>
Write_Data <= "001"; -- A
end case;
--}}}
when "101" =>
--{{{
case IR(1 downto 0) is
when "00" =>
if IR(4) /= '1' or IR(2) /= '0' then
LDY <= '1';
end if;
when "10" =>
LDX <= '1';
when others =>
LDA <= '1';
end case;
Set_BusA_To <= "000"; -- DI
--}}}
when "110" =>
--{{{
case IR(1 downto 0) is
when "00" =>
if IR(4) = '0' then
LDY <= '1';
end if;
Set_BusA_To <= "011"; -- Y
when others =>
Set_BusA_To <= "001"; -- A
end case;
--}}}
when "111" =>
--{{{
case IR(1 downto 0) is
when "00" =>
if IR(4) = '0' then
LDX <= '1';
end if;
Set_BusA_To <= "010"; -- X
when others =>
Set_BusA_To <= "001"; -- A
end case;
--}}}
when others =>
end case;
if IR(7 downto 6) /= "10" and IR(1 downto 0) = "10" then
Set_BusA_To <= "000"; -- DI
end if;
case IR(4 downto 0) is
when "00000" | "01000" | "01010" | "11000" | "11010" =>
--{{{
-- Implied
case IR is
when "00000000" =>
-- BRK
LCycle <= "110";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= "01"; -- S
Write_Data <= "111"; -- PCH
Write <= '1';
when 2 =>
Dec_S <= '1';
Set_Addr_To <= "01"; -- S
Write_Data <= "110"; -- PCL
Write <= '1';
when 3 =>
Dec_S <= '1';
Set_Addr_To <= "01"; -- S
Write_Data <= "101"; -- P
Write <= '1';
when 4 =>
Dec_S <= '1';
Set_Addr_To <= "11"; -- BA
when 5 =>
LDDI <= '1';
Set_Addr_To <= "11"; -- BA
when 6 =>
Jump <= "10"; -- DIDL
when others =>
end case;
when "00100000" =>
-- JSR
LCycle <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
Jump <= "01";
LDDI <= '1';
Set_Addr_To <= "01"; -- S
when 2 =>
Set_Addr_To <= "01"; -- S
Write_Data <= "111"; -- PCH
Write <= '1';
when 3 =>
Dec_S <= '1';
Set_Addr_To <= "01"; -- S
Write_Data <= "110"; -- PCL
Write <= '1';
when 4 =>
Dec_S <= '1';
when 5 =>
Jump <= "10"; -- DIDL
when others =>
end case;
when "01000000" =>
-- RTI
LCycle <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= "01"; -- S
when 2 =>
Inc_S <= '1';
Set_Addr_To <= "01"; -- S
when 3 =>
Inc_S <= '1';
Set_Addr_To <= "01"; -- S
Set_BusA_To <= "000"; -- DI
when 4 =>
LDP <= '1';
Inc_S <= '1';
LDDI <= '1';
Set_Addr_To <= "01"; -- S
when 5 =>
Jump <= "10"; -- DIDL
when others =>
end case;
when "01100000" =>
-- RTS
LCycle <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= "01"; -- S
when 2 =>
Inc_S <= '1';
Set_Addr_To <= "01"; -- S
when 3 =>
Inc_S <= '1';
LDDI <= '1';
Set_Addr_To <= "01"; -- S
when 4 =>
Jump <= "10"; -- DIDL
when 5 =>
Jump <= "01";
when others =>
end case;
when "00001000" | "01001000" | "01011010" | "11011010" =>
-- PHP, PHA, PHY*, PHX*
LCycle <= "010";
if Mode = "00" and IR(1) = '1' then
LCycle <= "001";
end if;
case to_integer(unsigned(MCycle)) is
when 1 =>
case IR(7 downto 4) is
when "0000" =>
Write_Data <= "101"; -- P
when "0100" =>
Write_Data <= "001"; -- A
when "0101" =>
Write_Data <= "011"; -- Y
when "1101" =>
Write_Data <= "010"; -- X
when others =>
end case;
Write <= '1';
Set_Addr_To <= "01"; -- S
when 2 =>
Dec_S <= '1';
when others =>
end case;
when "00101000" | "01101000" | "01111010" | "11111010" =>
-- PLP, PLA, PLY*, PLX*
LCycle <= "011";
if Mode = "00" and IR(1) = '1' then
LCycle <= "001";
end if;
case IR(7 downto 4) is
when "0010" =>
LDP <= '1';
when "0110" =>
LDA <= '1';
when "0111" =>
if Mode /= "00" then
LDY <= '1';
end if;
when "1111" =>
if Mode /= "00" then
LDX <= '1';
end if;
when others =>
end case;
case to_integer(unsigned(MCycle)) is
when 0 =>
SaveP <= '1';
when 1 =>
Set_Addr_To <= "01"; -- S
when 2 =>
Inc_S <= '1';
Set_Addr_To <= "01"; -- S
when 3 =>
Set_BusA_To <= "000"; -- DI
when others =>
end case;
when "10100000" | "11000000" | "11100000" =>
-- LDY, CPY, CPX
-- Immediate
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
when others =>
end case;
when "10001000" =>
-- DEY
LDY <= '1';
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Set_BusA_To <= "011"; -- Y
when others =>
end case;
when "11001010" =>
-- DEX
LDX <= '1';
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Set_BusA_To <= "010"; -- X
when others =>
end case;
when "00011010" | "00111010" =>
-- INC*, DEC*
if Mode /= "00" then
LDA <= '1'; -- A
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Set_BusA_To <= "100"; -- S
when others =>
end case;
when "00001010" | "00101010" | "01001010" | "01101010" =>
-- ASL, ROL, LSR, ROR
LDA <= '1'; -- A
Set_BusA_To <= "001"; -- A
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
when others =>
end case;
when "10001010" | "10011000" =>
-- TYA, TXA
LDA <= '1'; -- A
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
when others =>
end case;
when "10101010" | "10101000" =>
-- TAX, TAY
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Set_BusA_To <= "001"; -- A
when others =>
end case;
when "10011010" =>
-- TXS
case to_integer(unsigned(MCycle)) is
when 0 =>
LDS <= '1';
when 1 =>
when others =>
end case;
when "10111010" =>
-- TSX
LDX <= '1';
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Set_BusA_To <= "100"; -- S
when others =>
end case;
-- when "00011000" | "00111000" | "01011000" | "01111000" | "10111000" | "11011000" | "11111000" | "11001000" | "11101000" =>
-- -- CLC, SEC, CLI, SEI, CLV, CLD, SED, INY, INX
-- case to_integer(unsigned(MCycle)) is
-- when 1 =>
-- when others =>
-- end case;
when others =>
case to_integer(unsigned(MCycle)) is
when 0 =>
when others =>
end case;
end case;
--}}}
when "00001" | "00011" =>
--{{{
-- Zero Page Indexed Indirect (d,x)
LCycle <= "101";
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= "10"; -- AD
when 2 =>
ADAdd <= '1';
Set_Addr_To <= "10"; -- AD
when 3 =>
BAAdd <= "01"; -- DB Inc
LDBAL <= '1';
Set_Addr_To <= "10"; -- AD
when 4 =>
LDBAH <= '1';
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= "11"; -- BA
when 5 =>
when others =>
end case;
--}}}
when "01001" | "01011" =>
--{{{
-- Immediate
LDA <= '1';
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
when others =>
end case;
--}}}
when "00010" | "10010" =>
--{{{
-- Immediate, KIL
LDX <= '1';
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
if IR = "10100010" then
-- LDX
Jump <= "01";
else
-- KIL !!!!!!!!!!!!!!!!!!!!!!!!!!!!!
end if;
when others =>
end case;
--}}}
when "00100" =>
--{{{
-- Zero Page
LCycle <= "010";
case to_integer(unsigned(MCycle)) is
when 0 =>
if IR(7 downto 5) = "001" then
SaveP <= '1';
end if;
when 1 =>
Jump <= "01";
LDAD <= '1';
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= "10"; -- AD
when 2 =>
when others =>
end case;
--}}}
when "00101" | "00110" | "00111" =>
--{{{
-- Zero Page
if IR(7 downto 6) /= "10" and IR(1 downto 0) = "10" then
-- Read-Modify-Write
LCycle <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= "10"; -- AD
when 2 =>
LDDI <= '1';
Write <= '1';
Set_Addr_To <= "10"; -- AD
when 3 =>
LDALU <= '1';
SaveP <= '1';
Write <= '1';
Set_Addr_To <= "10"; -- AD
when 4 =>
when others =>
end case;
else
LCycle <= "010";
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
LDAD <= '1';
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= "10"; -- AD
when 2 =>
when others =>
end case;
end if;
--}}}
when "01100" =>
--{{{
-- Absolute
if IR(7 downto 6) = "01" and IR(4 downto 0) = "01100" then
-- JMP
if IR(5) = '0' then
--LCycle <= "011";
LCycle <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Jump <= "01";
LDDI <= '1';
when 2 =>
Jump <= "10"; -- DIDL
when others =>
end case;
else
LCycle <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Jump <= "01";
LDDI <= '1';
LDBAL <= '1';
when 3 =>
LDBAH <= '1';
if Mode /= "00" then
Jump <= "10"; -- DIDL
end if;
if Mode = "00" then
Set_Addr_To <= "11"; -- BA
end if;
when 4 =>
LDDI <= '1';
if Mode = "00" then
Set_Addr_To <= "11"; -- BA
BAAdd <= "01"; -- DB Inc
else
Jump <= "01";
end if;
when 5 =>
Jump <= "10"; -- DIDL
when others =>
end case;
end if;
else
LCycle <= "011";
case to_integer(unsigned(MCycle)) is
when 0 =>
if IR(7 downto 5) = "001" then
SaveP <= '1';
end if;
when 1 =>
Jump <= "01";
LDBAL <= '1';
when 2 =>
Jump <= "01";
LDBAH <= '1';
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= "11"; -- BA
when 3 =>
when others =>
end case;
end if;
--}}}
when "01101" | "01110" | "01111" =>
--{{{
-- Absolute
if IR(7 downto 6) /= "10" and IR(1 downto 0) = "10" then
-- Read-Modify-Write
LCycle <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
Jump <= "01";
LDBAL <= '1';
when 2 =>
Jump <= "01";
LDBAH <= '1';
Set_Addr_To <= "11"; -- BA
when 3 =>
LDDI <= '1';
Write <= '1';
Set_Addr_To <= "11"; -- BA
when 4 =>
Write <= '1';
LDALU <= '1';
SaveP <= '1';
Set_Addr_To <= "11"; -- BA
when 5 =>
SaveP <= '0'; -- MIKEJ was 1
when others =>
end case;
else
LCycle <= "011";
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
LDBAL <= '1';
when 2 =>
Jump <= "01";
LDBAH <= '1';
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= "11"; -- BA
when 3 =>
when others =>
end case;
end if;
--}}}
when "10000" =>
--{{{
-- Relative
-- This circuit dictates when the last
-- microcycle occurs for the branch depending on
-- whether or not the branch is taken and if a page
-- is crossed...
if (Branch = '1') then
LCycle <= "011"; -- We're done @ T3 if branching...upper
-- level logic will stop at T2 if no page cross
-- (See the Break signal)
else
LCycle <= "001";
end if;
-- This decodes the current microcycle and takes the
-- proper course of action...
case to_integer(unsigned(MCycle)) is
-- On the T1 microcycle, increment the program counter
-- and instruct the upper level logic to fetch the offset
-- from the Din bus and store it in the data latches. This
-- will be the last microcycle if the branch isn't taken.
when 1 =>
Jump <= "01"; -- Increments the PC by one (PC will now be PC+2)
-- from microcycle T0.
LDDI <= '1'; -- Tells logic in top level (T65.vhd) to route
-- the Din bus to the memory data latch (DL)
-- so that the branch offset is fetched.
-- In microcycle T2, tell the logic in the top level to
-- add the offset. If the most significant byte of the
-- program counter (i.e. the current "page") does not need
-- updating, we are done here...the Break signal at the
-- T65.vhd level takes care of that...
when 2 =>
Jump <= "11"; -- Tell the PC Jump logic to use relative mode.
PCAdd <= '1'; -- This tells the PC adder to update itself with
-- the current offset recently fetched from
-- memory.
-- The following is microcycle T3 :
-- The program counter should be completely updated
-- on this cycle after the page cross is detected.
-- We don't need to do anything here...
when 3 =>
when others => null; -- Do nothing.
end case;
--}}}
when "10001" | "10011" =>
--{{{
-- Zero Page Indirect Indexed (d),y
LCycle <= "101";
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= "10"; -- AD
when 2 =>
LDBAL <= '1';
BAAdd <= "01"; -- DB Inc
Set_Addr_To <= "10"; -- AD
when 3 =>
Set_BusA_To <= "011"; -- Y
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= "11"; -- BA
when 4 =>
BAAdd <= "11"; -- BA Adj
if IR(7 downto 5) = "100" then
Write <= '1';
else
BreakAtNA <= '1';
end if;
Set_Addr_To <= "11"; -- BA
when 5 =>
when others =>
end case;
--}}}
when "10100" | "10101" | "10110" | "10111" =>
--{{{
-- Zero Page, X
if IR(7 downto 6) /= "10" and IR(1 downto 0) = "10" then
-- Read-Modify-Write
LCycle <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= "10"; -- AD
when 2 =>
ADAdd <= '1';
Set_Addr_To <= "10"; -- AD
when 3 =>
LDDI <= '1';
Write <= '1';
Set_Addr_To <= "10"; -- AD
when 4 =>
LDALU <= '1';
SaveP <= '1';
Write <= '1';
Set_Addr_To <= "10"; -- AD
when 5 =>
when others =>
end case;
else
LCycle <= "011";
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
LDAD <= '1';
Set_Addr_To <= "10"; -- AD
when 2 =>
ADAdd <= '1';
-- Added this check for Y reg. use...
if (IR(3 downto 0) = "0110") then
AddY <= '1';
end if;
if IR(7 downto 5) = "100" then
Write <= '1';
end if;
Set_Addr_To <= "10"; -- AD
when 3 => null;
when others =>
end case;
end if;
--}}}
when "11001" | "11011" =>
--{{{
-- Absolute Y
LCycle <= "100";
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
LDBAL <= '1';
when 2 =>
Jump <= "01";
Set_BusA_To <= "011"; -- Y
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= "11"; -- BA
when 3 =>
BAAdd <= "11"; -- BA adj
if IR(7 downto 5) = "100" then
Write <= '1';
else
BreakAtNA <= '1';
end if;
Set_Addr_To <= "11"; -- BA
when 4 =>
when others =>
end case;
--}}}
when "11100" | "11101" | "11110" | "11111" =>
--{{{
-- Absolute X
if IR(7 downto 6) /= "10" and IR(1 downto 0) = "10" then
-- Read-Modify-Write
LCycle <= "110";
case to_integer(unsigned(MCycle)) is
when 1 =>
Jump <= "01";
LDBAL <= '1';
when 2 =>
Jump <= "01";
Set_BusA_To <= "010"; -- X
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= "11"; -- BA
when 3 =>
BAAdd <= "11"; -- BA adj
Set_Addr_To <= "11"; -- BA
when 4 =>
LDDI <= '1';
Write <= '1';
Set_Addr_To <= "11"; -- BA
when 5 =>
LDALU <= '1';
SaveP <= '1';
Write <= '1';
Set_Addr_To <= "11"; -- BA
when 6 =>
when others =>
end case;
else
LCycle <= "100";
if IR(7 downto 6) /= "10" then
LDA <= '1';
end if;
case to_integer(unsigned(MCycle)) is
when 0 =>
when 1 =>
Jump <= "01";
LDBAL <= '1';
when 2 =>
Jump <= "01";
-- mikej
-- special case 0xBE which uses Y reg as index!!
if (IR = "10111110") then
Set_BusA_To <= "011"; -- Y
else
Set_BusA_To <= "010"; -- X
end if;
BAAdd <= "10"; -- BA Add
LDBAH <= '1';
Set_Addr_To <= "11"; -- BA
when 3 =>
BAAdd <= "11"; -- BA adj
if IR(7 downto 5) = "100" then
Write <= '1';
else
BreakAtNA <= '1';
end if;
Set_Addr_To <= "11"; -- BA
when 4 =>
when others =>
end case;
end if;
--}}}
when others =>
end case;
end process;
process (IR, MCycle)
begin
-- ORA, AND, EOR, ADC, NOP, LD, CMP, SBC
-- ASL, ROL, LSR, ROR, BIT, LD, DEC, INC
case IR(1 downto 0) is
when "00" =>
--{{{
case IR(4 downto 2) is
when "000" | "001" | "011" =>
case IR(7 downto 5) is
when "110" | "111" =>
-- CP
ALU_Op <= "0110";
when "101" =>
-- LD
ALU_Op <= "0101";
when "001" =>
-- BIT
ALU_Op <= "1100";
when others =>
-- NOP/ST
ALU_Op <= "0100";
end case;
when "010" =>
case IR(7 downto 5) is
when "111" | "110" =>
-- IN
ALU_Op <= "1111";
when "100" =>
-- DEY
ALU_Op <= "1110";
when others =>
-- LD
ALU_Op <= "1101";
end case;
when "110" =>
case IR(7 downto 5) is
when "100" =>
-- TYA
ALU_Op <= "1101";
when others =>
ALU_Op <= "----";
end case;
when others =>
case IR(7 downto 5) is
when "101" =>
-- LD
ALU_Op <= "1101";
when others =>
ALU_Op <= "0100";
end case;
end case;
--}}}
when "01" => -- OR
--{{{
ALU_Op(3) <= '0';
ALU_Op(2 downto 0) <= IR(7 downto 5);
--}}}
when "10" =>
--{{{
ALU_Op(3) <= '1';
ALU_Op(2 downto 0) <= IR(7 downto 5);
case IR(7 downto 5) is
when "000" =>
if IR(4 downto 2) = "110" then
-- INC
ALU_Op <= "1111";
end if;
when "001" =>
if IR(4 downto 2) = "110" then
-- DEC
ALU_Op <= "1110";
end if;
when "100" =>
if IR(4 downto 2) = "010" then
-- TXA
ALU_Op <= "0101";
else
ALU_Op <= "0100";
end if;
when others =>
end case;
--}}}
when others =>
--{{{
case IR(7 downto 5) is
when "100" =>
ALU_Op <= "0100";
when others =>
if MCycle = "000" then
ALU_Op(3) <= '0';
ALU_Op(2 downto 0) <= IR(7 downto 5);
else
ALU_Op(3) <= '1';
ALU_Op(2 downto 0) <= IR(7 downto 5);
end if;
end case;
--}}}
end case;
end process;
end;
| bsd-3-clause | f897dea3d5ef50558c9e484f6a40a1d0 | 0.457329 | 3.033224 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/builtin_top_v6.vhd | 6 | 53,878 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38144)
`protect data_block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`protect end_protected
| gpl-3.0 | 9509fdb226cd38f945b1fe0d296f0d8d | 0.950555 | 1.829536 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.