repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
FinnK/lems2hdl | work/N3_pointCellCondBased/ISIM_output/reverseRateh1.vhdl | 1 | 11,069 |
---------------------------------------------------------------------
-- Standard Library bits
---------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- For Modelsim
--use ieee.fixed_pkg.all;
--use ieee.fixed_float_types.ALL;
-- For ISE
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
use ieee_proposed.fixed_float_types.ALL;
use IEEE.numeric_std.all;
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Entity Description
---------------------------------------------------------------------
entity reverseRateh1 is
Port (
clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES
init_model : in STD_LOGIC; --SYNCHRONOUS RESET
step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated
component_done : out STD_LOGIC;
requirement_voltage_v : in sfixed (2 downto -22);
param_per_time_rate : in sfixed (18 downto -2);
param_voltage_midpoint : in sfixed (2 downto -22);
param_voltage_scale : in sfixed (2 downto -22);
param_voltage_inv_scale_inv : in sfixed (22 downto -2);
exposure_per_time_r : out sfixed (18 downto -2);
derivedvariable_per_time_r_out : out sfixed (18 downto -2);
derivedvariable_per_time_r_in : in sfixed (18 downto -2);
sysparam_time_timestep : in sfixed (-6 downto -22);
sysparam_time_simtime : in sfixed (6 downto -22)
);
end reverseRateh1;
---------------------------------------------------------------------
-------------------------------------------------------------------------------------------
-- Architecture Begins
-------------------------------------------------------------------------------------------
architecture RTL of reverseRateh1 is
signal COUNT : unsigned(2 downto 0) := "000";
signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0';
signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0';
signal childrenCombined_Component_done : STD_LOGIC := '0';
signal Component_done_int : STD_LOGIC := '0';
signal subprocess_der_int_pre_ready : STD_LOGIC := '0';
signal subprocess_der_int_ready : STD_LOGIC := '0';
signal subprocess_der_ready : STD_LOGIC := '0';
signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0';
signal subprocess_dyn_int_ready : STD_LOGIC := '0';
signal subprocess_dyn_ready : STD_LOGIC := '0';
signal subprocess_model_ready : STD_LOGIC := '1';
signal subprocess_all_ready_shotdone : STD_LOGIC := '1';
signal subprocess_all_ready_shot : STD_LOGIC := '0';
signal subprocess_all_ready : STD_LOGIC := '0';signal pre_exp_r_exponential_result1 : sfixed(18 downto -13);
signal pre_exp_r_exponential_result1_next : sfixed(18 downto -13);
signal exp_r_exponential_result1 : sfixed(18 downto -13);
Component ParamExp is
generic(
BIT_TOP : integer := 20;
BIT_BOTTOM : integer := -20);
port(
clk : In Std_logic;
init_model : In Std_logic;
Start : In Std_logic;
Done : Out Std_logic;
X : In sfixed(BIT_TOP downto BIT_BOTTOM);
Output : Out sfixed(BIT_TOP downto BIT_BOTTOM)
);
end Component;
component delayDone is
generic(
Steps : integer := 10);
port(
clk : In Std_logic;
init_model : In Std_logic;
Start : In Std_logic;
Done : Out Std_logic
);
end component;
---------------------------------------------------------------------
-- Derived Variables and parameters
---------------------------------------------------------------------
signal DerivedVariable_per_time_r : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2);
signal DerivedVariable_per_time_r_next : sfixed (18 downto -2) := to_sfixed(0.0 ,18,-2);
---------------------------------------------------------------------
---------------------------------------------------------------------
-- EDState internal Variables
---------------------------------------------------------------------
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Output Port internal Variables
---------------------------------------------------------------------
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Child Components
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Begin Internal Processes
---------------------------------------------------------------------
begin
---------------------------------------------------------------------
-- Child EDComponent Instantiations and corresponding internal variables
---------------------------------------------------------------------
derived_variable_pre_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v , param_per_time_rate,param_voltage_inv_scale_inv,exp_r_exponential_result1 )
begin
pre_exp_r_exponential_result1_next <= resize( ( to_sfixed ( 0 ,0 , -1 ) - ( requirement_voltage_v - param_voltage_midpoint ) * param_voltage_inv_scale_inv ) ,18,-13);
end process derived_variable_pre_process_comb;
derived_variable_pre_process_syn :process ( clk, init_model )
begin
if (clk'EVENT AND clk = '1') then
if init_model = '1' then
pre_exp_r_exponential_result1 <= to_sfixed(0,18,-13);
else
if subprocess_all_ready_shot = '1' then
pre_exp_r_exponential_result1 <= pre_exp_r_exponential_result1_next;
end if;
end if;
end if;
subprocess_der_int_pre_ready <= '1';
end process derived_variable_pre_process_syn;
ParamExp_r_exponential_result1 : ParamExp
generic map(
BIT_TOP => 18,
BIT_BOTTOM => -13
)
port map ( clk => clk,
init_model => init_model,
Start => step_once_go,
Done => subprocess_der_int_ready,
X => pre_exp_r_exponential_result1 ,
Output => exp_r_exponential_result1
);
derived_variable_process_comb :process ( sysparam_time_timestep, param_voltage_midpoint, param_voltage_scale, requirement_voltage_v , param_per_time_rate,param_voltage_inv_scale_inv,exp_r_exponential_result1 )
begin
derivedvariable_per_time_r_next <= resize(( param_per_time_rate / ( to_sfixed ( 1 ,1 , -1 ) + exp_r_exponential_result1 ) ),18,-2);
end process derived_variable_process_comb;
uut_delayDone_derivedvariable_reverseRateh1 : delayDone GENERIC MAP(
Steps => 2
)
PORT MAP(
clk => clk,
init_model => init_model,
Start => step_once_go,
Done => subprocess_der_ready
);
derived_variable_process_syn :process ( clk,init_model )
begin
if clk'event and clk = '1' then
if subprocess_all_ready_shot = '1' then
derivedvariable_per_time_r <= derivedvariable_per_time_r_next;
end if;
end if;
end process derived_variable_process_syn;
---------------------------------------------------------------------
dynamics_pre_process_comb :process ( sysparam_time_timestep )
begin
end process dynamics_pre_process_comb;
dynamics_pre_process_syn :process ( clk, init_model )
begin
subprocess_dyn_int_pre_ready <= '1';
end process dynamics_pre_process_syn;
--No dynamics with complex equations found
subprocess_dyn_int_ready <= '1';
state_variable_process_dynamics_comb :process (sysparam_time_timestep)
begin
subprocess_dyn_ready <= '1';
end process state_variable_process_dynamics_comb;
state_variable_process_dynamics_syn :process (CLK,init_model)
begin
if clk'event and clk = '1' then
if subprocess_all_ready_shot = '1' then
end if;
end if;
end process state_variable_process_dynamics_syn;
------------------------------------------------------------------------------------------------------
-- EDState Variable Drivers
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
------------------------------------------------------------------------------------------------------
---------------------------------------------------------------------
-- Assign state variables to exposures
---------------------------------------------------------------------
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Assign state variables to output state variables
---------------------------------------------------------------------
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Assign derived variables to exposures
---------------------------------------------------------------------
exposure_per_time_r <= derivedvariable_per_time_r_in;derivedvariable_per_time_r_out <= derivedvariable_per_time_r;
---------------------------------------------------------------------
---------------------------------------------------------------------
-- Subprocess ready process
---------------------------------------------------------------------
subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready)
begin
if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then
subprocess_all_ready <= '1';
else
subprocess_all_ready <= '0';
end if;
end process subprocess_all_ready_process;
subprocess_all_ready_shot_process : process(clk)
begin
if rising_edge(clk) then
if (init_model='1') then
subprocess_all_ready_shot <= '0';
subprocess_all_ready_shotdone <= '1';
else
if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then
subprocess_all_ready_shot <= '1';
subprocess_all_ready_shotdone <= '1';
elsif subprocess_all_ready_shot = '1' then
subprocess_all_ready_shot <= '0';
elsif subprocess_all_ready = '0' then
subprocess_all_ready_shot <= '0';
subprocess_all_ready_shotdone <= '0';
end if;
end if;
end if;
end process subprocess_all_ready_shot_process;
---------------------------------------------------------------------
count_proc:process(clk)
begin
if (clk'EVENT AND clk = '1') then
if init_model = '1' then COUNT <= "001";
component_done_int <= '1';
else if step_once_go = '1' then
COUNT <= "000";
component_done_int <= '0';
elsif COUNT = "001" then
component_done_int <= '1';
elsif subprocess_all_ready_shot = '1' then
COUNT <= COUNT + 1;
component_done_int <= '0';
end if;
end if;
end if;
end process count_proc;
component_done <= component_done_int;
end RTL;
| lgpl-3.0 | 1fee4687fbe88b187b93ba58e8546316 | 0.510886 | 4.014871 | false | false | false | false |
sergev/vak-opensource | hardware/dlx/dlx_bus_monitor-behaviour.vhdl | 1 | 5,425 | --------------------------------------------------------------------------
--
-- Copyright (C) 1993, Peter J. Ashenden
-- Mail: Dept. Computer Science
-- University of Adelaide, SA 5005, Australia
-- e-mail: [email protected]
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 1, or (at your option)
-- any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--
--------------------------------------------------------------------------
--
-- $RCSfile: dlx_bus_monitor-behaviour.vhdl,v $ $Revision: 2.1 $ $Date: 1993/10/31 22:36:40 $
--
--------------------------------------------------------------------------
--
-- Behavioural architecture of DLX bus monitor
--
use std.textio.all,
work.dlx_instr.all,
work.images.image_hex;
architecture behaviour of dlx_bus_monitor is
begin
monitor : if enable generate
enabled_monitor : process
variable write_command, instr_fetch : boolean;
variable L : line;
begin
monitor_loop : loop
--
-- wait for a command, valid on leading edge of phi2
--
wait until phi2 = '1' and mem_enable = '1';
--
-- capture the command information
--
write_command := write_enable = '1';
instr_fetch := ifetch = '1';
write(L, tag);
write(L, string'(": "));
if write_command then
write(L, string'("D-write to "));
elsif instr_fetch then
write(L, string'("I-fetch from "));
else
write(L, string'("D-read from "));
end if;
write(L, image_hex(a));
if verbose then
case width is
when width_word =>
write(L, string'(", word"));
when width_halfword =>
write(L, string'(", halfword"));
when width_byte =>
write(L, string'(", byte"));
end case;
if burst = '1' then
write(L, string'(", burst "));
else
write(L, string'(", single "));
end if;
writeline(output, L);
else
if not instr_fetch then
write(L, string'(", data"));
else
writeline(output, L);
end if;
end if;
--
burst_loop : loop
if write_command then
if verbose then
write(L, tag);
write(L, string'(": Write data "));
write(L, image_hex(d));
writeline(output, L);
else
write(L, ' ');
write(L, image_hex(d));
end if;
end if;
-- wait for the response from memory
loop
wait until phi2 = '0';
if reset = '1' then
exit monitor_loop;
end if;
exit when ready = '1';
end loop;
if write_command then
if verbose then
write(L, tag);
write(L, string'(": Ready"));
writeline(output, L);
end if;
elsif instr_fetch then
if verbose then
write(L, tag);
write(L, string'(": Ready, instruction "));
write(L, image_hex(d));
write(L, string'(" [ "));
write_instr(L, d);
write(L, string'(" ]"));
writeline(output, L);
else -- brief instruction fetch
write(L, tag);
write(L, string'(": "));
write(L, image_hex(d));
write(L, string'(" [ "));
write_instr(L, d);
write(L, string'(" ]"));
writeline(output, L);
end if;
else -- data fetch
if verbose then
write(L, tag);
write(L, string'(": Ready, data "));
write(L, image_hex(d));
writeline(output, L);
else -- brief data fetch
write(L, ' ');
write(L, image_hex(d));
end if;
end if;
exit burst_loop when burst = '0';
end loop burst_loop;
--
if not verbose and not instr_fetch then
writeline(output, L);
end if;
end loop monitor_loop;
--
-- get here when reset is asserted
--
assert reset = '1'
report "reset code reached with reset = '0'" severity error;
write(L, string'("DLX_bus_monitor: Reset"));
writeline(output, L);
wait until phi2 = '0' and reset = '0';
write(L, string'("DLX_bus_monitor: End Reset"));
writeline(output, L);
--
-- process monitor now starts again from beginning
--
end process enabled_monitor;
end generate;
end behaviour;
| apache-2.0 | ad8cd2f57925e7026bdaa3131e033931 | 0.476313 | 4.446721 | false | false | false | false |
paulino/digilentinc-peripherals | bench/port_display32_dig_tb.vhd | 1 | 2,268 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 11.03.2015 09:34:55
-- Design Name:
-- Module Name: port_display32_dig_tb - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.digilent_peripherals_pk.all;
entity port_display32_dig_tb is
end port_display32_dig_tb;
architecture Behavioral of port_display32_dig_tb is
signal clk,w,enable,dp_out,reset : std_logic;
signal byte_sel : std_logic_vector(1 downto 0);
signal digit_in : std_logic_vector(7 downto 0);
signal dp_in : std_logic_vector(1 downto 0);
signal seg_out : std_logic_vector(6 downto 0);
signal an_out : std_logic_vector(7 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
begin
-- Display, 8 bits are written from switches
u_display : port_display32_dig port map (
clk => clk,
w => w,
enable => enable,
byte_sel => byte_sel,
digit_in => digit_in,
dp_in => dp_in,
seg_out => seg_out,
dp_out => dp_out,
an_out => an_out,
reset => reset
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
enable <= '0';
w <= '0';
reset <= '1';
wait for clk_period*5;
reset <= '0';
wait until rising_edge(clk);
digit_in <= x"F0";
dp_in <= "01";
byte_sel <= "00";
w <= '1';
enable <= '1';
wait until rising_edge(clk);
digit_in <= x"E0";
dp_in <= "10";
byte_sel <= "01";
wait until rising_edge(clk);
digit_in <= x"D0";
dp_in <= "11";
byte_sel <= "10";
wait until rising_edge(clk);
digit_in <= x"70";
dp_in <= "11";
byte_sel <= "11";
wait until rising_edge(clk);
enable <= '0';
w <= '0';
wait for 1000 ms;
end process;
end Behavioral;
| apache-2.0 | 328c8a1ded44e221db2f1cfd26ee8f4e | 0.529541 | 3.286957 | false | false | false | false |
s-kostyuk/course_project_csch | final_processor/multiplexor.vhd | 1 | 466 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity mx is
generic(
N: integer := 4
);
port(
A: in std_logic_vector(N-1 downto 0);
D: in std_logic_vector(2**N-1 downto 0);
En: in std_logic;
Q: out std_logic
);
end entity;
architecture mx of mx is
signal index: integer := 0;
begin
index <= conv_integer(A);
Q <= d(index) when En = '0'
else '0';
end architecture; | mit | c47e5e6ce859fdbc1a6c62ee3d97cfc4 | 0.628755 | 2.588889 | false | false | false | false |
Rookfighter/fft-spartan6 | fft/delay_vec.vhd | 1 | 1,573 | -- delay_vec.vhd
--
-- Created on: 08 Jun 2017
-- Author: Fabian Meyer
--
-- Component that delays an input vector by
-- a given amount of cycles.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity delay_vec is
generic(RSTDEF: std_logic := '0';
DATALEN: natural := 8;
DELAYLEN: natural := 8);
port(rst: in std_logic; -- reset, RSTDEF active
clk: in std_logic; -- clock, rising edge
swrst: in std_logic; -- software reset, RSTDEF active
en: in std_logic; -- enable, high active
din: in std_logic_vector(DATALEN-1 downto 0); -- data in
dout: out std_logic_vector(DATALEN-1 downto 0)); -- data out
end entity;
architecture behavioral of delay_vec is
-- vector through which signal is chained
type del_dat is array(DELAYLEN-1 downto 0) of std_logic_vector(DATALEN-1 downto 0);
constant ZERODAT: std_logic_vector(DATALEN-1 downto 0) := (others => '0');
signal dvec: del_dat := (others => ZERODAT);
begin
dout <= dvec(DELAYLEN-1);
process(rst, clk)
begin
if rst = RSTDEF then
dvec <= (others => ZERODAT);
elsif rising_edge(clk) then
if swrst = RSTDEF then
dvec <= (others => ZERODAT);
elsif en = '1' then
dvec <= dvec(DELAYLEN-2 downto 0) & din;
end if;
end if;
end process;
end architecture;
| mit | bad7eaa423c64fa70db1ca98ccdcb1e0 | 0.544819 | 3.727488 | false | false | false | false |
Kalycito-open-automation/openPOWERLINK_V2_old_25-06-2014 | hardware/ipcore/common/openmac/src/phyMgmt-rtl-ea.vhd | 2 | 9,376 | -------------------------------------------------------------------------------
--! @file phyMgmt-rtl-ea.vhd
--
--! @brief OpenMAC phy management module
--
--! @details This is the openMAC phy management module to configure the connected
--! phys via SMI (= serial management interface).
-------------------------------------------------------------------------------
--
-- (c) B&R, 2013
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
--! use global library
use work.global.all;
entity phyMgmt is
port (
--! Reset
iRst : in std_logic;
--! Clock
iClk : in std_logic;
--! Address (word addresses)
iAddress : in std_logic_vector(3 downto 1);
--! Select
iSelect : in std_logic;
--! Byteenale (low-active)
inByteenable : in std_logic_vector(1 downto 0);
--! Write (low-active)
inWrite : in std_logic;
--! Writedata
iWritedata : in std_logic_vector(15 downto 0);
--! Readdata
oReaddata : out std_logic_vector(15 downto 0);
--! SMI Clock
oSmiClk : out std_logic;
--! SMI data input
iSmiDataIn : in std_logic;
--! SMI data output
oSmiDataOut : out std_logic;
--! SMI data output enable
oSmiDataOutEnable : out std_logic;
--! Phy reset (low-active)
onPhyReset : out std_logic
);
end entity phyMgmt;
architecture rtl of phyMgmt is
--! This is the shift register to serialize write and read data.
signal shift_reg : std_logic_vector(31 downto 0);
--! This is the generated SMI clock.
signal smiClk : std_logic;
--! This is the clock divider vector to generate smiClk.
signal clkDivider : std_logic_vector(4 downto 0);
--! This alias triggers shifting the shift register.
alias doShift : std_logic is clkDivider(clkDivider'high);
--! This is the bit counter for serializing.
signal bit_cnt : std_logic_vector(2 downto 0);
--! This is the byte counter for serializing.
signal byte_cnt : std_logic_vector(2 downto 0);
--! This flag signalizes activity.
signal runActive : std_logic;
--! This flag signalizes a busy shift register.
signal shiftBusy : std_logic;
--! This signal is used to control the phy reset (low active).
signal nPhyReset : std_logic;
--! This is the internal SMI data output.
signal smiDataOut : std_logic;
--! This is the internal SMI data output enable.
signal smiDataOutEnable : std_logic;
begin
---------------------------------------------------------------------------
-- Assign outputs
---------------------------------------------------------------------------
oSmiClk <= smiClk;
oSmiDataOut <= smiDataOut;
oSmiDataOutEnable <= smiDataOutEnable;
onPhyReset <= nPhyReset;
--! This process assigns the readdata vector.
ASSIGN_READDATA : process (
nPhyReset,
shiftBusy,
shift_reg,
iAddress
)
begin
-- default is zero
oReaddata <= (others => cInactivated);
if iAddress(1) = cInactivated then
oReaddata(7) <= nPhyReset;
oReaddata(0) <= shiftBusy;
else
oReaddata <= shift_reg(15 downto 0);
end if;
end process ASSIGN_READDATA;
--! This process generates the SMI signals and assigns memory mapped writes.
doSMI : process (iRst, iClk)
begin
if iRst = cActivated then
smiClk <= cInactivated;
runActive <= cInactivated;
shiftBusy <= cInactivated;
smiDataOutEnable <= cActivated;
smiDataOut <= cActivated;
nPhyReset <= cnActivated;
bit_cnt <= (others => cInactivated);
byte_cnt <= (others => cInactivated);
shift_reg <= x"0000abcd";
clkDivider <= (others => cInactivated);
elsif rising_edge(iClk) then
if doShift = cActivated then
clkDivider <= std_logic_vector(to_unsigned(8, clkDivider'length) + 1);
smiClk <= not smiClk;
else
clkDivider <= std_logic_vector(unsigned(clkDivider) - 1);
end if;
if (iSelect = cActivated and inWrite = cnActivated and shiftBusy = cInactivated and
iAddress(2) = cActivated and inByteenable(0) = cnActivated) then
nPhyReset <= iWritedata(7);
end if;
if (iSelect = cActivated and inWrite = cnActivated and shiftBusy = cInactivated and
iAddress(2) = cInactivated) then
if iAddress(1) = cInactivated then
if inByteenable(1) = cnActivated then
shift_reg(31 downto 24) <= iWritedata(15 downto 8);
end if;
if inByteenable(0) = cnActivated then
shift_reg(23 downto 16) <= iWritedata(7 downto 0);
shiftBusy <= cActivated;
end if;
else
if inByteenable(1) = cnActivated then
shift_reg(15 downto 8) <= iWritedata(15 downto 8);
end if;
if inByteenable(0) = cnActivated then
shift_reg(7 downto 0) <= iWritedata(7 downto 0);
end if;
end if;
else
if doShift = cActivated and smiClk = cActivated then
if runActive = cInactivated and shiftBusy = cActivated then
runActive <= cActivated;
byte_cnt <= "111";
bit_cnt <= "111";
else
if byte_cnt(2) = cInactivated and shiftBusy = cActivated then
smiDataOut <= shift_reg(31);
shift_reg <= shift_reg(30 downto 0) & iSmiDataIn;
end if;
bit_cnt <= std_logic_vector(unsigned(bit_cnt) - 1);
if bit_cnt = std_logic_vector(to_unsigned(0, bit_cnt'length)) then
byte_cnt <= std_logic_vector(unsigned(byte_cnt) - 1);
if byte_cnt = std_logic_vector(to_unsigned(0, byte_cnt'length)) then
shiftBusy <= cInactivated;
runActive <= cInactivated;
end if;
end if;
if (byte_cnt = std_logic_vector(to_unsigned(2, byte_cnt'length)) and
bit_cnt = std_logic_vector(to_unsigned(1, bit_cnt'length)) and
shift_reg(31) = cInactivated) then
smiDataOutEnable <= cInactivated;
end if;
end if;
if shiftBusy = cInactivated or runActive = cInactivated then
smiDataOut <= cActivated;
smiDataOutEnable <= cActivated;
end if;
end if;
end if;
end if;
end process doSMI;
end rtl; | gpl-2.0 | 815170dff92c02c940d6cc943695124c | 0.522824 | 5.035446 | false | false | false | false |
hoglet67/AtomGodilVideo | src/Top.vhd | 1 | 10,808 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 14:42:09 02/09/2013
-- Design Name:
-- Module Name: Top - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Top is
port (
-- Standard 6847 signals
--
-- expept DA which is now input only
-- except nRP which re-purposed as a nWR
CLK : in std_logic;
DD : inout std_logic_vector (7 downto 0);
DA : in std_logic_vector (12 downto 0);
CHB : out std_logic;
OA : out std_logic;
OB : out std_logic;
nMS : in std_logic;
CSS : in std_logic;
nHS : out std_logic;
nFS : out std_logic;
nWR : in std_logic; -- Was nRP
AG : in std_logic;
AS : in std_logic;
INV : in std_logic;
INTEXT : in std_logic;
GM : in std_logic_vector (2 downto 0);
Y : out std_logic;
-- 5 bit VGA Output
R : out std_logic_vector (0 downto 0);
G : out std_logic_vector (1 downto 0);
B : out std_logic_vector (0 downto 0);
HSYNC : out std_logic;
VSYNC : out std_logic;
-- 1 bit AUDIO Output
AUDIO : out std_logic;
-- Other GODIL specific pins
clock49 : in std_logic;
nRST : in std_logic;
nBXXX : in std_logic;
-- Jumpers
-- Enables VGA Signals on PL4
nPL4 : in std_logic;
-- Moves SID from 9FE0 to BDC0
nSIDD : in std_logic;
-- Active low version of the SID Select Signal for disabling the external bus buffers
-- nSIDSEL : out std_logic;
-- PS/2 Mouse
PS2_CLK : inout std_logic;
PS2_DATA : inout std_logic;
-- UART
uart_TxD : out std_logic;
uart_RxD : in std_logic;
--LEDs
led8 : out std_logic
);
end Top;
architecture BEHAVIORAL of Top is
-- clock32 is the main clock
signal clock32 : std_logic;
-- clock25 is a full speed VGA clock
signal clock25 : std_logic;
-- clock15 is just used between two DCMs
signal clock15 : std_logic;
-- clock59 is just used between two DCMs
signal clock59 : std_logic;
-- Reset signal (active high)
signal reset : std_logic;
-- Reset signal to 6847 (active high), not currently used
signal reset_vid : std_logic;
-- pipelined versions of the address/data/write signals
signal nWR1 : std_logic;
signal nWR2 : std_logic;
signal nMS1 : std_logic;
signal nMS2 : std_logic;
signal nWRMS1 : std_logic;
signal nWRMS2 : std_logic;
signal nBXXX1 : std_logic;
signal nBXXX2 : std_logic;
signal DA1 : std_logic_vector (12 downto 0);
signal DA2 : std_logic_vector (12 downto 0);
signal DD1 : std_logic_vector (7 downto 0);
signal DD2 : std_logic_vector (7 downto 0);
signal DD3 : std_logic_vector (7 downto 0);
signal ram_we : std_logic;
signal addr : std_logic_vector (12 downto 0);
signal din : std_logic_vector (7 downto 0);
-- Dout back to the Atom, that is either VRAM or SID
signal dout : std_logic_vector (7 downto 0);
-- SID sigmals
signal sid_cs : std_logic;
signal sid_we : std_logic;
signal sid_audio : std_logic;
-- UART sigmals
signal uart_cs : std_logic;
signal uart_we : std_logic;
-- Atom extension register signals
signal reg_cs : std_logic;
signal reg_we : std_logic;
signal final_red : std_logic;
signal final_green1 : std_logic;
signal final_green0 : std_logic;
signal final_blue : std_logic;
signal final_vsync : std_logic;
signal final_hsync : std_logic;
signal final_char_a : std_logic_vector (10 downto 0);
signal locked1 : std_logic;
signal locked2 : std_logic;
signal locked3 : std_logic;
signal locked4 : std_logic;
begin
reset <= not nRST;
reset_vid <= '0';
-- Currently set at 49.152 * (31/26) * (3/7) = 25.1161318637MHz
Inst_DCM1 : entity work.DCM1
port map (
CLKIN_IN => clock49,
RST => '0',
CLK0_OUT => clock59,
CLK0_OUT1 => open,
CLK2X_OUT => open,
LOCKED => locked1
);
Inst_DCM2 : entity work.DCM2
port map (
CLKIN_IN => clock59,
RST => not locked1,
CLK0_OUT => clock25,
CLK0_OUT1 => open,
CLK2X_OUT => open,
LOCKED => locked2
);
Inst_DCM3 : entity work.DCMSID0
port map (
CLKIN_IN => clock49,
RST => '0',
CLK0_OUT => clock15,
CLK0_OUT1 => open,
CLK2X_OUT => open,
LOCKED => locked3
);
Inst_DCM4 : entity work.DCMSID1
port map (
CLKIN_IN => clock15,
RST => not locked3,
CLK0_OUT => clock32,
CLK0_OUT1 => open,
CLK2X_OUT => open,
LOCKED => locked4
);
led8 <= not (locked1 and locked2 and locked3 and locked4);
Inst_AtomGodilVideo : entity work.AtomGodilVideo
generic map (
CImplGraphicsExt => true,
CImplSoftChar => true,
CImplSID => true,
CImplVGA80x40 => true,
CImplHWScrolling => true,
CImplMouse => true,
CImplUart => true,
CImplDoubleVideo => true,
MainClockSpeed => 32000000,
DefaultBaud => 115200
)
port map (
clock_vga => clock25,
clock_main => clock32,
clock_sid_32Mhz => clock32,
clock_sid_dac => clock49,
reset => reset,
reset_vid => reset_vid,
din => din,
dout => dout,
addr => addr,
CSS => CSS,
AG => AG,
GM => GM,
nFS => nFS,
ram_we => ram_we,
reg_cs => reg_cs,
reg_we => reg_we,
sid_cs => sid_cs,
sid_we => sid_we,
sid_audio => sid_audio,
sid_audio_d => open,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
uart_cs => uart_cs,
uart_we => uart_we,
uart_RxD => uart_RxD,
uart_TxD => uart_TxD,
uart_escape => open,
uart_break => open,
final_red => final_red,
final_green1 => final_green1,
final_green0 => final_green0,
final_blue => final_blue,
final_vsync => final_vsync,
final_hsync => final_hsync,
charSet => '0'
);
-- Pipelined version of address/data/write signals
process (clock32)
begin
if rising_edge(clock32) then
nBXXX2 <= nBXXX1;
nBXXX1 <= nBXXX;
nMS2 <= nMS1;
nMS1 <= nMS;
nWRMS2 <= nWRMS1;
nWRMS1 <= nWR or nMS;
nWR2 <= nWR1;
nWR1 <= nWR;
DD3 <= DD2;
DD2 <= DD1;
DD1 <= DD;
DA2 <= DA1;
DA1 <= DA;
end if;
end process;
-- Signals driving the VRAM
-- Write just before the rising edge of nWR
ram_we <= '1' when (nWRMS1 = '1' and nWRMS2 = '0' and nBXXX2 = '1') else '0';
din <= DD3;
addr <= DA2;
-- Signals driving the internal registers
-- When nSIDD=0 the registers are mapped to BDE0-BDFF
-- When nSIDD=1 the registers are mapped to 9FE0-9FFF
reg_cs <= '1' when (nSIDD = '1' and nMS2 = '0' and DA2(12 downto 5) = "11111111") or
(nSIDD = '0' and nBXXX2 = '0' and DA2(11 downto 5) = "1101111")
else '0';
reg_we <= '1' when (nSIDD = '1' and nWRMS1 = '1' and nWRMS2 = '0') or
(nSIDD = '0' and nWR1 = '1' and nWR2 = '0')
else '0';
-- Signals driving the SID
-- When nSIDD=0 the SID is mapped to BDC0-BDDF
-- When nSIDD=1 the SID is mapped to 9FC0-9FDF
sid_cs <= '1' when (nSIDD = '1' and nMS2 = '0' and DA2(12 downto 5) = "11111110") or
(nSIDD = '0' and nBXXX2 = '0' and DA2(11 downto 5) = "1101110")
else '0';
sid_we <= '1' when (nSIDD = '1' and nWRMS1 = '1' and nWRMS2 = '0') or
(nSIDD = '0' and nWR1 = '1' and nWR2 = '0')
else '0';
-- Signals driving the UART
-- When nSIDD=0 the UART is mapped to BDB0-BDBF
-- When nSIDD=1 the UART is mapped to 9FB0-9FBF
uart_cs <= '1' when (nSIDD = '1' and nMS2 = '0' and DA2(12 downto 4) = "111111011") or
(nSIDD = '0' and nBXXX2 = '0' and DA2(11 downto 4) = "11011011")
else '0';
uart_we <= '1' when (nSIDD = '1' and nWRMS1 = '1' and nWRMS2 = '0') or
(nSIDD = '0' and nWR1 = '1' and nWR2 = '0')
else '0';
AUDIO <= sid_audio;
-- Output the SID Select Signal so it can be used to disable the bus buffers
-- TODO: this looks incorrect
-- nSIDSEL <= not sid_cs;
-- Tri-state data back to the Atom
DD <= dout when (nMS = '0' and nWR = '1') else (others => 'Z');
-- 1/1/1 Bit RGB Video to PL4 Connectors
OA <= final_red when nPL4 = '0' else '0';
CHB <= final_green1 when nPL4 = '0' else '0';
OB <= final_blue when nPL4 = '0' else '0';
nHS <= final_hsync when nPL4 = '0' else '0';
Y <= final_vsync when nPL4 = '0' else '0';
-- 1/2/1 Bit RGB Video to GODIL Test Connector
R(0) <= final_red;
G(1) <= final_green1;
G(0) <= final_green0;
B(0) <= final_blue;
VSYNC <= final_vsync;
HSYNC <= final_hsync;
end BEHAVIORAL;
| apache-2.0 | ad019918c42d5823a5609005b721869e | 0.495559 | 3.588313 | false | false | false | false |
sergev/vak-opensource | hardware/vhd2vl/examples/generate.vhd | 1 | 1,350 | LIBRARY IEEE;
USE IEEE.std_logic_1164.all, IEEE.std_logic_arith.all, IEEE.std_logic_unsigned.all;
entity gen is generic(
bus_width : integer := 15;
TOP_GP2 : integer:= 0
);
port(
sysclk, reset, wrb : in std_logic;
din : in std_logic_vector(bus_width downto 0);
rdout: out std_logic_vector(bus_width downto 0)
);
end gen;
architecture rtl of gen is
component wbit1 -- register bit default 1
port(
clk : in std_logic;
wrb : in std_logic;
reset : in std_logic;
enb : in std_logic;
din : in std_logic;
dout : out std_logic);
end component;
signal regSelect : std_logic_vector(bus_width * 2 downto 0);
begin
-----------------------------------------------------
-- Reg : GP 2
-- Active : 32
-- Type : RW
-----------------------------------------------------
reg_gp2 : for bitnum in 0 to bus_width generate
wbit1_inst : wbit1
PORT MAP(
clk => sysclk,
wrb => wrb,
reset => reset,
enb => regSelect(TOP_GP2),
din => din(bitnum),
dout => rdout(bitnum)
);
end generate;
process(sysclk) begin
if sysclk'event and sysclk = '1' then
regSelect(1) <= '1';
end if;
end process;
end rtl;
| apache-2.0 | ab671bc4868398acb23a7ea98df5539b | 0.494815 | 3.678474 | false | false | false | false |
Wynjones1/VHDL-Build | example/blinky/top.vhd | 1 | 1,118 | library IEEE;
use IEEE.numeric_std.all;
use IEEE.std_logic_1164.all;
entity top is
port( clk : in std_logic;
reset : in std_logic;
led : out std_logic);
end top;
architecture rtl of top is
component clk_gen is
generic( CLOCK_SPEED : integer := 50_000_000;
REQUIRED_HZ : integer := 1);
port( clk : in std_logic;
reset : in std_logic;
clk_out : out std_logic);
end component;
constant CLK_HZ : integer := 50_000_000;
signal hz_clk : std_logic;
signal led_s : std_logic;
begin
gen_1hz_clk : clk_gen
generic map (REQUIRED_HZ => CLK_HZ / 2)
port map (clk, reset, hz_clk);
combinatoral:
process(led_s)
begin
led <= led_s;
end process;
sequential:
process(hz_clk, reset)
begin
if reset = '1' then
led_s <= '0';
elsif rising_edge(hz_clk) then
if led_s = '1' then
led_s <= '0';
else
led_s <= '1';
end if;
end if;
end process;
end rtl;
| mit | 9c77d464952b0aab8b4e7a24e9ffd43b | 0.506261 | 3.482866 | false | false | false | false |
rccoder/CU-MicroProgram | code/CU_tb.vhd | 1 | 2,553 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 12:47:05 06/16/2015
-- Design Name:
-- Module Name: C:/project10/CU_tb.vhd
-- Project Name: project10
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: CU
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY CU_tb IS
END CU_tb;
ARCHITECTURE behavior OF CU_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT CU
PORT(
clk : IN std_logic;
op_code : IN std_logic_vector(4 downto 0);
ctrl_signal : OUT std_logic_vector(17 downto 0)
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal op_code : std_logic_vector(4 downto 0) := (others => '0');
--Outputs
signal ctrl_signal : std_logic_vector(17 downto 0);
-- Clock period definitions
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: CU PORT MAP (
clk => clk,
op_code => op_code,
ctrl_signal => ctrl_signal
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
op_code<="00000";
wait for 40 ns;
op_code<="00010";
wait for 40 ns;
op_code<="00011";
wait for 40 ns;
op_code<="00100";
wait for 40 ns;
op_code<="00101";
wait for 40 ns;
op_code<="00110";
wait for 40 ns;
op_code<="00111";
wait for 60 ns;
op_code<="01001";
wait for 60 ns;
op_code<="01011";
wait for 60 ns;
op_code<="01101";
wait for 40 ns;
op_code<="01111";
wait for 40 ns;
op_code<="00000";
-- insert stimulus here
wait;
end process;
END;
| mit | 6957442005ee412b06f8ad41f65ec907 | 0.585977 | 3.605932 | false | true | false | false |
hoglet67/AtomGodilVideo | src/pointer/Pointer.vhd | 2 | 4,735 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
entity Pointer is
port (
CLK : in std_logic;
PO : in std_logic;
PS : in std_logic_vector (4 downto 0);
X : in std_logic_vector (7 downto 0);
Y : in std_logic_vector (7 downto 0);
ADDR : in std_logic_vector (12 downto 0);
DIN : in std_logic_vector (7 downto 0);
DOUT : out std_logic_vector (7 downto 0)
);
end Pointer;
architecture Behavioral of Pointer is
signal xrel : std_logic_vector (5 downto 0);
signal yrel : std_logic_vector (7 downto 0);
signal addrb : std_logic_vector (7 downto 0);
signal black : std_logic_vector (7 downto 0);
signal white : std_logic_vector (7 downto 0);
signal sblack : std_logic_vector (15 downto 0);
signal swhite : std_logic_vector (15 downto 0);
COMPONENT PointerRamBlack
PORT(
clka : IN std_logic;
wea : IN std_logic;
addra : IN std_logic_vector(7 downto 0);
dina : IN std_logic_vector(7 downto 0);
clkb : IN std_logic;
web : IN std_logic;
addrb : IN std_logic_vector(7 downto 0);
dinb : IN std_logic_vector(7 downto 0);
douta : OUT std_logic_vector(7 downto 0);
doutb : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
COMPONENT PointerRamWhite
PORT(
clka : IN std_logic;
wea : IN std_logic;
addra : IN std_logic_vector(7 downto 0);
dina : IN std_logic_vector(7 downto 0);
clkb : IN std_logic;
web : IN std_logic;
addrb : IN std_logic_vector(7 downto 0);
dinb : IN std_logic_vector(7 downto 0);
douta : OUT std_logic_vector(7 downto 0);
doutb : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
begin
xrel <= ('1' & ADDR(4 downto 0)) - ('0' & X(7 downto 3));
yrel <= ADDR(12 downto 5) - Y;
addrb <= PS & yrel(2 downto 0);
Inst_PointerRamBlack: PointerRamBlack PORT MAP(
clka => CLK,
wea => '0',
addra => (others => '0'),
dina => (others => '0'),
douta => open,
clkb => CLK,
web => '0',
addrb => addrb,
dinb => (others => '0'),
doutb => black
);
Inst_PointerRamWhite: PointerRamWhite PORT MAP(
clka => CLK,
wea => '0',
addra => (others => '0'),
dina => (others => '0'),
douta => open,
clkb => CLK,
web => '0',
addrb => addrb,
dinb => (others => '0'),
doutb => white
);
-- process(yrel)
-- begin
-- case yrel(2 downto 0) is
-- when "000" =>
-- black <= "11111111";
-- white <= "00000000";
-- when "001" =>
-- black <= "10000010";
-- white <= "01111100";
-- when "010" =>
-- black <= "10000100";
-- white <= "01111000";
-- when "011" =>
-- black <= "10000100";
-- white <= "01111000";
-- when "100" =>
-- black <= "10000010";
-- white <= "01111100";
-- when "101" =>
-- black <= "10110001";
-- white <= "01001110";
-- when "110" =>
-- black <= "11001010";
-- white <= "00000100";
-- when others =>
-- black <= "10000100";
-- white <= "00000000";
-- end case;
-- end process;
process(X, white, black)
begin
case X(2 downto 0) is
when "000" =>
swhite <= white & "00000000";
sblack <= black & "00000000";
when "001" =>
swhite <= "0" & white & "0000000";
sblack <= "0" & black & "0000000";
when "010" =>
swhite <= "00" & white & "000000";
sblack <= "00" & black & "000000";
when "011" =>
swhite <= "000" & white & "00000";
sblack <= "000" & black & "00000";
when "100" =>
swhite <= "0000" & white & "0000";
sblack <= "0000" & black & "0000";
when "101" =>
swhite <= "00000" & white & "000";
sblack <= "00000" & black & "000";
when "110" =>
swhite <= "000000" & white & "00";
sblack <= "000000" & black & "00";
when others =>
swhite <= "0000000" & white & "0";
sblack <= "0000000" & black & "0";
end case;
end process;
dout <= (din and (sblack(15 downto 8) xor "11111111")) or swhite(15 downto 8) when PO = '1' and xrel = 32 and yrel < 8 else
(din and (sblack(7 downto 0) xor "11111111")) or swhite(7 downto 0) when PO = '1' and xrel = 33 and yrel < 8 else
din;
end Behavioral;
| apache-2.0 | 907b6209a57dfe38c7b8bc57621d9f30 | 0.491024 | 3.358156 | false | false | false | false |
Koheron/zynq-sdk | fpga/cores/vhdl_counter_v1_0/vhdl_counter.vhd | 2 | 685 |
-- from http://www.asic-world.com/code/vhdl/counter.vhd
library ieee ;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity vhdl_counter is
port(
clk: in std_logic;
reset: in std_logic;
enable: in std_logic;
count: out std_logic_vector(3 downto 0)
);
end vhdl_counter;
architecture behav of vhdl_counter is
signal pre_count: std_logic_vector(3 downto 0);
begin
process(clk, enable, reset)
begin
if reset = '1' then
pre_count <= "0000";
elsif (clk='1' and clk'event) then
if enable = '1' then
pre_count <= pre_count + "1";
end if;
end if;
end process;
count <= pre_count;
end behav; | mit | 4b9915d8e1da0c62ff364b8e4152c52c | 0.626277 | 3.127854 | false | false | false | false |
bangonkali/quartus-sockit | soc_system/synthesis/submodules/alt_vipvfr131_common_pulling_width_adapter.vhd | 2 | 5,362 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
use work.alt_vipvfr131_common_package.all;
entity alt_vipvfr131_common_pulling_width_adapter is
generic (
-- all cusp function units have these
NAME : string := "";
OPTIMIZED : integer := OPTIMIZED_ON;
FAMILY : integer := FAMILY_STRATIX;
-- configuring the input and output widths
IN_WIDTH : integer := 16;
OUT_WIDTH : integer := 16
);
port (
-- cusp system clock, reset
clock : in std_logic;
reset : in std_logic;
-- interface to cusp
ena : in std_logic := '1';
-- input side
input_data : in std_logic_vector(IN_WIDTH - 1 downto 0) := (others => '0');
need_input : out std_logic;
-- output port
output_data : out std_logic_vector(OUT_WIDTH - 1 downto 0) := (others => '0');
pull : in std_logic;
pull_en : in std_logic;
discard : in std_logic;
discard_en : in std_logic
);
end entity;
architecture rtl of alt_vipvfr131_common_pulling_width_adapter is
-- the number of output words which will fit (wholly) into an input word
constant N : integer := IN_WIDTH / OUT_WIDTH;
-- enough buffers to store N output words
type buffers_type is array(integer range <>) of std_logic_vector(OUT_WIDTH - 1 downto 0);
signal buffers : buffers_type(N - 1 downto 0);
-- a counter counts how many output words we can serve without pulling from the input
signal outputs_waiting : std_logic_vector(N - 1 downto 0);
signal perform_pull : std_logic;
signal perform_pull_delay0 : std_logic;
signal perform_pull_delay1 : std_logic;
signal perform_discard : std_logic;
signal perform_discard_delay0 : std_logic;
signal perform_discard_delay1 : std_logic;
signal outputs_waiting_delay0 : std_logic;
signal outputs_waiting_delay1 : std_logic;
begin
-- check validity of inputs
assert OUT_WIDTH <= IN_WIDTH
report "Currently only narrowing output adapters are supported"
severity ERROR;
-- always output buffer zero
output_data <= buffers(0);
-- input_en is derived combinationally, but only very simply
need_input <= pull and pull_en and outputs_waiting(0);
perform_pull <= pull and pull_en;
perform_discard <= discard and discard_en;
-- every time pull is triggered the counter rotates round and:
-- if there are no words stored, input is pulled and captured
-- if there are words stored, the stored words are shifted
-- either way there should be a new word in buffers(0) on the next cycle
-- discard en just causes any outputs waiting to be discarded
respond_triggers : process (clock, reset)
begin
if reset = '1' then
buffers <= (others => (others => '0'));
outputs_waiting(0) <= '1';
outputs_waiting(N - 1 downto 1) <= (others => '0');
perform_pull_delay0 <= '0';
perform_pull_delay1 <= '0';
perform_discard_delay0 <= '0';
perform_discard_delay1 <= '0';
outputs_waiting_delay0 <= '0';
outputs_waiting_delay1 <= '0';
elsif clock'EVENT and clock = '1' then
if ena = '1' then
if perform_pull = '1' then
-- either way, rotate outputs waiting around to decrease the number of
-- outputs waiting, or replace 0 with MAX
outputs_waiting <= outputs_waiting(0) & outputs_waiting(N - 1 downto 1);
elsif perform_discard = '1' then
-- discard causes what is effectively a reset
outputs_waiting(0) <= '1';
outputs_waiting(N - 1 downto 1) <= (others => '0');
end if;
-- delay the control signals by the latency of the read (2 cycles)
perform_pull_delay0 <= perform_pull;
perform_pull_delay1 <= perform_pull_delay0;
perform_discard_delay0 <= perform_discard;
perform_discard_delay1 <= perform_discard_delay0;
outputs_waiting_delay0 <= outputs_waiting(0);
outputs_waiting_delay1 <= outputs_waiting_delay0;
if perform_pull_delay1 = '1' then
if outputs_waiting_delay1 = '1' then
-- currently no outputs waiting, so this output request will
-- have to be serviced by passing a request for a whole new
-- input word to the input port
-- driving need_input high is dealt with combinationally, so
-- all that needs to be done here is capture the resulting
-- output
-- THE ASSUMPTION IS THAT WHATEVER IS DRIVING THE INPUT HAS
-- A TRIGGER TO DATA DELAY OF ZERO
for i in 0 to N - 1 loop
buffers(i) <= input_data((i + 1) * OUT_WIDTH - 1 downto i * OUT_WIDTH);
end loop;
else
-- currently have outputs waiting, so just shift the buffers
-- around to prepare output for the next clock cycle
for i in 0 to N - 2 loop
buffers(i) <= buffers(i + 1);
end loop;
end if;
elsif perform_discard_delay1 = '1' then
-- discard causes what is effectively a reset
buffers <= (others => (others => '0'));
end if;
end if;
end if;
end process;
end architecture rtl;
| mit | f3506383de8cc9a3e43464c2e33d9975 | 0.607423 | 3.808239 | false | false | false | false |
FinnK/lems2hdl | work/N3_pointCellCondBased/ISIM_output/ParamPow.vhdl | 2 | 2,117 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- For Modelsim
--use ieee.fixed_pkg.all;
--use ieee.fixed_float_types.ALL;
-- For ISE
library ieee_proposed;
use ieee_proposed.fixed_pkg.all;
use ieee_proposed.fixed_float_types.ALL;
use IEEE.numeric_std.all;
entity ParamPow is
generic(
BIT_TOP : integer := 20;
BIT_BOTTOM : integer := -20);
port(
clk : In Std_logic;
init_model : in STD_LOGIC; --signal to all components to go into their init state
Start : In Std_logic;
Done : Out Std_logic;
A : In sfixed(BIT_TOP downto BIT_BOTTOM);
X : In sfixed(BIT_TOP downto BIT_BOTTOM);
Output : Out sfixed(BIT_TOP downto BIT_BOTTOM)
);
end ParamPow;
architecture RTL of ParamPow is
signal output_internal : sfixed(BIT_TOP downto BIT_BOTTOM);
signal output_internal_next : sfixed(BIT_TOP downto BIT_BOTTOM);
signal count : sfixed(BIT_TOP downto BIT_BOTTOM);
signal count_next : sfixed(BIT_TOP downto BIT_BOTTOM);
signal done_next : std_logic;
begin
process(A,start,init_model,count,X,output_internal,init_model)
variable Sel : integer;
begin
output_internal_next <= output_internal;
count_next <= count;
done_next <= '0';
if init_model = '1' then
output_internal_next <= to_sfixed(0,BIT_TOP, BIT_BOTTOM);
count_next <= to_sfixed(1,BIT_TOP, BIT_BOTTOM);
done_next <= '1';
else
if start = '1' then
output_internal_next <= A;
count_next <= to_sfixed(1,BIT_TOP, BIT_BOTTOM);
done_next <= '0';
else
if To_slv ( resize (count - X ,BIT_TOP, BIT_BOTTOM))(BIT_TOP-BIT_BOTTOM) = '1' then
count_next <= resize (count + to_sfixed(1,1,0) ,BIT_TOP, BIT_BOTTOM);
output_internal_next <= resize (output_internal * A,BIT_TOP, BIT_BOTTOM);
done_next <= '0';
else
output_internal_next <= output_internal;
count_next <= count;
done_next <= '1';
end if;
end if;
end if;
end process;
process(clk)
variable Sel : integer;
begin
if clk'event and clk = '1' then
output_internal <= output_internal_next;
count <= count_next;
Done <= done_next;
end if;
end process;
Output <= output_internal;
end RTL;
| lgpl-3.0 | 88c1ff734a222d829c6cd216227022e8 | 0.663675 | 2.868564 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/window_3x3_x.vhd | 3 | 12,483 | --------------------------------------------------------------------------
-- Autor: Jorge Márquez
-- Archivo adaptado para la generación de ventanas de 3x3 píxeles para
-- imágenes de tamaño 512x512. El funcionamiento que se expone con detalle
-- en el capítulo 2 del informe.
--
-- Este código se encuentra también en la sección de
-- Apéndices del informe de trabajo de grado PROCESAMIENTO DE IMÁGENES DE
-- ANGIOGRAFÍA BIPLANA USANDO UNA TARJETA DE DESARROLLO SPARTAN-3E
--
-- UNIVERSIDAD DE LOS ANDES
-- FACULTAD DE INGENIERÍA
-- ESCUELA DE INGENIERÍA ELÉCTRICA
--
-- Mérida, Septiembre, 2008
--
---------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity window_3x3 is
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
w11 : out std_logic_vector(vwidth -1 downto 0);
w12 : out std_logic_vector(vwidth -1 downto 0);
w13 : out std_logic_vector(vwidth -1 downto 0);
w21 : out std_logic_vector(vwidth -1 downto 0);
w22 : out std_logic_vector(vwidth -1 downto 0);
w23 : out std_logic_vector(vwidth -1 downto 0);
w31 : out std_logic_vector(vwidth -1 downto 0);
w32 : out std_logic_vector(vwidth -1 downto 0);
w33 : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic:='0'
);
end window_3x3;
architecture window_3x3 of window_3x3 is
component fifo_512x8x
port (
din : IN std_logic_VECTOR(7 downto 0);
wr_en : IN std_logic;
wr_clk : IN std_logic;
rd_en : IN std_logic;
rd_clk : IN std_logic;
rst : IN std_logic;
dout : OUT std_logic_VECTOR(7 downto 0);
full : OUT std_logic;
empty : OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(8 downto 0));
end component;
signal a00 : std_logic_vector(vwidth-1 downto 0);
signal a11 : std_logic_vector(vwidth-1 downto 0);
signal a12 : std_logic_vector(vwidth-1 downto 0);
signal a13 : std_logic_vector(vwidth-1 downto 0);
signal a21 : std_logic_vector(vwidth-1 downto 0);
signal a22 : std_logic_vector(vwidth-1 downto 0);
signal a23 : std_logic_vector(vwidth-1 downto 0);
signal a31 : std_logic_vector(vwidth-1 downto 0);
signal a32 : std_logic_vector(vwidth-1 downto 0);
signal a33 : std_logic_vector(vwidth-1 downto 0);
--fifoa signals
signal clear : std_logic;
signal wrreqa : std_logic:='1';
signal rdreqa : std_logic:='0';
signal ofulla : std_logic;
signal oemptya : std_logic;
signal ofifoa : std_logic_vector(vwidth-1 downto 0);
signal ousedwa : std_logic_VECTOR(8 downto 0);
--fifob signals
signal wrreqb : std_logic:='0';
signal rdreqb : std_logic:='0';
signal ofullb : std_logic;
signal oemptyb : std_logic;
signal ofifob : std_logic_vector(vwidth-1 downto 0);
signal ousedwb : std_logic_VECTOR(8 downto 0);
signal dwrreqb: std_logic:='0';
-- signals for DV coordination
signal dddddddDV: std_logic :='0';
signal ddddddDV: std_logic:='0';
signal dddddDV: std_logic:='0';
signal ddddDV: std_logic:='0';
signal dddDV: std_logic:='0';
signal ddDV: std_logic:='0';
signal dDV: std_logic:='0';
signal ousedwa_temp: integer:=0;
signal ousedwb_temp: integer:=0;
begin
fifoa: fifo_512x8x
port map ( -- port map fifo a
din => a13, -- port map fifo a
wr_en => wrreqa, -- port map fifo a
wr_clk => Clk, -- port map fifo a
rd_en => rdreqa, -- port map fifo a
rd_clk => Clk, -- port map fifo a
rst => clear, -- port map fifo a
dout => ofifoa, -- port map fifo a
full => ofulla, -- port map fifo a
empty => oemptya, -- port map fifo a
wr_data_count => ousedwa -- port map fifo a
); -- port map fifo a
fifob: fifo_512x8x
port map ( -- port map fifo b
din => a23, -- port map fifo b
wr_en => wrreqb, -- port map fifo b
wr_clk => Clk, -- port map fifo b
rd_en => rdreqb, -- port map fifo b
rd_clk => Clk, -- port map fifo b
rst => clear, -- port map fifo b
dout => ofifob, -- port map fifo b
full => ofullb, -- port map fifo b
empty => oemptyb, -- port map fifo b
wr_data_count => ousedwb -- port map fifo b
); -- port map fifo b
clear <= not(RSTn);
clock: process(Clk,RSTn)
begin --clock
if RSTn = '0' then --clock
a11 <= (others=>'0'); --clock
a12 <= (others=>'0'); --clock
a13 <= (others=>'0'); --clock
a21 <= (others=>'0'); --clock
a22 <= (others=>'0'); --clock
a23 <= (others=>'0'); --clock
a31 <= (others=>'0'); --clock
a32 <= (others=>'0'); --clock
a33 <= (others=>'0'); --clock
w11 <= (others=>'0'); --clock
w12 <= (others=>'0'); --clock
w13 <= (others=>'0'); --clock
w21 <= (others=>'0'); --clock
w22 <= (others=>'0'); --clock
w23 <= (others=>'0'); --clock
w31 <= (others=>'0'); --clock
w32 <= (others=>'0'); --clock
w33 <= (others=>'0'); --clock
wrreqa <= '0'; --clock
wrreqb <= '0'; --clock
-- dddddddDV <= '0'; -- 7 ds --clock
ddddddDV <= '0'; --clock
dddddDV <= '0'; --clock
ddddDV <= '0'; --clock
dddDV <= '0'; --clock
ddDV <= '0'; --clock
dDV <= '0'; --clock
DV <= '0'; --clock
elsif rising_edge(Clk) then --clock
--clock
a00 <= D; --clock
--clock
a11 <= a00; --clock
w11 <= a00; --clock
--clock
--clock
w12 <= a11; --clock
a12 <= a11; --clock
--clock
w13 <= a12; --clock
a13 <= a12; --clock
--clock
--clock
w21 <= ofifoa; --clock
a21 <= ofifoa; --clock
--clock
w22 <= a21; --clock
a22 <= a21; --clock
--clock
w23 <= a22; --clock
a23 <= a22; --clock
--clock
w31 <= ofifob; --clock
a31 <= ofifob; --clock
--clock
w32 <= a31; --clock
a32 <= a31; --clock
--clock
w33 <= a32; --clock
a33 <= a32; --clock
--clock
wrreqa <= '1'; --clock
wrreqb <= dwrreqb; --clock
--clock
ddddddDV <= dddddddDV; --04/06/08 --clock
dddddDV <= ddddddDV; --clock
ddddDV <= dddddDV; --clock
dddDV <= ddddDV; --clock
ddDV <= dddDV; --clock
dDV <= ddDV; --clock
DV <= dDV; --clock
end if; --clock
end process; --clock
req: process(Clk) -- req
begin -- req
if rising_edge(Clk) then -- req
if ousedwa = "111111010" then -- req
rdreqa <= '1'; -- req
dwrreqb <= '1'; -- req
end if; -- req
if ousedwb = "111111010" then -- req
rdreqb <= '1'; -- req
dddddddDV <= '1'; --04/06/08 ds -- req
end if; -- req
end if; -- req
end process; -- req
end window_3x3;
| gpl-3.0 | 3f064be78b2bc64077ffa73a60d06bec | 0.303933 | 4.943762 | false | false | false | false |
viccuad/fpga-lunarLanderGame | lunarLander.vhd | 1 | 60,653 |
library IEEE;
library UNISIM;
use UNISIM.vcomponents.all;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- juego original de atari lunar lander en: http://my.ign.com/atari/lunar-lander
entity lunarLander is
port (
ps2Clk: IN std_logic;
ps2Data: IN std_logic;
clk: IN std_logic;
reset: IN std_logic; --reset activo a baja!
modoSiloIN: IN std_logic;
hSync: OUT std_logic;
Vsync: OUT std_logic;
segs: OUT std_logic_vector (6 downto 0);
R: OUT std_logic_vector (2 downto 0); -- alconversor D/A
G: OUT std_logic_vector (2 downto 0); -- alconversor D/A
B: OUT std_logic_vector (2 downto 0) -- alconversor D/A
);
end lunar-lander;
architecture Behavioral of lunarLander is
component ps2KeyboardInterface
port ( clk: IN std_logic;
rst: IN std_logic;
ps2Clk: IN std_logic;
ps2Data: IN std_logic;
data: OUT std_logic_vector (7 DOWNTO 0);
newData: OUT std_logic;
newDataAck: IN std_logic
);
end component;
--seniales estados
type fsmEstados is (pulsadas, despulsadas);
signal estadoTeclado: fsmEstados;
type fsmEstados2 is (iniciando, jugando, parado, reseteo);
signal estadoJuego: fsmEstados2;
type fsmEstados3 is (generaAleatOBase, guardaPixelVer, pintarCol);
signal estadoGenMundo: fsmEstados3;
--señales PS2
signal newData, newDataAck: std_logic;
signal scancode: std_logic_vector (7 downto 0);
--señales VGA
signal senialHSync, senialVSync: std_logic;
signal finPixelCont: std_logic;
signal cuentaPixelCont: std_logic_vector (10 downto 0);
signal cuentaLineCont: std_logic_vector (9 downto 0);
signal comp1, comp2, comp3, comp4, comp5, comp6: std_logic;
signal Rnave,Rmundo,Rbase,R_ml,R_l,R_r,R_mr,Rvel,Rfuego,Rfuel,Rboom: std_logic_vector (2 downto 0);
signal Gnave,Gmundo,Gbase,G_ml,G_l,G_r,G_mr,Gvel,Gfuego,Gfuel,Gboom: std_logic_vector (2 downto 0);
signal Bnave,Bmundo,Bbase,B_ml,B_l,B_r,B_mr,Bvel,Bfuego,Bfuel,Bboom: std_logic_vector (2 downto 0);
--seniales registro lsfr
signal D,Q: std_logic_vector (14 downto 0);
signal puertaAND: std_logic_vector (0 downto 0);
--señales mundo
signal pixelMundoHor, pixelNaveHor: std_logic_vector (7 downto 0); --153 pixeles (10011001)
signal pixelMundoVer, pixelNaveVer,pixelAnteriorVer: std_logic_vector (6 downto 0); --102 pixeles
signal regBaseDificil1,regBaseDificil2,regBaseFacil: std_logic_vector (6 downto 0);
signal contMod3: std_logic_vector(1 downto 0);
signal clContMod3,generarBases: std_logic;
--señales nave
signal haAterrizado,BiestableHaAterrizado: std_logic;
signal posNave: std_logic_vector (14 downto 0); --pixelNaveHor catenado pixelNaveVer
signal cuentaVelVertical, cuentaVelHorizontal: std_logic_vector (6 downto 0);
signal muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical: std_logic;
signal muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal: std_logic;
signal movNave: std_logic_vector (2 downto 0); -- 000 = no se mueve , 001 = arriba , 010 = abajo , 011 = izquierda, 100 = derecha
signal moverNave: std_logic;
--señales juego
signal teclaSPC,teclaW,teclaS,teclaA,teclaD: std_logic;
signal clTeclaSPC,clTeclaW,clTeclaS,clTeclaA,clTeclaD: std_logic;
signal ldTeclaSPC,ldTeclaW,ldTeclaS,ldTeclaA,ldTeclaD: std_logic;
signal cuentaMuyRapido: STD_LOGIC_VECTOR(20 downto 0);
signal cuentaRapido: STD_LOGIC_VECTOR(21 downto 0);
signal cuentaLento: STD_LOGIC_VECTOR(22 downto 0);
signal cuentaMuyLento: STD_LOGIC_VECTOR(23 downto 0);
signal cuentaGasolina: STD_LOGIC_VECTOR(30 downto 0);
signal finCuentaMuyRapido,finCuentaRapido,finCuentaLento,finCuentaMuyLento,finCuentaGasolina: STD_LOGIC;
signal cuentaContBarrido: std_logic_vector(14 downto 0);
signal finCuentaBarrido,enableContBarrido,hayColision: std_logic;
signal finGenerarMundo: std_logic;
signal ModoSilo: std_logic;
--seniales memorias
signal DOAmundoMenosSig,DOAmundoMasSig,DOBmundoMenosSig,DOBmundoMasSig: std_logic_vector(0 downto 0);
signal selPixelPantalla: std_logic_vector (14 downto 0); -- pixeles logicos hor (120) concatenado con pixeles logicos ver (153): cuentaPixelCont(10 downto 3)++cuentaLineCont(8 downto 2)
signal selPixelMundo: std_logic_vector (14 downto 0); --pixelMundoHor catenado pixelMundoVer
signal WEBmenosSig,WEBmasSig,senialWEB,senialWEA: std_logic;
signal DIB,DOBmundo,DOAmundo: std_logic_vector(0 downto 0);
signal senialADDRA: std_logic_vector(13 downto 0);
--señales de depuracion
signal st : std_logic_vector (2 downto 0);
begin
--entradas:
modoSilo <= modoSiloIN;
--------------------------- RAM ------------------------------------------------
selPixelMundo(14 downto 7) <= pixelMundoHor;
selPixelMundo(6 downto 0) <= pixelMundoVer;
selPixelPantalla(14 downto 7) <= cuentaPixelCont(10 downto 3);
selPixelPantalla(6 downto 0) <= cuentaLineCont(8 downto 2);
--http://www.xilinx.com/itp/xilinx10/books/docs/spartan3_hdl/spartan3_hdl.pdf
memMenosSignif: RAMB16_S1_S1
generic map(
WRITE_MODE_B => "READ_FIRST"
)
port map (
DOA => DOAmundoMenosSig, -- Port A 1-bit Data Output
DOB => DOBmundoMenosSig, -- Port B 2-bit Data Output
ADDRA => senialADDRA, -- Port A 14-bit Address Input
ADDRB => selPixelMundo(13 downto 0), -- Port B 14-bit Address Input
CLKA => clk, -- Port A Clock
CLKB => clk, -- Port B Clock
DIA => "0", -- Port A 1-bit Data Input
DIB => DIB, -- Port B 1-bit Data Input --pintamos azul
ENA => '1', -- Port A RAM Enable Input
ENB => '1', -- PortB RAM Enable Input
SSRA => '0', -- Port A Synchronous Set/Reset Input
SSRB => '0', -- Port B Synchronous Set/Reset Input
WEA => senialWEA, -- Port A Write Enable Input
WEB => WEBmenosSig -- Port B Write Enable Input
);
memMasSignif: RAMB16_S1_S1
generic map(
WRITE_MODE_B => "READ_FIRST"
)
port map (
DOA => DOAmundoMasSig, -- Port A 1-bit Data Output
DOB => DOBmundoMasSig, -- Port B 1-bit Data Output
ADDRA => senialADDRA, -- Port A 14-bit Address Input
ADDRB => selPixelMundo(13 downto 0), -- Port B 14-bit Address Input
CLKA => clk, -- Port A Clock
CLKB => clk, -- Port B Clock
DIA => "0", -- Port A 1-bit Data Input
DIB => DIB, -- Port B 1-bit Data Input --pintamos azul
ENA => '1', -- Port A RAM Enable Input
ENB => '1', -- PortB RAM Enable Input
SSRA => '0', -- Port A Synchronous Set/Reset Input
SSRB => '0', -- Port B Synchronous Set/Reset Input
WEA => senialWEA, -- Port A Write Enable Input
WEB => WEBmasSig -- Port B Write Enable Input
);
interfazPS2: ps2KeyboardInterface port map (
rst => reset,
clk => clk,
ps2Clk => ps2Clk,
ps2Data => ps2Data,
data => scancode,
newData => newData,
newDataAck => newDataAck
);
--multiplexores
WEBmenosSig <= senialWEB when (selPixelMundo(14) = '0') else '0';
WEBmasSig <= senialWEB when (selPixelMundo(14) = '1') else '0';
DOBmundo <= DOBmundoMenosSig when (selPixelMundo(14) = '0') else DOBmundoMasSig;
DOAmundo <= DOAmundoMenosSig when (selPixelPantalla(14) = '0') else DOAmundoMasSig;
senialADDRA <= selPixelPantalla(13 downto 0) when (enableContBarrido = '0') else cuentaContBarrido(13 downto 0);
----------------------- PANTALLA -----------------------------------------------
hSync <= senialHSync;
vSync <= senialVSync;
pantalla: process(clk, reset,cuentaPixelCont,cuentaLineCont,Rnave,Rmundo,Gnave,Gmundo,
Bnave,Bmundo,Rbase,Gbase,Bbase,Rvel,Gvel,Bvel,Rfuego,Gfuego,Bfuego,
Rfuel,Gfuel,Bfuel,Rboom,Gboom,Bboom,teclaW,cuentaMuyLento,teclaA,teclaD)
begin
--cont mod 1589 (pixelCont para sincronismo horizontal)
if (cuentaPixelCont = "11000110100") then
finPixelCont <= '1';
else
finPixelCont <= '0';
end if;
if(reset = '0')then
cuentaPixelCont <= (others => '0');
finPixelCont <= '0';
elsif(clk'event and clk = '1') then
if (cuentaPixelCont /= "11000110100") then --1588
cuentaPixelCont <= cuentaPixelCont + '1';
elsif (cuentaPixelCont = "11000110100") then
cuentaPixelCont <= (others => '0');
end if;
end if;
--cont mod 528 (lineCont para sincronismo vertical)
if(reset = '0')then
cuentaLineCont <= (others => '0');
elsif(clk'event and clk = '1') then
if (finPixelCont = '1' and cuentaLineCont /= "1000001111") then --527
cuentaLineCont <= cuentaLineCont + '1';
elsif (finPixelCont = '1' and cuentaLineCont = "1000001111") then
cuentaLineCont <= (others => '0');
end if;
end if;
--comparaciones para pintar dentro de los limites
if (cuentaPixelCont > 1257) then comp1 <= '1'; else comp1 <= '0'; end if;
if (cuentaPixelCont > 1304) then comp2 <= '1'; else comp2 <= '0'; end if;
if (cuentaPixelCont <= 1493) then comp3 <= '1'; else comp3 <= '0'; end if;
if (cuentaLineCont > 479) then comp4 <= '1'; else comp4 <= '0'; end if;
if (cuentaLineCont > 493) then comp5 <= '1'; else comp5 <= '0'; end if;
if (cuentaLineCont <= 495) then comp6 <= '1'; else comp6 <= '0'; end if;
senialHSync <= comp2 nand comp3;
senialVSync <= comp5 nand comp6;
if (senialHSync = '0' or senialVSync = '0') then --no pinta
R <= "000";
G <= "000";
B <= "000";
else --pintamos lo que tengamos que pintar
R(2) <= ( (not (comp1 or comp4)) and (Rnave(2) or Rmundo(2) or Rbase(2) or Rvel(2) or Rfuego(2) or Rfuel(2) or Rboom(2)) );
R(1) <= ( (not (comp1 or comp4)) and (Rnave(1) or Rmundo(1) or Rbase(1) or Rvel(1) or Rfuego(1) or Rfuel(1) or Rboom(1)) );
R(0) <= ( (not (comp1 or comp4)) and (Rnave(0) or Rmundo(0) or Rbase(0) or Rvel(0) or Rfuego(0) or Rfuel(0) or Rboom(0)) );
G(2) <= ( (not (comp1 or comp4)) and (Gnave(2) or Gmundo(2) or Gbase(2) or Gvel(2) or Gfuego(2) or Gfuel(2) or Gboom(2)) );
G(1) <= ( (not (comp1 or comp4)) and (Gnave(1) or Gmundo(1) or Gbase(1) or Gvel(1) or Gfuego(1) or Gfuel(1) or Gboom(1)) );
G(0) <= ( (not (comp1 or comp4)) and (Gnave(0) or Gmundo(0) or Gbase(0) or Gvel(0) or Gfuego(0) or Gfuel(0) or Gboom(0)) );
B(2) <= ( (not (comp1 or comp4)) and (Bnave(2) or Bmundo(2) or Bbase(2) or Bvel(2) or Bfuego(2) or Bfuel(2) or Bboom(2)) );
B(1) <= ( (not (comp1 or comp4)) and (Bnave(1) or Bmundo(1) or Bbase(1) or Bvel(1) or Bfuego(1) or Bfuel(1) or Bboom(1)) );
B(0) <= ( (not (comp1 or comp4)) and (Bnave(0) or Bmundo(0) or Bbase(0) or Bvel(0) or Bfuego(0) or Bfuel(0) or Bboom(0)) );
end if;
end process;
------------------ PINTAR JUEGO ------------------------------------------------
-- vertical: 479 limite de pixeles visibles
-- 120 pixeles -> 479 x= (479*1)/120 = 3.99 = aprox 4
-- 1 pixeles -> x
-- horizontal: 1257 limite de pixeles visibles
-- 153 pixeles -> 1257 x= (1257*1)/153 = 8.21 = aprox 8
-- 1 pixeles -> x
pintarNave: process(cuentaLineCont,cuentaPixelCont,pixelNaveVer,pixelNaveHor)
begin
-- inicializacion
Rnave <= "000";
Gnave <= "000";
Bnave <= "000";
--pintar
if ( (cuentaLineCont(9 downto 2) = pixelNaveVer-3 or cuentaLineCont(9 downto 2) = pixelNaveVer-1)
and (cuentaPixelCont(10 downto 3) = pixelNaveHor) ) then
Rnave <= "000";
Gnave <= "110";
Bnave <= "000";
end if;
if ((cuentaLineCont(9 downto 2) = pixelNaveVer-2)
and (cuentaPixelCont(10 downto 3) = pixelNaveHor)) then
Rnave <= "000";
Gnave <= "000";
Bnave <= "110";
end if;
if (((cuentaLineCont(9 downto 2) = pixelNaveVer) or (cuentaLineCont(9 downto 2) = pixelNaveVer-2))
and (cuentaPixelCont(10 downto 3) = pixelNaveHor-1 )) then
Rnave <= "000";
Gnave <= "110";
Bnave <= "000";
end if;
if (((cuentaLineCont(9 downto 2) = pixelNaveVer) or (cuentaLineCont(9 downto 2) = pixelNaveVer-2))
and (cuentaPixelCont(10 downto 3) = pixelNaveHor+1 )) then
Rnave <= "000";
Gnave <= "110";
Bnave <= "000";
end if;
end process pintarNave;
pintarFuego: process(cuentaLineCont,cuentaPixelCont,pixelNaveVer,pixelNaveHor,
teclaW,cuentaMuyLento,teclaA,teclaD,cuentaGasolina,moverNave)
begin
-- inicializacion
Rfuego <= "000";
Gfuego <= "000";
Bfuego <= "000";
if (cuentaGasolina(30 downto 24) /= "0000000" and moverNave = '1') then
if (teclaW = '1' and cuentaMuyLento(20 downto 20) = "1") then
--pintar amarillo:abajo
if ( cuentaLineCont(9 downto 2) = pixelNaveVer and cuentaPixelCont(10 downto 3) = pixelNaveHor) then
Rfuego <= "111";
Gfuego <= "111";
Bfuego <= "000";
end if;
--pintar amarillo:abajo
if (cuentaLineCont(9 downto 2) = pixelNaveVer+1 and cuentaPixelCont(10 downto 3) = pixelNaveHor) then
Rfuego <= "111";
Gfuego <= "111";
Bfuego <= "000";
end if;
--pintar rojo:abajo
if (((cuentaLineCont(9 downto 2) = pixelNaveVer+1))
and ((cuentaPixelCont(10 downto 3) = pixelNaveHor+1 ) or (cuentaPixelCont(10 downto 3) = pixelNaveHor-1 ))) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
if (cuentaLineCont(9 downto 2) = pixelNaveVer+2 and cuentaPixelCont(10 downto 3) = pixelNaveHor) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
end if;
--pintar fuego lateral a la derecha (voy a la izquierda), he apretado izq
if (teclaA = '1' and cuentaMuyLento(20 downto 20) = "1") then
if (cuentaLineCont(9 downto 2) = pixelNaveVer-2 and cuentaPixelCont(10 downto 3) = pixelNaveHor+2) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
end if;
--pintar fuego lateral a la izquierda (voy a la derecha) he apretado der
if (teclaD = '1' and cuentaMuyLento(20 downto 20) = "1") then
if (cuentaLineCont(9 downto 2) = pixelNaveVer-2 and cuentaPixelCont(10 downto 3) = pixelNaveHor-2) then
Rfuego <= "111";
Gfuego <= "000";
Bfuego <= "000";
end if;
end if;
end if;
end process pintarFuego;
pintarMundo: process(DOAmundo)
begin
-- inicializacion
Rmundo <= "000";
Gmundo <= "000";
Bmundo <= "000";
--pintar
if (DOAmundo = "1") then
Rmundo <= "011";
Gmundo <= "011";
Bmundo <= "011";
end if;
end process pintarMundo;
pintarBases: process(cuentaLineCont,cuentaPixelCont,DOAmundo,regBaseDificil1,
regBaseDificil2,regBaseFacil,modoSilo)
begin
-- inicializacion
Rbase <= "000";
Gbase <= "000";
Bbase <= "000";
--pintar baseDificil1
if (DOAmundo = "1" and
(cuentaPixelCont(10 downto 3) >= regBaseDificil1 and
cuentaPixelCont(10 downto 3) <= regBaseDificil1 +4) ) then
if (modoSilo = '1') then
Rbase <= "000";
Gbase <= "000";
Bbase <= "100";
else
--pintar lineas
if ( cuentaLineCont(9 downto 2) <= 105 and
(cuentaPixelCont(10 downto 3) = regBaseDificil1 or
cuentaPixelCont(10 downto 3) = regBaseDificil1 + 4) )then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 110 and cuentaLineCont(9 downto 2) <= 113 and
cuentaPixelCont(10 downto 3) = regBaseDificil1 +1) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 110 or cuentaLineCont(9 downto 2) = 112) and
cuentaPixelCont(10 downto 3) = regBaseDificil1 + 2) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
end if;
end if;
--pintar baseDificil2
if (DOAmundo = "1" and
(cuentaPixelCont(10 downto 3) >= regBaseDificil2 and
cuentaPixelCont(10 downto 3) <= regBaseDificil2 +4) ) then
if (modoSilo = '1') then
Rbase <= "000";
Gbase <= "000";
Bbase <= "100";
else
--pintar lineas
if ( cuentaLineCont(9 downto 2) <= 105 and
(cuentaPixelCont(10 downto 3) = regBaseDificil2 or
cuentaPixelCont(10 downto 3) = regBaseDificil2 + 4) )then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 110 and cuentaLineCont(9 downto 2) <= 113 and
cuentaPixelCont(10 downto 3) = regBaseDificil2 +1) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 110 or cuentaLineCont(9 downto 2) = 112) and
cuentaPixelCont(10 downto 3) = regBaseDificil2 + 2) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
end if;
end if;
--pintar base facil
if (DOAmundo = "1" and
(cuentaPixelCont(10 downto 3) >= regBaseFacil and
cuentaPixelCont(10 downto 3) <= regBaseFacil +8) ) then
if (modoSilo = '1') then
Rbase <= "100";
Gbase <= "000";
Bbase <= "000";
else
--pintar lineas
if ( cuentaLineCont(9 downto 2) <= 105 and
(cuentaPixelCont(10 downto 3) = regBaseFacil or
cuentaPixelCont(10 downto 3) = regBaseFacil + 8) )then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 110 and cuentaLineCont(9 downto 2) <= 113 and
cuentaPixelCont(10 downto 3) = regBaseFacil + 3) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 110 or cuentaLineCont(9 downto 2) = 112) and
cuentaPixelCont(10 downto 3) = regBaseFacil + 4) then
Rbase <= "111";
Gbase <= "111";
Bbase <= "111";
end if;
end if;
end if;
end process pintarBases;
pintarBoom: process(estadoJuego,cuentaLineCont,cuentaPixelCont)
begin
-- inicializacion
Rboom <= "000";
Gboom <= "000";
Bboom <= "000";
--pintar boom!
if (estadoJuego = parado) then
--pintar B
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 66 or cuentaPixelCont(10 downto 3) = 68)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ((cuentaLineCont(9 downto 2) = 36 or
cuentaLineCont(9 downto 2) = 38 or cuentaLineCont(9 downto 2) = 40 ) and
cuentaPixelCont(10 downto 3) = 67 ) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar primera O
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 70 or cuentaPixelCont(10 downto 3) = 72)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 36 or cuentaLineCont(9 downto 2) = 40) and
cuentaPixelCont(10 downto 3) = 71) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar segunda O
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 74 or cuentaPixelCont(10 downto 3) = 76)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 36 or cuentaLineCont(9 downto 2) = 40) and
cuentaPixelCont(10 downto 3) = 75) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar M
if ( (cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 40) and
(cuentaPixelCont(10 downto 3) = 78 or cuentaPixelCont(10 downto 3) = 82)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 37) and
(cuentaPixelCont(10 downto 3) = 79 or cuentaPixelCont(10 downto 3) = 81)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 38) and
cuentaPixelCont(10 downto 3) = 80) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
--pintar '!'
if ( ((cuentaLineCont(9 downto 2) >= 36 and cuentaLineCont(9 downto 2) <= 38) or
cuentaLineCont(9 downto 2) = 40 ) and
(cuentaPixelCont(10 downto 3) = 85)) then
Rboom <= "111";
Gboom <= "111";
Bboom <= "111";
end if;
end if;
end process pintarBoom;
Rvel(2) <= (R_ml(2) or R_l(2) or R_r(2) or R_mr(2));
Rvel(1) <= (R_ml(1) or R_l(1) or R_r(1) or R_mr(1));
Rvel(0) <= (R_ml(0) or R_l(0) or R_r(0) or R_mr(0));
Gvel(2) <= (G_ml(2) or G_l(2) or G_r(2) or G_mr(2));
Gvel(1) <= (G_ml(1) or G_l(1) or G_r(1) or G_mr(1));
Gvel(0) <= (G_ml(0) or G_l(0) or G_r(0) or G_mr(0));
Bvel(2) <= (B_ml(2) or B_l(2) or B_r(2) or B_mr(2));
Bvel(1) <= (B_ml(1) or B_l(1) or B_r(1) or B_mr(1));
Bvel(0) <= (B_ml(0) or B_l(0) or B_r(0) or B_mr(0));
pintarVelMuyLento: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_ml <= "000";
G_ml <= "000";
B_ml <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6)
and (cuentaPixelCont(10 downto 3) >= 144 and cuentaPixelCont(10 downto 3) <= 146)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_ml <= "001";
G_ml <= "001";
B_ml <= "001";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel muyLento
if (muyLentoHorizontal = '1' or lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 144) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel muyLento
if (muyLentoHorizontal = '1' or lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 146) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel muyLento
if (muyLentoVertical = '1' or lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 4) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel muyLento
if (muyLentoVertical = '1' or lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 6) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_ml <= "000";
G_ml <= "111";
B_ml <= "000";
end if;
end if;
end if;
end process pintarVelMuyLento;
pintarVelLento: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_l <= "000";
G_l <= "000";
B_l <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 3 and cuentaLineCont(9 downto 2) <= 7)
and (cuentaPixelCont(10 downto 3) >= 143 and cuentaPixelCont(10 downto 3) <= 147)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_l <= "011";
G_l <= "011";
B_l <= "011";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel Lento
if (lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 143) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel Lento
if (lentoHorizontal = '1' or rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 147) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel Lento
if (lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 3) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel Lento
if (lentoVertical = '1' or rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 7) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_l <= "111";
G_l <= "111";
B_l <= "000";
end if;
end if;
end if;
end process pintarVelLento;
pintarVelRapido: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_r <= "000";
G_r <= "000";
B_r <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 2 and cuentaLineCont(9 downto 2) <= 8)
and (cuentaPixelCont(10 downto 3) >= 142 and cuentaPixelCont(10 downto 3) <= 148)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_r <= "010";
G_r <= "010";
B_r <= "010";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel
if (rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 142) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel
if (rapidoHorizontal = '1' or muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 148) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel
if (rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 2) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel
if (rapidoVertical = '1' or muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 8) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_r <= "111";
G_r <= "011";
B_r <= "000";
end if;
end if;
end if;
end process pintarVelRapido;
pintarVelMuyRapido: process(cuentaLineCont,cuentaPixelCont,cuentaVelVertical,cuentaVelHorizontal,
muyLentoVertical,lentoVertical,rapidoVertical,muyRapidoVertical,
muyLentoHorizontal,lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal)
begin
-- inicializacion
R_mr <= "000";
G_mr <= "000";
B_mr <= "000";
--inicializar a gris:
if ((cuentaLineCont(9 downto 2) >= 1 and cuentaLineCont(9 downto 2) <= 9)
and (cuentaPixelCont(10 downto 3) >= 141 and cuentaPixelCont(10 downto 3) <= 149)) then
if ((cuentaLineCont(9 downto 2) /= 5) and (cuentaPixelCont(10 downto 3) /= 145)) then
R_mr <= "000";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
if (cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 64) then --hor izq
--pintar pixel
if (muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 141) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
else -- hor derecha
--pintar pixel muyLento
if (muyRapidoHorizontal = '1') then
if ((cuentaLineCont(9 downto 2) = 5) and (cuentaPixelCont(10 downto 3) = 149) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
end if;
if (cuentaVelVertical >= 0 and cuentaVelVertical < 64) then --ver subir
--pintar pixel muyLento
if (muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 1) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
else -- ver abajo
--pintar pixel muyLento
if (muyRapidoVertical = '1') then
if ((cuentaLineCont(9 downto 2) = 9) and (cuentaPixelCont(10 downto 3) = 145) ) then
R_mr <= "111";
G_mr <= "000";
B_mr <= "000";
end if;
end if;
end if;
end process pintarVelMuyRapido;
pintarGasolina: process(cuentaLineCont,cuentaPixelCont,cuentaGasolina)
begin
-- inicializacion
Rfuel <= "000";
Gfuel <= "000";
Bfuel <= "000";
--linea gris:
if ( cuentaLineCont(9 downto 2) = 7 and
cuentaPixelCont(10 downto 3) >= 116 and cuentaPixelCont(10 downto 3) <= 127) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "111";
end if;
--F de fuel
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 7 and
cuentaPixelCont(10 downto 3) = 112) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "111";
end if;
if ( (cuentaLineCont(9 downto 2) = 4 or cuentaLineCont(9 downto 2) = 6) and
cuentaPixelCont(10 downto 3) = 113) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "111";
end if;
--lineas rojas
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 116 and
(cuentaGasolina(30 downto 24) > "0000000" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "000";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 117 and
(cuentaGasolina(30 downto 24) > "0001010" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "000";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 118 and
(cuentaGasolina(30 downto 24) > "0010100" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "000";
Bfuel <= "000";
end if;
--lineas naranjas
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 119 and
(cuentaGasolina(30 downto 24) > "0011110" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "011";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 120 and
(cuentaGasolina(30 downto 24) > "0101000" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "011";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 121 and
(cuentaGasolina(30 downto 24) > "0110010" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "011";
Bfuel <= "000";
end if;
--lineas amarillas
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 122 and
(cuentaGasolina(30 downto 24) > "0111100" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 123 and
(cuentaGasolina(30 downto 24) > "1000110" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 124 and
(cuentaGasolina(30 downto 24) > "1010000" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "111";
Gfuel <= "111";
Bfuel <= "000";
end if;
--lineas verdes
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 125 and
(cuentaGasolina(30 downto 24) > "1011010" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "000";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 126 and
(cuentaGasolina(30 downto 24) > "1100100" and cuentaGasolina(30 downto 24) <= "1110111")) then
Rfuel <= "000";
Gfuel <= "111";
Bfuel <= "000";
end if;
if ( cuentaLineCont(9 downto 2) >= 4 and cuentaLineCont(9 downto 2) <= 6 and
cuentaPixelCont(10 downto 3) = 127 and
(cuentaGasolina(30 downto 24) > "1101110" and cuentaGasolina(30 downto 24) <= "1110111") ) then
Rfuel <= "000";
Gfuel <= "111";
Bfuel <= "000";
end if;
end process pintarGasolina;
--#################### CONTROL JUEGO #########################################--
contadorMuyRapido: process(reset,clk,cuentaMuyRapido) --contador mod 2.000.000 (de 0 a 1.999.999)
begin
if (cuentaMuyRapido = "111101000010001111111") then
finCuentaMuyRapido <= '1';
else
finCuentaMuyRapido <= '0';
end if;
if(reset = '0')then
cuentaMuyRapido <= (others => '0');
finCuentaMuyRapido <= '0';
elsif(clk'event and clk = '1') then
if (cuentaMuyRapido /= "111101000010001111111") then
cuentaMuyRapido <= cuentaMuyRapido + 1;
elsif (cuentaMuyRapido = "111101000010001111111") then
cuentaMuyRapido <= (others => '0');
end if;
end if;
end process contadorMuyRapido;
contadorRapido: process(reset,clk,cuentaRapido) --contador mod 4.000.000 (de 0 a 3.999.999)
begin
if (cuentaRapido = "1111010000100011111111") then
finCuentaRapido <= '1';
else
finCuentaRapido <= '0';
end if;
if(reset = '0')then
cuentaRapido <= (others => '0');
finCuentaRapido <= '0';
elsif(clk'event and clk = '1') then
if (cuentaRapido /= "1111010000100011111111") then
cuentaRapido <= cuentaRapido + 1;
elsif (cuentaRapido = "1111010000100011111111") then
cuentaRapido <= (others => '0');
end if;
end if;
end process contadorRapido;
contadorLento: process(reset,clk,cuentaLento) --contador mod 8.000.000 (de 0 a 7.999.999)
begin
if (cuentaLento = "11110100001000111111111") then
finCuentaLento <= '1';
else
finCuentaLento <= '0';
end if;
if(reset = '0')then
cuentaLento <= (others => '0');
finCuentaLento <= '0';
elsif(clk'event and clk = '1') then
if (cuentaLento /= "11110100001000111111111") then
cuentaLento <= cuentaLento + 1;
elsif (cuentaLento = "11110100001000111111111") then
cuentaLento <= (others => '0');
end if;
end if;
end process contadorLento;
contadorMuyLento: process(reset,clk,cuentaMuyLento) --contador mod 16.000.000 (de 0 a 15.999.999)
begin
if (cuentaMuyLento = "11110100001000111111111111") then
finCuentaMuyLento <= '1';
else
finCuentaMuyLento <= '0';
end if;
if(reset = '0')then
cuentaMuyLento <= (others => '0');
finCuentaMuyLento <= '0';
elsif(clk'event and clk = '1') then
if (cuentaMuyLento /= "11110100001000111111111111") then
cuentaMuyLento <= cuentaMuyLento + 1;
elsif (cuentaMuyLento = "11110100001000111111111111") then
cuentaMuyLento <= (others => '0');
end if;
end if;
end process contadorMuyLento;
contadorGasolina: process(reset,clk,cuentaGasolina,teclaSPC,haAterrizado) --contador mod 32.000.000 (de 0 a 31.999.999)
begin
if (cuentaGasolina = "0000000000000000000000000000") then
finCuentaGasolina <= '1';
else
finCuentaGasolina <= '0';
end if;
if(reset = '0')then
cuentaGasolina <= "1111010000100011111111111111111";
finCuentaGasolina <= '0';
elsif(clk'event and clk = '1') then
if (cuentaGasolina /= "0000000000000000000000000000") then
cuentaGasolina <= cuentaGasolina - 1;
end if;
if (modoSilo = '0') then
if (haAterrizado = '1' and cuentaGasolina(30 downto 24) < "1101110") then --recarga gasolina
cuentaGasolina <= cuentaGasolina +20;
end if;
else
if (pixelNaveVer = "1110111" and cuentaGasolina(30 downto 24) < "1101110") then --recarga gasolina
cuentaGasolina <= cuentaGasolina +20;
end if;
end if;
if (teclaSPC = '1') then
cuentaGasolina <= "1111010000100011111111111111111";
end if;
end if;
end process contadorGasolina;
contVelVertical: process(reset,clk,cuentaVelVertical,finCuentaLento,teclaSPC,
movNave,moverNave) --contador mod
begin
-- de 0 a 63, sube (para subir hay que restar)
-- de 64 a 127, baja (para bajar hay que sumar)
if(reset = '0')then
cuentaVelVertical <= "1000110"; --70: cae a poca velocidad
elsif(clk'event and clk = '1') then
if (finCuentaLento = '1' ) then--and moverNave = '1') then
--127: con el bit mas sig, si es 0 el resto de bits seran la vel de caida; lo mismo para la de subida cuando bit mas sig igual 1
--hay gravedad:
if ((haAterrizado = '0' or hayColision = '0') and cuentaVelVertical <= "1111101") then
cuentaVelVertical <= cuentaVelVertical +2;
end if;
if (haAterrizado = '1') then
cuentaVelVertical <= "1000000"; --no tiene velocidad de caida
end if;
if (movNave = "001") then --nave hacia arriba
if (cuentaVelVertical >= "0000100") then --4
cuentaVelVertical <= cuentaVelVertical - 4;
end if;
if (haAterrizado = '1') then --hemos aterrizado, si encendemos motores despegamos fuerte
cuentaVelVertical <= "0001111";
end if;
end if;
end if;
end if;
--generacion de velocidad
muyLentoVertical <= '0';
lentoVertical <= '0';
rapidoVertical <= '0';
muyRapidoVertical <= '0';
if ((cuentaVelVertical >= 0 and cuentaVelVertical < 15) or --subiendo muy rapido
(cuentaVelVertical >= 109 and cuentaVelVertical <= 127)) then --bajando muy rapido
muyRapidoVertical <= '1';
elsif ((cuentaVelVertical >=15 and cuentaVelVertical < 30) or --subiendo rapido
(cuentaVelVertical >= 94 and cuentaVelVertical < 109)) then --bajando rapido
rapidoVertical <= '1';
elsif ((cuentaVelVertical >= 30 and cuentaVelVertical < 45) or --subiendo lento
(cuentaVelVertical >= 79 and cuentaVelVertical < 94)) then --bajando lento
lentoVertical <= '1';
elsif ((cuentaVelVertical >= 45 and cuentaVelVertical < 64) or --subiendo muy lento
(cuentaVelVertical >= 64 and cuentaVelVertical <= 79)) then --bajando muy lento
muyLentoVertical <= '1';
end if;
if (teclaSPC = '1') then
cuentaVelVertical <= "1000110"; --70: cae a poca velocidad
end if;
end process contVelVertical;
contVelHorizontal: process(reset,clk,cuentaVelHorizontal,finCuentaLento,teclaSPC,
movNave,moverNave) --contador mod
begin
-- de 0 a 63, izquierda (para ir izquierda hay que restar)
-- de 64 a 127, derecha (para ir derecha hay que sumar)
if(reset = '0')then
cuentaVelHorizontal <= "1000110"; --70: cae a poca velocidad
elsif(clk'event and clk = '1') then
if (finCuentaLento = '1' and moverNave = '1') then
--127: con el bit mas sig, si es 0 el resto de bits seran la vel de izquiera; lo mismo para la derecha cuando bit mas sig igual 1
--si usamos los motores, cambiamos la velocidad
if (movNave = "100") then --nave hacia derecha
if (cuentaVelHorizontal < "1111011") then --123
cuentaVelHorizontal <= cuentaVelHorizontal + 4;
end if;
end if;
if (movNave = "011") then --nave hacia la izquierda
if (cuentaVelHorizontal >= "0000100") then --4
cuentaVelHorizontal <= cuentaVelHorizontal - 4;
end if;
end if;
end if;
end if;
--generacion de velocidad
muyLentoHorizontal <= '0';
lentoHorizontal <= '0';
rapidoHorizontal <= '0';
muyRapidoHorizontal <= '0';
if ((cuentaVelHorizontal >= 0 and cuentaVelHorizontal < 15) or --izq muy rapido
(cuentaVelHorizontal >= 109 and cuentaVelHorizontal <= 127)) then --der muy rapido
muyRapidoHorizontal <= '1';
elsif ((cuentaVelHorizontal >=15 and cuentaVelHorizontal < 30) or --izq rapido
(cuentaVelHorizontal >= 94 and cuentaVelHorizontal < 109)) then --der rapido
rapidoHorizontal <= '1';
elsif ((cuentaVelHorizontal >= 30 and cuentaVelHorizontal < 45) or --izq lento
(cuentaVelHorizontal >= 79 and cuentaVelHorizontal < 94)) then --der lento
lentoHorizontal <= '1';
elsif ((cuentaVelHorizontal >= 45 and cuentaVelHorizontal < 64) or --izq muy lento
(cuentaVelHorizontal >= 64 and cuentaVelHorizontal <= 79)) then --der muy lento
muyLentoHorizontal <= '1';
end if;
if (teclaSPC = '1') then
cuentaVelHorizontal <= "1000110"; --70: cae a poca velocidad
end if;
end process contVelHorizontal;
nave: process(clk,reset,moverNave,finCuentaLento,pixelNaveHor,pixelNaveVer,movNave,
cuentaVelVertical,cuentaVelHorizontal,muyLentoHorizontal,
lentoHorizontal,rapidoHorizontal,muyRapidoHorizontal,muyLentoVertical,
lentoVertical,rapidoVertical,muyRapidoVertical)
begin
posNave(14 downto 7) <= pixelNaveHor;
posNave(6 downto 0) <= pixelNaveVer;
--vertical: cont mod 102 y horizontal: cont mod 153
if (reset = '0')then --pos inicial coche1
pixelNaveVer <= "0001000"; --en 9
pixelNaveHor <= "00000011"; --en 3
elsif (clk'event and clk = '1' and moverNave = '1') then
--movimiento de la nave vertical
if (cuentaVelVertical < "1000000") then --64 limite: va hacia arriba
if (pixelNaveVer >= "0000001") then
if (muyLentoVertical = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
elsif (lentoVertical = '1') then
if (finCuentaLento = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
elsif (rapidoVertical = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
elsif (muyRapidoVertical = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveVer-1 /= "0000000") then pixelNaveVer <= pixelNaveVer - 1; end if;
end if;
end if;
end if;
elsif (cuentaVelVertical >= "1000000") then --va hacia abajo
if (pixelNaveVer < "1110111") then
if (muyLentoVertical = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
elsif (lentoVertical = '1') then
if (finCuentaLento = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
elsif (rapidoVertical = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
elsif (muyRapidoVertical = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveVer-1 /= "1110111") then pixelNaveVer <= pixelNaveVer + 1; end if;
end if;
end if;
end if;
end if;
--movimiento de la nave horizontal
if (cuentaVelHorizontal <"1000000") then --va hacia la izq
if (muyLentoHorizontal = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
elsif (lentoHorizontal = '1') then
if (finCuentaLento = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
elsif (rapidoHorizontal = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
elsif (muyRapidoHorizontal = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveHor-1 /= "00000000") then pixelNaveHor <= pixelNaveHor - 1; end if;
end if;
end if;
elsif (cuentaVelHorizontal >= "1000000") then --va hacia la der
if (muyLentoHorizontal = '1') then
if (finCuentaMuyLento = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
elsif (lentoHorizontal = '1') then
if (finCuentaLento = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
elsif (rapidoHorizontal = '1') then
if (finCuentaRapido = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
elsif (muyRapidoHorizontal = '1') then
if (finCuentaMuyRapido = '1') then
if (pixelNaveHor-1 /= "10011000") then pixelNaveHor <= pixelNaveHor + 1; end if;
end if;
end if;
end if;
if (teclaSPC = '1') then
pixelNaveVer <= "0001000"; --en 9
pixelNaveHor <= "00000011"; --en 3
end if;
end if;
end process nave;
asigMovNave: process(teclaA,teclaW,teclaS,teclaD,cuentaGasolina)
begin
movNave <= "000";
if (cuentaGasolina(30 downto 24) /= "0000000") then --si queda gasolina, enciendes motores
if (teclaW = '1') then movNave <= "001"; end if;
if (teclaS = '1') then movNave <= "010"; end if;
if (teclaA = '1') then movNave <= "011"; end if;
if (teclaD = '1') then movNave <= "100"; end if;
end if;
end process asigMovNave;
generacionBases: process(clk,reset,Q,contMod3,generarBases,clContMod3,selPixelMundo,
selPixelPantalla)
begin
if (reset = '0') then
regBaseDificil1 <= "0000000";
regBaseDificil2 <= "0000000";
regBaseFacil <= "0000000";
contMod3 <= "00";
elsif (clk'event and clk = '1') then
if (generarBases = '1' and contMod3 /= "11") then
case contMod3 is
when "00" => regBaseDificil1 <= Q(6 downto 0); --7 bits porque 100="1100100". A la dir base de nuestras bases vamos a sumar un num aleatorio
when "01" => regBaseDificil2 <= Q(6 downto 0);
when "10" => regBaseFacil <= Q(6 downto 0);
when others => null;
end case;
contMod3 <= contMod3 + 1;
end if;
if (clContMod3 = '1') then
contMod3 <= "00";
end if;
end if;
end process generacionBases;
colision: process(DOAmundo,posNave,selPixelPantalla,pixelNaveHor,regBaseDificil1,
regBaseDificil2,regBaseFacil,muyRapidoVertical,modoSilo)
begin
hayColision <= '0';
BiestablehaAterrizado <= '0';
if ((DOAmundo = "1" and posNave = selPixelPantalla) and not
((pixelNaveHor >= regBaseDificil1 and pixelNaveHor < regBaseDificil1 +5) or --en baseDificil1
(pixelNaveHor >= regBaseDificil2 and pixelNaveHor < regBaseDificil2 +5) or --en baseDificil2
(pixelNaveHor >= regBaseFacil and pixelNaveHor < regBaseFacil +9) --en baseFacil
)) then
hayColision <= '1';
end if;
if ((DOAmundo = "1" and modoSilo = '0' and
(posNave(14 downto 7) = selPixelPantalla(14 downto 7)) and --posicion de la nave
(posNave(6 downto 0) = selPixelPantalla(6 downto 0))
) and
((pixelNaveHor >= regBaseDificil1 and pixelNaveHor < regBaseDificil1 +5) or --en baseDificil1
(pixelNaveHor >= regBaseDificil2 and pixelNaveHor < regBaseDificil2 +5) or --en baseDificil2
(pixelNaveHor >= regBaseFacil and pixelNaveHor < regBaseFacil +9) --en baseFacil
)) then --si estamos donde la base
if (muyRapidoVertical = '0') then --no voy muy rapido hacia abajo
BiestablehaAterrizado <= '1';
else
hayColision <= '1';
end if;
end if;
end process colision;
biestable_D_haAterrizado: process(reset,clk,BiestablehaAterrizado,movNave) --con este biestableD conseguimos que continue el juego si ha aterrizado
begin
if(reset = '0')then
haAterrizado <= '0';
elsif(clk'event and clk = '1' ) then
if (teclaSPC = '1') then
haAterrizado <= '0';
end if;
if (posNave = selPixelPantalla) then
haAterrizado <= BiestablehaAterrizado;
end if;
end if;
end process biestable_D_haAterrizado;
--maquina de estados de la generacion de mundo -------------------------------------------------
controladorFSMgeneracionMundo: process (clk, reset, estadoJuego, pixelMundoVer)
begin
if(reset = '0') then
estadoGenMundo <= generaAleatOBase;
elsif (clk'event and clk = '1' and estadoJuego = iniciando) then
estadoGenMundo <= generaAleatOBase; -- estado por defecto, puede ser sobreescrito luego
case estadoGenMundo is
when generaAleatOBase =>
estadoGenMundo <= guardaPixelVer;
when guardaPixelVer =>
estadoGenMundo <= pintarCol;
when pintarCol =>
estadoGenMundo <= pintarCol;
if (pixelMundoVer = "1111000") then --ver 120
estadoGenMundo <= generaAleatOBase;
end if;
end case;
end if;
end process controladorFSMgeneracionMundo;
generadorMealyFSMgeneracionMundo: process (clk,reset,pixelMundoHor,pixelMundoVer,regBaseDificil1,regBaseDificil2,
regBaseFacil,pixelAnteriorVer,Q,estadoGenMundo)
begin
pixelMundoVer <= pixelMundoVer;
pixelMundoHor <= pixelMundoHor;
pixelAnteriorVer <= pixelAnteriorVer;
if (reset = '0') then
pixelMundoVer <= "1011010"; --90
pixelAnteriorVer <= "1011010"; --90
pixelMundoHor <= "00000000";
finGenerarMundo <= '0';
elsif (clk'event and clk = '1') then
case estadoGenMundo is
when generaAleatOBase =>
finGenerarMundo <= '0';
--si es base
if ((pixelMundoHor >= regBaseDificil1 and pixelMundoHor < regBaseDificil1 +5) or
(pixelMundoHor >= regBaseDificil2 and pixelMundoHor < regBaseDificil2 +5) or
(pixelMundoHor >= regBaseFacil and pixelMundoHor < regBaseFacil +9 ) ) then
pixelAnteriorVer <= pixelAnteriorVer;
--no es base
--sube y baja aleatoriamente dependiendo de unos valores fijados
-- 0 <= Q <= 32767 (num de pixeles fisicos) 1/4 = 8191 2/4 = 16383 3/4 = 24573
elsif (Q>=0 and Q <= 8191) then
pixelAnteriorVer <= pixelAnteriorVer - 2;
if (pixelAnteriorVer <= "0100111") then pixelAnteriorVer <= "0100111"; end if; --0100111=pixel logico 39 (el mundo no podrá subir más alla del tercio de la pantalla, para que entre la nave)
elsif (Q>8191 and Q <= 16383) then
pixelAnteriorVer <= pixelAnteriorVer - 1;
if (pixelAnteriorVer <= "0100111") then pixelAnteriorVer <= "0100111"; end if; --0100111=pixel logico 39
elsif (Q>16383 and Q <= 24573) then
pixelAnteriorVer <= pixelAnteriorVer + 2;
if (pixelAnteriorVer >= "1101110") then pixelAnteriorVer <= "1101110"; end if; --1101110=pixel logico 110 (el mundo no podrá bajar más alla del pixel 110 de la pantalla, para que se vea)
elsif (Q>24573 and Q <= 32767) then
pixelAnteriorVer <= pixelAnteriorVer + 1;
if (pixelAnteriorVer >= "1101110") then pixelAnteriorVer <= "1101110"; end if; --1101110=pixel logico 110
end if;
when guardaPixelVer =>
pixelMundoVer <= pixelAnteriorVer;
when pintarCol =>
if (pixelMundoVer /= "1111000") then --ver 120: si es distinto me pintas la columna
pixelMundoVer <= pixelMundoVer + 1;
elsif (pixelMundoVer = "1111000" and pixelMundoHor /= "10011001") then --ver 120 / hor 153: pasar a siguiente columna
pixelMundoHor <= pixelMundoHor + 1;
elsif (pixelMundoVer = "1111000" and pixelMundoHor = "10011001") then --ver 120 / hor 153: acabo de generar mundo, reinicio tambien para la sig vez
finGenerarMundo <= '1';
pixelMundoVer <= "1011010"; --90
pixelAnteriorVer <= "1011010"; --90
pixelMundoHor <= "00000000";
end if;
when others =>
pixelMundoHor <= pixelMundoHor;
pixelMundoVer <= pixelMundoVer;
pixelAnteriorVer <= pixelAnteriorVer;
end case;
end if;
end process generadorMealyFSMgeneracionMundo;
--------------------------------------------------------------------------------
--maquina de estados con registros de flags para el teclado---------------------
controladorFSMteclado: process (clk, reset, newData, scancode)
begin
if(reset = '0') then
estadoTeclado <= pulsadas;
elsif (clk'event and clk = '1') then
estadoTeclado <= pulsadas; -- estado por defecto, puede ser sobreescrito luego
case estadoTeclado is
when pulsadas =>
estadoTeclado <= pulsadas;
if (newData = '1' and scancode = "11110000") then --11110000: F0
estadoTeclado <= despulsadas;
end if;
when despulsadas =>
estadoTeclado <= despulsadas;
if (newData = '1') then
estadoTeclado <= pulsadas;
end if;
end case;
end if;
end process controladorFSMteclado;
generadorMealyFSMteclado: process (newDataAck, scancode, estadoTeclado, newData)
begin
newDataAck <= '0';
clTeclaW <= '0';
clTeclaS <= '0';
clTeclaA <= '0';
clTeclaD <= '0';
clTeclaSPC <= '0';
ldTeclaW <= '0';
ldTeclaS <= '0';
ldTeclaA <= '0';
ldTeclaD <= '0';
ldTeclaSPC <= '0';
case estadoTeclado is
when pulsadas =>
if (newData = '1') then --11110000: F0
case scancode is --registros de flags:
when "00011101" => ldTeclaW <= '1'; clTeclaW <= '0'; --W=1D
when "00011011" => ldTeclaS <= '1'; clTeclaS <= '0'; --S=1B
when "00011100" => ldTeclaA <= '1'; clTeclaA <= '0'; --A=1C
when "00100011" => ldTeclaD <= '1'; clTeclaD <= '0'; --D=23
when "00101001" => ldTeclaSPC <= '1'; clTeclaSPC <= '0'; --SPC=29
when others => null;
end case;
newDataAck <= '1';
end if;
when despulsadas =>
if (newData = '1') then
case scancode is --registros de flags:
when "00011101" => ldTeclaW <= '0'; clTeclaW <= '1'; --W=1D
when "00011011" => ldTeclaS <= '0'; clTeclaS <= '1'; --S=1B
when "00011100" => ldTeclaA <= '0'; clTeclaA <= '1'; --A=1C
when "00100011" => ldTeclaD <= '0'; clTeclaD <= '1'; --D=23
when "00101001" => ldTeclaSPC <= '0'; clTeclaSPC <= '1'; --SPC=29
when others => null;
end case;
newDataAck <= '1';
end if;
when others => null;
end case;
end process generadorMealyFSMteclado;
biestableDteclaSPC: process(reset,clk,ldTeclaSPC,clTeclaSPC)
begin
if(reset = '0')then
teclaSPC <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaSPC = '1') then
teclaSPC <= '0';
elsif (ldTeclaSPC = '1') then
teclaSPC <= '1';
end if;
end if;
end process biestableDteclaSPC;
biestableDteclaW: process(reset,clk,ldTeclaW,clTeclaW)
begin
if(reset = '0')then
teclaW <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaW = '1') then
teclaW <= '0';
elsif (ldTeclaW = '1') then
teclaW <= '1';
end if;
end if;
end process biestableDteclaW;
biestableDteclaS: process(reset,clk,ldTeclaS,clTeclaS)
begin
if(reset = '0')then
teclaS <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaS = '1') then
teclaS <= '0';
elsif (ldTeclaS = '1') then
teclaS <= '1';
end if;
end if;
end process biestableDteclaS;
biestableDteclaA: process(reset,clk,ldTeclaA,clTeclaA)
begin
if(reset = '0')then
teclaA <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaA = '1') then
teclaA <= '0';
elsif (ldTeclaA = '1') then
teclaA <= '1';
end if;
end if;
end process biestableDteclaA;
biestableDteclaD: process(reset,clk,ldTeclaD,clTeclaD)
begin
if(reset = '0')then
teclaD <= '0';
elsif(clk'event and clk = '1' ) then
if (clTeclaD = '1') then
teclaD <= '0';
elsif (ldTeclaD = '1') then
teclaD <= '1';
end if;
end if;
end process biestableDteclaD;
--maquina de estados del juego -------------------------------------------------
controladorFSMjuego: process (clk, reset, finGenerarMundo, finCuentaBarrido,
hayColision, teclaSPC)
begin
if(reset = '0') then
estadoJuego <= iniciando;
elsif (clk'event and clk = '1') then
estadoJuego <= iniciando; -- estado por defecto, puede ser sobreescrito luego
case estadoJuego is
when iniciando =>
estadoJuego <= iniciando;
if (finGenerarMundo = '1') then
estadoJuego <= jugando;
end if;
when jugando =>
estadoJuego <= jugando;
if (hayColision = '1') then
estadoJuego <= parado;
end if;
if (teclaSPC = '1') then
estadoJuego <= reseteo;
end if;
when parado =>
estadoJuego <= parado;
if (teclaSPC = '1') then
estadoJuego <= reseteo;
end if;
when reseteo =>
estadoJuego <= reseteo;
if (finCuentaBarrido = '1') then
estadoJuego <= iniciando;
end if;
end case;
end if;
end process controladorFSMjuego;
generadorMooreJuego: process (estadoJuego)
begin
--memorias
senialWEA <= '0';
senialWEB <= '0';
DIB <= "1";
enableContBarrido <= '0';
--juego:generar
clContMod3 <= '1';
generarBases <= '0';
--juego:estado
moverNave <= '0';
st <= "000";
case estadoJuego is
when iniciando =>
-- escribo por puerto B
--memorias
senialWEA <= '0';
senialWEB <= '1';
DIB <= "1";
enableContBarrido <= '0';
--juego:generar
clContMod3 <= '0';
generarBases <= '1';
--juego:estado
moverNave <= '0';
st <= "000";
when jugando =>
-- leo por puerto A, escribo por puerto B
--memorias
senialWEA <= '0';
senialWEB <= '0';
DIB <= "0";
enableContBarrido <= '0';--resetea contBarrido
--juego:generar
clContMod3 <= '0';
generarBases <= '0';
--juego:estado
moverNave <= '1';
st <= "001";
when parado =>
--memorias
senialWEA <= '0';
senialWEB <= '0';
DIB <= "0";
enableContBarrido <= '0'; --resetea contBarrido
--juego:generar
clContMod3 <= '0'; --no se toca, se necesitan los reg para calcular colisiones
generarBases <= '0';
--juego:estado
moverNave <= '0';
st <= "010";
when reseteo =>
-- reseteo por puerto A
--memorias
senialWEA <= '1';
senialWEB <= '0';
DIB <= "0";
enableContBarrido <= '1';
--juego:generar
clContMod3 <= '1'; --para que en iniciando se vuelvan a generar las bases
generarBases <= '0';
--juego:estado
moverNave <= '0';
st <= "011";
when others => null;
end case;
end process generadorMooreJuego;
conversor7seg: process(st)
begin
case st is
--gfedcba
when "000" => segs <= "0111111";
when "001" => segs <= "0000110";
when "010" => segs <= "1011011";
when "011" => segs <= "1001111";
when OTHERS => segs <= "1111001"; -- error
end case;
end process;
--------------------------------------------------------------------------------
--contador para limpiar la ram
contBarrido: process(reset,clk,cuentaContBarrido,enableContBarrido) --contador mod 2^15=32768 (120 x 153 pixeles)
begin
if (cuentaContBarrido = "111111111111111") then --32768 "111111111111111") then --70000 10001000101110000
finCuentaBarrido <= '1';
else
finCuentaBarrido <= '0';
end if;
if(reset = '0')then
cuentaContBarrido <= (others => '0');
finCuentaBarrido <= '0';
elsif(clk'event and clk = '1') then
if(enableContBarrido = '1') then
if (cuentaContBarrido /= "111111111111111") then --32768 "111111111111111") then
cuentaContBarrido <= cuentaContBarrido + 1;
end if;
elsif (enableContBarrido = '0') then
cuentaContBarrido <= (others => '0');
end if;
end if;
end process contBarrido;
--------------------------------------------------------------------------------
-- lsfr para la generacion aleatoria
lsfr: process(reset,clk,D,Q)
begin
--conexiones entre biestables
D(14 downto 1) <= Q(13 downto 0); --D(X) es Q(X-1)
--entrada de D1
puertaAND <= (Q(14 downto 14) and Q(13 downto 13) and Q(12 downto 12) and
Q(11 downto 11) and Q(10 downto 10) and Q(9 downto 9) and
Q(8 downto 8) and Q(7 downto 7) and Q(6 downto 6) and
Q(5 downto 5) and Q(4 downto 4) and Q(3 downto 3) and
Q(2 downto 2) and Q(1 downto 1) and Q(0 downto 0));
D(0 downto 0) <= ( (not (Q(14 downto 14) xor Q(13 downto 13)))
xor (puertaAND or puertaAND) );
if(reset = '0')then
Q(14 downto 0) <= (others => '0');
elsif(clk'event and clk = '1' ) then
Q <= D;
end if;
end process lsfr;
end Behavioral;
| gpl-3.0 | f21e6b71b4a2f216cba72f83c94a743f | 0.626152 | 2.95724 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/clk5Hz.vhd | 2 | 1,262 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/05/21 19:24:22
-- Nombre del módulo: clk5Hz - Behavioral
-- Comentarios adicionales:
-- Implementación de forma exacta, a caso con escala par.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk5Hz is
Port (
clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz.
reset : in STD_LOGIC;
clk_out : out STD_LOGIC -- Reloj de salida de 5Hz.
);
end clk5Hz;
architecture Behavioral of clk5Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 4999999 := 0;
begin
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = 4999999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral; | lgpl-2.1 | fbc5103f3ee00d1b06043ccbd66a1a78 | 0.490851 | 4.17608 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/bin_cntr.vhd | 6 | 8,597 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624)
`protect data_block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`protect end_protected
| gpl-3.0 | fa8e1cf676788b56a76fa965e59bb6ed | 0.917064 | 1.921117 | false | false | false | false |
hgunicamp/Mips8B | src_test/tests/simulacoes/test_Mips_Processor-somavet.vhdl | 1 | 4,096 | -- Teste geral para a estrutura do Processador Mips8B
Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Ieee.Numeric_Std.all;
Entity test_processor is
End Entity test_processor;
Architecture test_general of test_processor is
Component Mips8B is
Port(Reset_n: In Std_Logic;
Clock: In Std_Logic;
MAddr: Out Std_Logic_Vector(7 downto 0);
MCmd: Out Std_Logic_Vector(1 downto 0);
MData: Out Std_Logic_Vector(7 downto 0);
SData: In Std_Logic_Vector(7 downto 0);
SCmdAccept: In Std_Logic);
End Component Mips8B;
Type Memory_Array is Array(Natural Range <>) of Std_Logic_Vector(7 downto 0);
Use Work.MIPS8B_Base.ocpIDLE_little;
Use Work.MIPS8B_Base.ocpWR_little;
Use Work.MIPS8B_Base.ocpRD_little;
Use Work.MIPS8B_Base.ocpNULL_little;
Use Work.MIPS8B_Base.ocpDVA_little;
Signal Reset_n: Std_Logic;
Signal Clock: Std_Logic := '0';
Signal Clock_Mem: Std_Logic := '0';
Signal MAddr: Std_Logic_Vector(7 downto 0);
Signal MCmd: Std_Logic_Vector(1 downto 0);
Signal MData: Std_Logic_Vector(7 downto 0);
Signal SData: Std_Logic_Vector(7 downto 0);
Signal SCmdAccept: Std_Logic;
Begin
Reset_n <= '1', '0' after 20 ns, '1' after 40 ns;
Clock <= not Clock after 10 ns;
Clock_Mem <= not Clock_Mem after 15 ns;
Memory: Process
Variable int_SCmdAccept: Std_Logic;
Variable address: Unsigned(7 downto 0);
Variable mem_int: Memory_Array(0 to 255) := (
"00100000", "00000001", "00000000", "11110100",
"00100000", "00000010", "00000000", "11111100",
"00100000", "00000011", "00000000", "11111100",
"10100000", "00100010", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00100000", "01000010", "00000000", "00000001",
"00010000", "01100001", "00000000", "00000010",
"00010000", "00000000", "00000000", "11111100",
"00100000", "00000001", "00000000", "11110100",
"00100000", "00000010", "00000000", "11111000",
"00100000", "00000011", "00000000", "11111100",
"00000000", "00000000", "00111000", "00100001",
"10000000", "00100100", "00000000", "00000000",
"10000000", "01000101", "00000000", "00000000",
"00000000", "10000101", "00110000", "00100000",
"10100000", "01100110", "00000000", "00000000",
"00100000", "00100001", "00000000", "00000001",
"00100000", "01000010", "00000000", "00000001",
"00100000", "01100011", "00000000", "00000001",
"00010000", "11100011", "00000000", "00000010",
"00010000", "00000000", "00000000", "11111000",
Others => "00000000");
Begin
Wait Until Clock_Mem'Event and Clock_Mem='1';
Case MCmd is
When ocpWR_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
mem_int(to_integer(address)) := MData;
Else
int_SCmdAccept := ocpNULL_little;
End If;
SData <= "ZZZZZZZZ";
When ocpRD_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
SData <= mem_int(to_integer(address));
Else
int_SCmdAccept := ocpNULL_little;
End If;
When Others =>
int_SCmdAccept := ocpNULL_little;
SData <= "ZZZZZZZZ";
End Case;
SCmdAccept <= int_SCmdAccept;
End Process Memory;
DUV: Mips8B
Port Map( Reset_n => Reset_n,
Clock => Clock,
MAddr => MAddr,
MCmd => MCmd,
MData => MData,
SData => SData,
SCmdAccept => SCmdAccept);
End Architecture test_general;
Configuration general_test of test_processor is
For test_general
For DUV: Mips8B Use Configuration Work.Mips8B_struct_conf;
End For;
End For;
End Configuration general_test;
| unlicense | 4659c9b41ae4439a56eb687b31d6f07b | 0.588135 | 3.615181 | false | true | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/builtin_extdepth.vhd | 6 | 131,409 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 95536)
`protect data_block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`protect end_protected
| gpl-3.0 | 6121026a3ddb35f4d032245003a2929b | 0.953557 | 1.808746 | false | false | false | false |
rflamino/StellaBlue | core/TIA/src/Common.vhd | 1 | 3,436 | -- TV Interface Adapter (TIA)
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package TIA_common is
subtype w_adr is std_logic_vector(5 downto 0);
constant A_VSYNC: w_adr := "000000";
constant A_VBLANK: w_adr := "000001";
constant A_WSYNC: w_adr := "000010";
constant A_RSYNC: w_adr := "000011";
constant A_NUSIZ0: w_adr := "000100";
constant A_NUSIZ1: w_adr := "000101";
constant A_COLUP0: w_adr := "000110";
constant A_COLUP1: w_adr := "000111";
constant A_COLUPF: w_adr := "001000";
constant A_COLUBK: w_adr := "001001";
constant A_CTRLPF: w_adr := "001010";
constant A_REFP0: w_adr := "001011";
constant A_REFP1: w_adr := "001100";
constant A_PF0: w_adr := "001101";
constant A_PF1: w_adr := "001110";
constant A_PF2: w_adr := "001111";
constant A_RESP0: w_adr := "010000";
constant A_RESP1: w_adr := "010001";
constant A_RESM0: w_adr := "010010";
constant A_RESM1: w_adr := "010011";
constant A_RESBL: w_adr := "010100";
constant A_AUDC0: w_adr := "010101";
constant A_AUDC1: w_adr := "010110";
constant A_AUDF0: w_adr := "010111";
constant A_AUDF1: w_adr := "011000";
constant A_AUDV0: w_adr := "011001";
constant A_AUDV1: w_adr := "011010";
constant A_GRP0: w_adr := "011011";
constant A_GRP1: w_adr := "011100";
constant A_ENAM0: w_adr := "011101";
constant A_ENAM1: w_adr := "011110";
constant A_ENABL: w_adr := "011111";
constant A_HMP0: w_adr := "100000";
constant A_HMP1: w_adr := "100001";
constant A_HMM0: w_adr := "100010";
constant A_HMM1: w_adr := "100011";
constant A_HMBL: w_adr := "100100";
constant A_VDELP0: w_adr := "100101";
constant A_VDELP1: w_adr := "100110";
constant A_VDELBL: w_adr := "100111";
constant A_RESMP0: w_adr := "101000";
constant A_RESMP1: w_adr := "101001";
constant A_HMOVE: w_adr := "101010";
constant A_HMCLR: w_adr := "101011";
constant A_CXCLR: w_adr := "101100";
subtype r_adr is std_logic_vector(3 downto 0);
constant A_CXM0P: r_adr := "0000";
constant A_CXM1P: r_adr := "0001";
constant A_CXP0FB: r_adr := "0010";
constant A_CXP1FB: r_adr := "0011";
constant A_CXM0FB: r_adr := "0100";
constant A_CXM1FB: r_adr := "0101";
constant A_CXBLPF: r_adr := "0110";
constant A_CXPPMM: r_adr := "0111";
constant A_INPT0: r_adr := "1000";
constant A_INPT1: r_adr := "1001";
constant A_INPT2: r_adr := "1010";
constant A_INPT3: r_adr := "1011";
constant A_INPT4: r_adr := "1100";
constant A_INPT5: r_adr := "1101";
end TIA_common;
package body TIA_common is
end TIA_common;
| mit | 47cd96300b31147ddecf1a7ac77339bf | 0.613213 | 2.902027 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/Cores/multiplier/signed/signed_multiplier.vhd | 1 | 4,248 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2017 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file signed_multiplier.vhd when simulating
-- the core, signed_multiplier. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY signed_multiplier IS
PORT (
clk : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
p : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END signed_multiplier;
ARCHITECTURE signed_multiplier_a OF signed_multiplier IS
-- synthesis translate_off
COMPONENT wrapped_signed_multiplier
PORT (
clk : IN STD_LOGIC;
a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
b : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
p : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_signed_multiplier USE ENTITY XilinxCoreLib.mult_gen_v11_2(behavioral)
GENERIC MAP (
c_a_type => 0,
c_a_width => 32,
c_b_type => 0,
c_b_value => "10000001",
c_b_width => 32,
c_ccm_imp => 0,
c_ce_overrides_sclr => 0,
c_has_ce => 0,
c_has_sclr => 0,
c_has_zero_detect => 0,
c_latency => 8,
c_model_type => 0,
c_mult_type => 1,
c_optimize_goal => 1,
c_out_high => 63,
c_out_low => 0,
c_round_output => 0,
c_round_pt => 0,
c_verbosity => 0,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_signed_multiplier
PORT MAP (
clk => clk,
a => a,
b => b,
p => p
);
-- synthesis translate_on
END signed_multiplier_a;
| gpl-3.0 | cc518b7e8d4c991008de8d8da6b695e6 | 0.543785 | 4.683572 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenMAC.vhd | 5 | 49,609 | ------------------------------------------------------------------------------------------------------------------------
-- OpenMAC
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: Used DPR is specific to Altera/Xilinx. Use one of the following files:
-- OpenMAC_DPR_Altera.vhd
-- OpenMAC_DPR_Xilinx.vhd
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- V0.00-0.30 First generation.
-- 2009-08-07 V0.31 Converted to official version.
-- 2010-04-12 V0.40 zelenkaj Added Auto-Response Delay functionality (TxDel)
-- 2010-06-28 V0.41 zelenkaj Bug Fix: exit sDel if Tx_Off, set Tx_Del_Run without Ipg consideration
-- 2010-08-02 V0.42 zelenkaj Added Timer triggered TX functionality (TxSyncOn)
-- 2011-01-25 V0.43 zelenkaj Changed IPG preload value from 900ns to 960ns
-- 2011-11-28 V0.44 zelenkaj Changed reset level to high-active
-- Clean up
-- Added Dma qualifiers (Rd/Wr done)
-- 2011-12-02 V0.45 zelenkaj Added Dma Request Overflow
-- 2011-12-05 V0.46 zelenkaj Minor change of constants (logic level)
-- 2011-12-23 V0.47 zelenkaj Improvement of Dma Request Overflow determination
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY OpenMAC IS
GENERIC( HighAdr : IN integer := 16;
Timer : IN boolean := false;
TxSyncOn : IN boolean := false;
TxDel : IN boolean := false;
Simulate : IN boolean := false
);
PORT ( Rst, Clk : IN std_logic;
-- Processor
s_nWr, Sel_Ram, Sel_Cont : IN std_logic := '0';
S_nBe : IN std_logic_vector( 1 DOWNTO 0);
S_Adr : IN std_logic_vector(10 DOWNTO 1);
S_Din : IN std_logic_vector(15 DOWNTO 0);
S_Dout : OUT std_logic_vector(15 DOWNTO 0);
nTx_Int, nRx_Int : OUT std_logic;
nTx_BegInt : OUT std_logic;
-- DMA
Dma_Rd_Done : OUT std_logic;
Dma_Wr_Done : OUT std_logic;
Dma_Req, Dma_Rw : OUT std_logic;
Dma_Ack : IN std_logic;
Dma_Req_Overflow : OUT std_logic;
Dma_Addr : OUT std_logic_vector(HighAdr DOWNTO 1);
Dma_Dout : OUT std_logic_vector(15 DOWNTO 0);
Dma_Din : IN std_logic_vector(15 DOWNTO 0);
-- RMII
rRx_Dat : IN std_logic_vector( 1 DOWNTO 0);
rCrs_Dv : IN std_logic;
rTx_Dat : OUT std_logic_vector( 1 DOWNTO 0);
rTx_En : OUT std_logic;
Hub_Rx : IN std_logic_vector( 1 DOWNTO 0) := "00";
Mac_Zeit : OUT std_logic_vector(31 DOWNTO 0)
);
END ENTITY OpenMAC;
ARCHITECTURE struct OF OpenMAC IS
CONSTANT cInactivated : std_logic := '0';
CONSTANT cActivated : std_logic := '1';
SIGNAL Rx_Dv : std_logic;
SIGNAL R_Req : std_logic;
SIGNAL Auto_Desc : std_logic_vector( 3 DOWNTO 0);
SIGNAL Zeit : std_logic_vector(31 DOWNTO 0);
SIGNAL Tx_Dma_Req, Rx_Dma_Req : std_logic;
SIGNAL Tx_Dma_Ack, Rx_Dma_Ack : std_logic;
SIGNAL Tx_Dma_Req_Overflow : std_logic;
SIGNAL Rx_Dma_Req_Overflow : std_logic;
SIGNAL Tx_Ram_Dat, Rx_Ram_Dat : std_logic_vector(15 DOWNTO 0);
SIGNAL Tx_Reg, Rx_Reg : std_logic_vector(15 DOWNTO 0);
SIGNAL Dma_Tx_Addr, Dma_Rx_Addr : std_logic_vector(Dma_Addr'RANGE);
SIGNAL Tx_Col : std_logic;
SIGNAL Sel_Tx_Ram, Sel_Tx_Reg : std_logic;
SIGNAL Tx_LatchH, Tx_LatchL : std_logic_vector( 7 DOWNTO 0);
BEGIN
S_Dout <= Tx_Ram_Dat WHEN Sel_Ram = '1' AND Sel_Tx_Ram = '1' ELSE
Rx_Ram_Dat WHEN Sel_Ram = '1' ELSE
Tx_Reg WHEN Sel_Cont = '1' AND Sel_Tx_Reg = '1' ELSE
Rx_Reg;
Mac_Zeit <= Zeit;
Dma_Req_Overflow <= Tx_Dma_Req_Overflow or Rx_Dma_Req_Overflow;
b_Dma: BLOCK
SIGNAL Rx_Dma, Tx_Dma : std_logic;
BEGIN
Dma_Req <= '1' WHEN (Tx_Dma_Req = '1' AND Tx_Dma_Ack = '0') OR Rx_Dma_Req = '1' ELSE '0';
Dma_Rw <= '1' WHEN (Rx_Dma = '0' AND Tx_Dma_Req = '1' AND Tx_Dma_Ack = '0') OR Tx_Dma = '1' ELSE '0';
Dma_Addr <= Dma_Tx_Addr WHEN (Rx_Dma = '0' AND Tx_Dma_Req = '1' AND Tx_Dma_Ack = '0') OR Tx_Dma = '1' ELSE Dma_Rx_Addr;
Rx_Dma_Ack <= '1' WHEN Rx_Dma = '1' AND Dma_Ack = '1' ELSE '0';
pDmaArb: PROCESS( Clk, Rst ) IS
BEGIN
IF Rst = '1' THEN
Rx_Dma <= '0'; Tx_Dma <= '0'; Tx_Dma_Ack <= '0';
Tx_LatchH <= (OTHERS => '0'); Tx_LatchL <= (OTHERS => '0');
Zeit <= (OTHERS => '0');
ELSIF rising_edge( Clk ) THEN
IF Timer THEN
Zeit <= Zeit + 1;
END IF;
Sel_Tx_Ram <= s_Adr(8);
Sel_Tx_Reg <= NOT s_Adr(3);
IF Dma_Ack = '0' THEN
IF Rx_Dma = '0' AND Tx_Dma_Req = '1' AND Tx_Dma_Ack = '0' THEN Tx_Dma <= '1';
ELSIF Tx_Dma = '0' AND Rx_Dma_Req = '1' THEN Rx_Dma <= '1';
END IF;
ELSE
IF Rx_Dma = '1' AND Tx_Dma_Req = '1' AND Tx_Dma_Ack = '0' THEN Tx_Dma <= '1'; Rx_Dma <= '0';
ELSIF Tx_Dma = '1' AND Rx_Dma_Req = '1' THEN Tx_Dma <= '0'; Rx_Dma <= '1';
ELSE Tx_Dma <= '0'; Rx_Dma <= '0';
END IF;
END IF;
IF Tx_Dma = '1' AND Dma_Ack = '1' THEN Tx_Dma_Ack <= '1';
ELSE Tx_Dma_Ack <= '0';
END IF;
IF Tx_Dma_Ack = '1' THEN Tx_LatchH <= Dma_Din(15 DOWNTO 8);
Tx_LatchL <= Dma_Din( 7 DOWNTO 0);
END IF;
END IF;
END PROCESS pDmaArb;
END BLOCK b_Dma;
b_Full_Tx: BLOCK
TYPE MACTX_TYPE IS ( R_Idl, R_Bop, R_Pre, R_Txd, R_Crc, R_Col, R_Jam );
SIGNAL Sm_Tx : MACTX_TYPE;
SIGNAL Start_Tx, ClrCol, Tx_On : std_logic;
SIGNAL Dibl_Cnt : std_logic_vector( 1 DOWNTO 0);
SIGNAL F_End, Was_Col, Block_Col : std_logic;
SIGNAL Ipg_Cnt, Tx_Timer : std_logic_vector( 7 DOWNTO 0);
ALIAS Ipg : std_logic IS Ipg_Cnt(7);
ALIAS Tx_Time : std_logic IS Tx_Timer(7);
SIGNAL Tx_Ipg : std_logic_vector( 5 DOWNTO 0);
SIGNAL Tx_Count : std_logic_vector(11 DOWNTO 0);
SIGNAL Tx_En, F_Val, Tx_Half : std_logic;
SIGNAL Tx_Sr, F_TxB : std_logic_vector( 7 DOWNTO 0);
SIGNAL Crc : std_logic_vector(31 DOWNTO 0);
SIGNAL CrcDin, Tx_Dat : std_logic_vector( 1 DOWNTO 0);
SIGNAL Col_Cnt : std_logic_vector( 3 DOWNTO 0);
SIGNAL Auto_Coll : std_logic;
SIGNAL Rnd_Num : std_logic_vector( 9 DOWNTO 0);
SIGNAL Retry_Cnt : std_logic_vector( 9 DOWNTO 0);
SIGNAL Max_Retry : std_logic_vector( 3 DOWNTO 0);
BEGIN
rTx_En <= Tx_En;
rTx_Dat <= Tx_Dat;
pTxSm: PROCESS ( Clk, Rst ) IS
BEGIN
IF Rst = '1' THEN
Sm_Tx <= R_Idl;
ELSIF rising_edge( Clk ) THEN
IF Sm_Tx = R_Idl OR Sm_Tx = R_Bop OR Dibl_Cnt = "11" THEN
CASE Sm_Tx IS
WHEN R_Idl => IF Start_Tx = '1'
AND (Tx_Half = '0' OR Rx_Dv = '0')
AND Ipg = '0' THEN Sm_Tx <= R_Bop; END IF;
WHEN R_Bop => Sm_Tx <= R_Pre;
WHEN R_Pre => IF Tx_Time = '1' THEN Sm_Tx <= R_Txd; END IF;
WHEN R_Txd => IF Was_Col = '1' THEN Sm_Tx <= R_Col;
ELSIF Tx_Count = 0 THEN Sm_Tx <= R_Crc; END IF;
WHEN R_Col => Sm_Tx <= R_Jam;
WHEN R_Jam => IF Tx_Time = '1' THEN Sm_Tx <= R_Idl;
END IF;
WHEN R_Crc => IF Was_Col = '1' THEN Sm_Tx <= R_Col;
ELSIF Tx_Time = '1' THEN Sm_Tx <= R_Idl; END IF;
WHEN OTHERS => NULL;
END CASE;
END IF;
END IF;
END PROCESS pTxSm;
pTxCtl: PROCESS ( Clk, Rst ) IS
VARIABLE Preload : std_logic_vector(Tx_Timer'RANGE);
VARIABLE Load : std_logic;
BEGIN
IF Rst = '1' THEN
Tx_Dat <= "00"; Tx_En <= '0'; Dibl_Cnt <= "00"; F_End <= '0'; F_Val <= '0'; Tx_Col <= '0'; Was_Col <= '0'; Block_Col <= '0';
Ipg_Cnt <= (OTHERS => '0'); Tx_Timer <= (OTHERS => '0'); Tx_Sr <= (OTHERS => '0');
ELSIF rising_edge( Clk ) THEN
IF Sm_Tx = R_Bop THEN Dibl_Cnt <= "00";
ELSE Dibl_Cnt <= Dibl_Cnt + 1;
END IF;
IF Tx_En = '1' THEN Ipg_Cnt <= "1" & conv_std_logic_vector( 44, 7);
ELSIF Rx_Dv = '1' AND Tx_Half = '1' THEN Ipg_Cnt <= "10" & Tx_Ipg;
ELSIF Ipg = '1' THEN Ipg_Cnt <= Ipg_Cnt - 1;
END IF;
IF Dibl_Cnt = "11" AND Sm_Tx = R_Crc AND Tx_Time = '1' THEN F_End <= '1';
ELSIF Dibl_Cnt = "11" AND Sm_Tx = R_Col THEN
IF Col_Cnt = (Max_Retry - 1) THEN F_End <= '1';
ELSIF Col_Cnt < x"E" THEN Tx_Col <= '1';
ELSE F_End <= '1';
END IF;
ELSE F_End <= '0';
Tx_Col <= '0';
END IF;
IF Tx_Half = '1' AND Rx_Dv = '1'
AND (Sm_Tx = R_Pre OR Sm_Tx = R_Txd) THEN Was_Col <= '1';
ELSIF Sm_Tx = R_Col THEN Was_Col <= '0';
END IF;
IF Sm_Tx = R_Col THEN Block_Col <= '1';
ELSIF Auto_Coll = '1' THEN Block_Col <= '0';
ELSIF Retry_Cnt = 0 THEN Block_Col <= '0';
END IF;
IF Dibl_Cnt = "10" AND Sm_Tx = R_Pre AND Tx_Time = '1' THEN F_Val <= '1';
ELSIF Dibl_Cnt = "10" AND Sm_Tx = R_Txd THEN F_Val <= '1';
ELSE F_Val <= '0';
END IF;
Load := '0';
IF Sm_Tx = R_Bop THEN Preload := x"06"; Load := '1';
ELSIF Sm_Tx = R_Txd THEN Preload := x"02"; Load := '1';
ELSIF Sm_Tx = R_Col THEN Preload := x"01"; Load := '1';
ELSIF Tx_Time = '1' THEN Preload := x"3e"; Load := '1';
END IF;
IF Dibl_Cnt = "11" OR Sm_Tx = R_Bop THEN
IF Load = '1' THEN Tx_Timer <= Preload;
ELSE Tx_Timer <= Tx_Timer - 1;
END IF;
END IF;
IF F_Val = '1' THEN Tx_Sr <= F_TxB;
ELSE Tx_Sr <= "00" & Tx_Sr(7 DOWNTO 2);
END IF;
IF Sm_Tx = R_Pre THEN Tx_En <= '1';
ELSIF Sm_Tx = R_Idl OR (Sm_Tx = R_Jam AND Tx_Time = '1') THEN Tx_En <= '0';
END IF;
IF Sm_Tx = R_Pre AND Tx_Time = '1' AND Dibl_Cnt = "11" THEN Tx_Dat <= "11";
ELSIF Sm_Tx = R_Pre THEN Tx_Dat <= "01";
ELSIF Sm_Tx = R_Txd THEN Tx_Dat <= CrcDin;
ELSIF Sm_Tx = R_Crc THEN Tx_Dat <= NOT Crc(30) & NOT Crc(31);
ELSIF Sm_Tx = R_Col OR Sm_Tx = R_Jam THEN Tx_Dat <= "11";
ELSE Tx_Dat <= "00";
END IF;
END IF;
END PROCESS pTxCtl;
pBackDel: PROCESS ( Clk, Rst ) IS
BEGIN
IF Rst = '1' THEN
Rnd_Num <= (OTHERS => '0');
Col_Cnt <= (OTHERS => '0');
Retry_Cnt <= (OTHERS => '0');
ELSIF rising_edge( Clk ) THEN
Rnd_Num <= Rnd_Num(8 DOWNTO 0) & (Rnd_Num(9) XOR NOT Rnd_Num(2));
IF ClrCol = '1' THEN Col_Cnt <= x"0";
ELSIF Dibl_Cnt = "11" AND Sm_Tx = R_Col THEN Col_Cnt <= Col_Cnt + 1;
END IF;
IF Dibl_Cnt = "11" THEN
IF Tx_On = '0' OR Auto_Coll = '1' THEN Retry_Cnt <= (OTHERS => '0');
ELSIF Sm_Tx = R_Col THEN
FOR i IN 0 TO 9 LOOP
IF Col_Cnt >= i THEN Retry_Cnt(i) <= Rnd_Num(i);
ELSE Retry_Cnt(i) <= '0';
END IF;
END LOOP;
ELSIF Sm_Tx /= R_Jam AND Tx_Time = '1' AND Retry_Cnt /= 0 THEN Retry_Cnt <= Retry_Cnt - 1;
END IF;
END IF;
END IF;
END PROCESS pBackDel;
CrcDin <= Tx_Sr(1 DOWNTO 0);
Calc: PROCESS ( Clk, Crc, CrcDin ) IS
VARIABLE H : std_logic_vector(1 DOWNTO 0);
BEGIN
H(0) := Crc(31) XOR CrcDin(0);
H(1) := Crc(30) XOR CrcDin(1);
IF rising_edge( Clk ) THEN
IF Sm_Tx = R_Pre THEN Crc <= x"FFFFFFFF";
ELSIF Sm_Tx = R_Crc THEN Crc <= Crc(29 DOWNTO 0) & "00";
ELSE
Crc( 0) <= H(1);
Crc( 1) <= H(0) XOR H(1);
Crc( 2) <= Crc( 0) XOR H(0) XOR H(1);
Crc( 3) <= Crc( 1) XOR H(0) ;
Crc( 4) <= Crc( 2) XOR H(1);
Crc( 5) <= Crc( 3) XOR H(0) XOR H(1);
Crc( 6) <= Crc( 4) XOR H(0) ;
Crc( 7) <= Crc( 5) XOR H(1);
Crc( 8) <= Crc( 6) XOR H(0) XOR H(1);
Crc( 9) <= Crc( 7) XOR H(0) ;
Crc(10) <= Crc( 8) XOR H(1);
Crc(11) <= Crc( 9) XOR H(0) XOR H(1);
Crc(12) <= Crc(10) XOR H(0) XOR H(1);
Crc(13) <= Crc(11) XOR H(0) ;
Crc(14) <= Crc(12) ;
Crc(15) <= Crc(13) ;
Crc(16) <= Crc(14) XOR H(1);
Crc(17) <= Crc(15) XOR H(0) ;
Crc(18) <= Crc(16) ;
Crc(19) <= Crc(17) ;
Crc(20) <= Crc(18) ;
Crc(21) <= Crc(19) ;
Crc(22) <= Crc(20) XOR H(1);
Crc(23) <= Crc(21) XOR H(0) XOR H(1);
Crc(24) <= Crc(22) XOR H(0) ;
Crc(25) <= Crc(23) ;
Crc(26) <= Crc(24) XOR H(1);
Crc(27) <= Crc(25) XOR H(0) ;
Crc(28) <= Crc(26) ;
Crc(29) <= Crc(27) ;
Crc(30) <= Crc(28) ;
Crc(31) <= Crc(29) ;
END IF;
END IF;
END PROCESS Calc;
bTxDesc: BLOCK
TYPE sDESC IS (sIdle, sLen, sTimL, sTimH, sAdrH, sAdrL, sBegL, sBegH, sDel, sData, sStat, sColl );
SIGNAL Dsm, Tx_Dsm_Next : sDESC;
SIGNAL DescRam_Out, DescRam_In : std_logic_vector(15 DOWNTO 0);
ALIAS TX_LEN : std_logic_vector(11 DOWNTO 0) IS DescRam_Out(11 DOWNTO 0);
ALIAS TX_OWN : std_logic IS DescRam_Out( 8);
ALIAS TX_LAST : std_logic IS DescRam_Out( 9);
ALIAS TX_READY : std_logic IS DescRam_Out(10);
ALIAS TX_BEGDEL : std_logic IS DescRam_Out(12);
ALIAS TX_BEGON : std_logic IS DescRam_Out(13);
ALIAS TX_TIME : std_logic IS DescRam_Out(14);
ALIAS TX_RETRY : std_logic_vector( 3 DOWNTO 0) IS DescRam_Out(3 DOWNTO 0);
SIGNAL Ram_Be : std_logic_vector( 1 DOWNTO 0);
SIGNAL Ram_Wr, Desc_We : std_logic;
SIGNAL Desc_Addr : std_logic_vector( 7 DOWNTO 0);
SIGNAL DescIdx : std_logic_vector( 2 DOWNTO 0);
SIGNAL Last_Desc : std_logic;
SIGNAL ZeitL : std_logic_vector(15 DOWNTO 0);
SIGNAL Tx_Ie, Tx_Wait : std_logic;
SIGNAL Tx_BegInt, Tx_BegSet, Tx_Early : std_logic;
SIGNAL Tx_Del : std_logic;
SIGNAL Ext_Tx, Ext_Ack : std_logic;
SIGNAL Tx_Desc, Tx_Desc_One, Ext_Desc : std_logic_vector( 3 DOWNTO 0);
SIGNAL Tx_Icnt : std_logic_vector( 4 DOWNTO 0);
SIGNAL Tx_SoftInt : std_logic;
SIGNAL Sel_TxH, Sel_TxL, H_Byte : std_logic;
SIGNAL Tx_Buf : std_logic_vector( 7 DOWNTO 0);
SIGNAL Tx_Idle, TxInt, Tx_Beg, Tx_Sync : std_logic;
SIGNAL Tx_Ident : std_logic_vector( 1 DOWNTO 0);
SIGNAL Tx_Cmp_High : std_logic_vector(15 downto 0);
SIGNAL Start_TxS : std_logic;
SIGNAL Tx_Dma_Out : std_logic;
SIGNAL Tx_Del_Cnt : std_logic_vector(32 downto 0);
ALIAS Tx_Del_End : std_logic is Tx_Del_Cnt(Tx_Del_Cnt'high);
SIGNAL Tx_Del_Run : std_logic;
signal Tx_Done : std_logic;
BEGIN
Dma_Rd_Done <= Tx_Done;
Tx_Done <= '1' when Dsm = sStat or Dsm = sColl else '0';
--Read request overflows...
-- * before preamble ends
-- * during transfer before every 8th cycle (halfx) or 4th cycle (fullx)
-- * after exiting crc state (data feteched by dma is not used since crc is calc in hw)
Tx_Dma_Req_Overflow <= '1' when Dibl_Cnt = "01" and Sm_Tx = R_Pre and Tx_Timer(7) = '1' else
'1' when Dibl_Cnt = "10" and Sm_Tx = R_Txd and H_Byte = '0' else
'1' when Dibl_Cnt = "10" and Sm_Tx = R_Crc and Tx_Timer(7) = '1' else
'0';
Ram_Wr <= '1' WHEN s_nWr = '0' AND Sel_Ram = '1' AND s_Adr(10) = '1' ELSE '0';
Ram_Be(1) <= '1' WHEN s_nWr = '1' OR s_nBE(1) = '0' ELSE '0';
Ram_Be(0) <= '1' WHEN s_nWr = '1' OR s_nBE(0) = '0' ELSE '0';
DescIdx <= "000" WHEN Desc_We = '0' AND Tx_Dsm_Next = sIdle ELSE
"000" WHEN Desc_We = '1' AND Dsm = sIdle ELSE
"001" WHEN Desc_We = '0' AND Tx_Dsm_Next = sLen ELSE
"001" WHEN Desc_We = '1' AND Dsm = sLen ELSE
"010" WHEN Desc_We = '0' AND Tx_Dsm_Next = sAdrH ELSE
"010" WHEN Desc_We = '1' AND Dsm = sAdrH ELSE
"011" WHEN Desc_We = '0' AND Tx_Dsm_Next = sAdrL ELSE
"011" WHEN Desc_We = '1' AND Dsm = sAdrL ELSE
"100" WHEN Desc_We = '0' AND Tx_Dsm_Next = sBegH ELSE
"100" WHEN Desc_We = '1' AND Dsm = sBegH ELSE
"101" WHEN Desc_We = '0' AND Tx_Dsm_Next = sBegL ELSE
"101" WHEN Desc_We = '1' AND Dsm = sBegL ELSE
"110" WHEN Desc_We = '0' AND Tx_Dsm_Next = sTimH ELSE
"110" WHEN Desc_We = '1' AND Dsm = sTimH ELSE
"111" WHEN Desc_We = '0' AND Tx_Dsm_Next = sTimL ELSE
"111" WHEN Desc_We = '1' AND Dsm = sTimL ELSE
"111" WHEN Desc_We = '0' AND Tx_Dsm_Next = sData ELSE
"111" WHEN Desc_We = '1' AND Dsm = sData ELSE
"000";
Desc_We <= '1' WHEN Dsm = sTimL OR Dsm = sTimH OR Dsm = sStat ELSE '0';
Desc_Addr <= '1' & Tx_Desc & DescIdx WHEN Ext_Tx = '0' ELSE
'1' & Ext_Desc & DescIdx;
gTxTime: IF Timer GENERATE
DescRam_In <= Zeit(31 DOWNTO 16) WHEN Dsm = sTimH ELSE
ZeitL WHEN Dsm = sTimL ELSE
x"000" & "01" & Tx_Ident WHEN Dsm = sBegL ELSE
Tx_Dma_Out & Tx_Sync & "00" & "0100" & "00" & "0" & "0" & Col_Cnt;
END GENERATE;
gnTxTime: IF NOT Timer GENERATE
DescRam_In <= x"000" & "01" & Tx_Ident WHEN Dsm = sBegL ELSE
Tx_Dma_Out & Tx_Sync & "00" & "0100" & "00" & "0" & "0" & Col_Cnt;
END GENERATE;
RamH: ENTITY work.Dpr_16_16
GENERIC MAP(Simulate => Simulate)
PORT MAP ( CLKA => Clk, CLKB => Clk,
EnA => cActivated, Enb => cActivated,
BEA => Ram_Be,
WEA => Ram_Wr, WEB => Desc_We,
ADDRA => s_Adr(8 DOWNTO 1), ADDRB => Desc_Addr,
DIA => s_Din, DIB => DescRam_In,
DOA => Tx_Ram_Dat, DOB => DescRam_Out
);
ASSERT NOT( TxSyncOn AND NOT Timer )
REPORT "TxSyncOn needs Timer!"
severity failure;
pTxSm: PROCESS( Rst, Clk, Dsm,
Tx_On, TX_OWN, Retry_Cnt, Ext_Tx, Tx_Wait,
Tx_Sync, Sm_Tx, F_End, Tx_Col, Ext_Ack, Tx_Del, Tx_Beg )
BEGIN
Tx_Dsm_Next <= Dsm;
CASE Dsm IS
WHEN sIdle => IF Tx_On = '1' AND TX_OWN = '1' AND Retry_Cnt = 0 THEN
IF (Ext_Tx = '1' AND Ext_Ack = '0') OR Tx_Wait = '0' THEN
Tx_Dsm_Next <= sLen;
END IF;
END IF;
WHEN sLen => IF Tx_Sync = '0' THEN Tx_Dsm_Next <= sAdrH;
ELSE Tx_Dsm_Next <= sBegH;
END IF;
WHEN sBegH => Tx_Dsm_Next <= sBegL;
WHEN sBegL => IF Tx_On = '0' THEN Tx_Dsm_Next <= sIdle;
ELSIF Tx_Sync = '0' THEN
if Tx_Del = '1' then Tx_Dsm_Next <= sDel;
elsIF Sm_Tx = R_Pre THEN
Tx_Dsm_Next <= sTimH;
END IF;
ELSIF Tx_Beg = '1' THEN Tx_Dsm_Next <= sAdrH;
END IF;
WHEN sDel => IF Tx_On = '0' THEN Tx_Dsm_Next <= sIdle; --avoid FSM hang
ELSIF Tx_Del_End = '1' THEN Tx_Dsm_Next <= sTimH;
END IF;
WHEN sAdrH => Tx_Dsm_Next <= sAdrL;
WHEN sAdrL => IF Tx_On = '0' THEN Tx_Dsm_Next <= sIdle;
elsif Tx_Del = '1' then Tx_Dsm_Next <= sBegH;
ELSIF Tx_Sync = '0' THEN Tx_Dsm_Next <= sBegL;
ELSIF Sm_Tx = R_Bop THEN Tx_Dsm_Next <= sTimH;
END IF;
WHEN sTimH => Tx_Dsm_Next <= sTimL;
WHEN sTimL => Tx_Dsm_Next <= sData;
WHEN sData => IF F_End = '1' THEN Tx_Dsm_Next <= sStat;
ELSIF Tx_Col = '1' THEN Tx_Dsm_Next <= sColl;
END IF;
WHEN sStat => Tx_Dsm_Next <= sIdle;
WHEN sColl => if sm_tx = r_idl then
if Tx_Sync = '1' then Tx_Dsm_Next <= sStat;
else Tx_Dsm_Next <= sIdle;
end if;
end if;
WHEN OTHERS =>
END CASE;
IF Rst = '1' THEN Dsm <= sIdle;
ELSIF rising_edge( Clk ) THEN Dsm <= Tx_Dsm_Next;
END IF;
END PROCESS pTxSm;
pTxControl: PROCESS( Rst, Clk )
BEGIN
IF Rst = '1' THEN
Last_Desc <= '0'; Start_TxS <= '0'; Tx_Dma_Req <= '0'; H_Byte <= '0';
Tx_Beg <= '0'; Tx_BegSet <= '0'; Tx_Early <= '0'; Auto_Coll <= '0'; Tx_Dma_Out <= '0';
Ext_Tx <= '0'; Ext_Ack <= '0'; ClrCol <= '0'; Ext_Desc <= (OTHERS => '0'); Tx_Sync <= '0'; Max_Retry <= (others => '0');
ZeitL <= (OTHERS => '0'); Tx_Count <= (OTHERS => '0'); Tx_Ident <= "00";
Dma_Tx_Addr <= (OTHERS => '0'); Tx_Cmp_High <= (others => '0');
Tx_Del_Run <= '0';
Tx_Del <= '0'; Tx_Del_Cnt <= (others => '0');
ELSIF rising_edge( Clk ) THEN
IF TxSyncOn = true THEN
IF Tx_Sync = '1' AND Dsm = sBegL AND (Tx_Cmp_High & DescRam_Out) = Zeit THEN Tx_Beg <= '1';
ELSE Tx_Beg <= '0';
END IF;
END IF;
IF Dsm = sStat AND Desc_We = '1' THEN ClrCol <= '1';
ELSE ClrCol <= '0';
END IF;
IF Timer THEN
IF Dsm = sTimH THEN ZeitL <= Zeit(15 DOWNTO 0);
END IF;
END IF;
IF Ext_Ack = '0' AND R_Req = '1' THEN Ext_Desc <= Auto_Desc;
Ext_Ack <= '1';
ELSIF Ext_Tx = '1' OR Tx_On = '0' THEN Ext_Ack <= '0';
END IF;
IF Dsm = sIdle AND Ext_Ack = '1' THEN Ext_Tx <= '1';
ELSIF Dsm = sStat OR Tx_Col = '1' OR Tx_On = '0' THEN Ext_Tx <= '0';
END IF;
IF (F_End = '1' OR Tx_On = '0'
OR (Tx_Col = '1' AND Ext_Tx = '1' )
OR dsm = sColl ) THEN Start_TxS <= '0';
Auto_Coll <= Auto_Coll OR (Tx_Col AND Ext_Tx);
ELSIF Dsm = sAdrH and Tx_Del = '0' THEN Start_TxS <= '1';
ELSIF Dsm = sDel and Tx_Del_End = '1' THEN Start_TxS <= '1';
ELSIF Sm_Tx = R_Idl THEN Auto_Coll <= '0';
END IF;
IF Dsm = sIdle THEN Last_Desc <= TX_LAST;
END IF;
IF Dsm = sLen THEN Tx_Count <= TX_LEN;
ELSIF F_Val = '1' THEN Tx_Count <= Tx_Count - 1;
END IF;
IF Dsm = sBegH THEN Tx_Cmp_High <= DescRam_Out;
END IF;
IF Dsm = sIdle AND Tx_On = '1' AND TX_OWN = '1' AND Retry_Cnt = 0 THEN
IF Ext_Tx = '1' OR Tx_Wait = '0' THEN
IF TxSyncOn THEN Tx_Sync <= TX_TIME;
ELSE Tx_Sync <= '0';
END IF;
Max_Retry <= TX_RETRY;
Tx_Early <= TX_BEGON;
IF TxDel = true THEN Tx_Del <= TX_BEGDEL;
END IF;
END IF;
ELSIF Dsm = sTimH THEN Tx_BegSet <= Tx_Early;
ELSIF Dsm = sTimL THEN Tx_BegSet <= '0';
ELSIF Dsm = sIdle THEN Tx_Del <= '0';
END IF;
if TxDel = true and Tx_Del = '1' then
if Dsm = sBegH then Tx_Del_Cnt(Tx_Del_Cnt'high) <= '0';
Tx_Del_Cnt(31 downto 16) <= DescRam_Out;
elsif Dsm = sBegL then Tx_Del_Cnt(15 downto 0) <= DescRam_Out;
elsif Dsm = sDel and Tx_Del_Run = '1' then Tx_Del_Cnt <= Tx_Del_Cnt - 1;
end if;
if Tx_Del_Run = '0' and Dsm = sDel then Tx_Del_Run <= '1'; --don't consider Ipg
elsif Tx_Del_End = '1' then Tx_Del_Run <= '0';
end if;
end if;
IF Dsm = sAdrL THEN Dma_Tx_Addr(15 DOWNTO 1) <= DescRam_Out(15 DOWNTO 1);
ELSIF Tx_Dma_Ack = '1' THEN Dma_Tx_Addr(15 DOWNTO 1) <= Dma_Tx_Addr(15 DOWNTO 1) + 1;
END IF;
IF Dsm = sAdrH THEN
Dma_Tx_Addr(Dma_Addr'high DOWNTO 16) <= DescRam_Out(Dma_Addr'high-16 DOWNTO 0);
Tx_Ident <= DescRam_Out(15 DOWNTO 14);
ELSIF Tx_Dma_Ack = '1' AND Dma_Tx_Addr(15 DOWNTO 1) = x"FFF" & "111" THEN
Dma_Tx_Addr(Dma_Addr'high DOWNTO 16) <= Dma_Tx_Addr(Dma_Addr'high DOWNTO 16) + 1;
END IF;
IF DSM = sAdrL
OR (F_Val = '1' AND H_Byte = '0') THEN Tx_Dma_Req <= '1' AFTER 0 nS;
ELSIF Tx_Dma_Ack = '1' THEN Tx_Dma_Req <= '0';
END IF;
IF Sm_Tx = R_Bop THEN H_Byte <= '0';
ELSIF F_Val = '1' THEN H_Byte <= NOT H_Byte;
END IF;
IF F_Val = '1' THEN Tx_Buf <= Tx_LatchL;
END IF;
if H_Byte = '0' and F_Val = '1' and Tx_Dma_Req = '1' then Tx_Dma_Out <= '1';
elsif Sm_Tx = R_Bop then Tx_Dma_Out <= '0';
end if;
END IF;
END PROCESS pTxControl;
Start_Tx <= '1' WHEN Start_TxS = '1' AND Block_Col = '0' ELSE
'1' WHEN not TxDel and not TxSyncOn and R_Req = '1' ELSE
'0';
F_TxB <= Tx_LatchH WHEN H_Byte = '0' ELSE
Tx_Buf;
nTx_Int <= '1' WHEN (Tx_Icnt = 0 AND Tx_SoftInt = '0') OR Tx_Ie = '0' ELSE '0';
Tx_Idle <= '1' WHEN Sm_Tx = R_Idl AND Dsm = sIdle ELSE '0';
Tx_Reg(15 DOWNTO 4) <= Tx_Ie & Tx_SoftInt & Tx_Half & Tx_Wait & (Tx_Icnt(4) OR Tx_Icnt(3)) & Tx_Icnt(2 DOWNTO 0)
& Tx_On & Tx_BegInt & Tx_Idle & "0" ;
Tx_Reg( 3 DOWNTO 0) <= Tx_Desc;
Sel_TxH <= '1' WHEN s_nWr = '0' AND Sel_Cont = '1' AND s_Adr(3) = '0' AND Ram_Be(1) = '1' ELSE '0';
Sel_TxL <= '1' WHEN s_nWr = '0' AND Sel_Cont = '1' AND s_Adr(3) = '0' AND Ram_Be(0) = '1' ELSE '0';
Tx_Desc <= Tx_Desc_One;
Tx_SoftInt <= '0';
pTxRegs: PROCESS( Rst, Clk )
BEGIN
IF Rst = '1' THEN
Tx_On <= '0'; Tx_Ie <= '0'; Tx_Half <= '0'; Tx_Wait <= '0'; nTx_BegInt <= '0';
Tx_Desc_One <= (OTHERS => '0');
Tx_Icnt <= (OTHERS => '0'); TxInt <= '0'; Tx_BegInt <= '0';
Tx_Ipg <= conv_std_logic_vector( 42, 6);
ELSIF rising_edge( Clk ) THEN
IF Sel_TxL = '1' THEN
IF s_Adr(2 DOWNTO 1) = "00" THEN Tx_On <= S_Din( 7);
ELSIF s_Adr(2 DOWNTO 1) = "01" AND S_Din( 7) = '1' THEN Tx_On <= '1';
ELSIF s_Adr(2 DOWNTO 1) = "10" AND S_Din( 7) = '1' THEN Tx_On <= '0';
END IF;
END IF;
IF Tx_BegSet = '1' AND Tx_Ie = '1' THEN Tx_BegInt <= '1';
ELSIF Sel_TxL = '1' AND s_Adr(2 DOWNTO 1) = "01" AND S_Din( 6) = '1' THEN Tx_BegInt <= '1';
ELSIF Sel_TxL = '1' AND s_Adr(2 DOWNTO 1) = "10" AND S_Din( 6) = '1' THEN Tx_BegInt <= '0';
END IF;
nTx_BegInt <= NOT Tx_BegInt;
IF Sel_TxL = '1' AND s_Adr(2 DOWNTO 1) = "11" THEN Tx_Desc_One <= S_Din( 3 DOWNTO 0);
ELSIF Dsm = sStat AND Ext_Tx = '0' THEN
IF Last_Desc = '1' THEN Tx_Desc_One <= x"0";
ELSE Tx_Desc_One <= Tx_Desc + 1;
END IF;
END IF;
IF Sel_TxH = '1' THEN
IF s_Adr(2 DOWNTO 1) = "00" THEN Tx_Ie <= S_Din(15);
ELSIF s_Adr(2 DOWNTO 1) = "01" AND S_Din(15) = '1' THEN Tx_Ie <= '1';
ELSIF s_Adr(2 DOWNTO 1) = "10" AND S_Din(15) = '1' THEN Tx_Ie <= '0';
END IF;
END IF;
IF Sel_TxH = '1' THEN
IF s_Adr(2 DOWNTO 1) = "00" THEN Tx_Half <= S_Din(13);
ELSIF s_Adr(2 DOWNTO 1) = "01" AND S_Din(13) = '1' THEN Tx_Half <= '1';
ELSIF s_Adr(2 DOWNTO 1) = "10" AND S_Din(13) = '1' THEN Tx_Half <= '0';
END IF;
END IF;
IF Sel_TxH = '1' THEN
IF s_Adr(2 DOWNTO 1) = "00" THEN Tx_Wait <= S_Din(12);
ELSIF s_Adr(2 DOWNTO 1) = "01" AND S_Din(12) = '1' THEN Tx_Wait <= '1';
ELSIF s_Adr(2 DOWNTO 1) = "10" AND S_Din(12) = '1' THEN Tx_Wait <= '0';
END IF;
END IF;
IF Sel_TxH = '1' THEN
IF s_Adr(2 DOWNTO 1) = "11" AND S_Din(14) = '1' THEN Tx_Ipg <= S_Din(13 DOWNTO 8);
END IF;
END IF;
IF Tx_Ie = '1' AND Dsm = sStat AND Desc_We = '1' THEN TxInt <= '1';
ELSE TxInt <= '0';
END IF;
IF Sel_TxH = '1' AND s_Adr(2 DOWNTO 1) = "10" AND S_Din(8) = '1'
AND Tx_Icnt /= 0 THEN Tx_Icnt <= Tx_Icnt - NOT TxInt;
ELSIF TxInt = '1' AND Tx_Icnt /= "11111" THEN Tx_Icnt <= Tx_Icnt + 1;
END IF;
END IF;
END PROCESS pTxRegs;
END BLOCK bTxDesc;
END BLOCK b_Full_Tx;
b_Full_Rx: BLOCK
TYPE MACRX_TYPE IS ( R_Idl, R_Sof, R_Rxd );
SIGNAL Sm_Rx : MACRX_TYPE;
SIGNAL Rx_Dat, Rx_DatL : std_logic_vector( 1 DOWNTO 0);
SIGNAL Tx_Timer : std_logic_vector( 7 DOWNTO 0);
SIGNAL Dibl_Cnt : std_logic_vector( 1 DOWNTO 0);
SIGNAL Crc, nCrc : std_logic_vector(31 DOWNTO 0);
SIGNAL CrcDin : std_logic_vector( 1 DOWNTO 0);
SIGNAL F_Err, P_Err, N_Err, A_Err : std_logic;
SIGNAL F_End, F_Val, Rx_Beg : std_logic;
SIGNAL Rx_Sr : std_logic_vector( 7 DOWNTO 0);
SIGNAL nCrc_Ok, Crc_Ok : std_logic;
SIGNAL WrDescStat : std_logic;
SIGNAL PreCount : std_logic_vector( 4 DOWNTO 0);
SIGNAL PreBeg, PreErr : std_logic;
SIGNAL Rx_DvL : std_logic;
SIGNAL Diag : std_logic;
BEGIN
Rx_Beg <= '1' WHEN Rx_Dv = '1' AND Sm_Rx = R_SOF AND Rx_Dat = "11" ELSE '0';
nCrc_Ok <= '1' WHEN nCrc = x"C704DD7B" ELSE '0';
rxsm: PROCESS ( Clk, Rst ) IS
BEGIN
IF Rst = '1' THEN
Sm_Rx <= R_Idl;
ELSIF rising_edge( Clk ) THEN
IF Sm_Rx = R_Idl OR Sm_Rx = R_Rxd OR Sm_Rx = R_Sof OR Dibl_Cnt = "11" THEN
CASE Sm_Rx IS
WHEN R_Idl => IF Rx_Dv = '1' THEN Sm_Rx <= R_Sof; END IF;
WHEN R_Sof => IF Rx_Dat = "11" THEN Sm_Rx <= R_Rxd;
ELSIF Rx_Dv = '0' THEN Sm_Rx <= R_Idl; END IF;
WHEN R_Rxd => IF Rx_Dv = '0' THEN Sm_Rx <= R_Idl; END IF;
WHEN OTHERS => NULL;
END CASE;
END IF;
END IF;
END PROCESS rxsm;
pRxCtl: PROCESS ( Clk, Rst ) IS
VARIABLE Preload : std_logic_vector(Tx_Timer'RANGE);
VARIABLE Load : std_logic;
BEGIN
IF Rst = '1' THEN
Rx_DatL <= "00"; Rx_Dat <= "00"; Rx_Dv <= '0'; Dibl_Cnt <= "00"; PreCount <= (OTHERS => '0');
F_End <= '0'; F_Err <= '0'; F_Val <= '0'; Crc_Ok <= '0';
A_Err <= '0'; N_Err <= '0'; P_Err <= '0'; PreBeg <= '0'; PreErr <= '0';
ELSIF rising_edge( Clk ) THEN
Rx_DatL <= rRx_Dat;
Rx_Dat <= Rx_DatL;
IF Rx_Dv = '0' AND rCrs_Dv = '1' THEN Rx_Dv <= '1';
ELSIF Rx_Dv = '1' AND rCrs_Dv = '0' AND Dibl_Cnt(0) = '1' THEN Rx_Dv <= '0';
END IF;
IF Rx_Beg = '1' THEN Dibl_Cnt <= "00";
ELSE Dibl_Cnt <= Dibl_Cnt + 1;
END IF;
Crc_Ok <= nCrc_Ok;
IF (Sm_Rx = R_Rxd AND Rx_Dv = '0') THEN F_End <= '1';
F_Err <= NOT Crc_Ok;
ELSE F_End <= '0';
END IF;
IF Dibl_Cnt = "11" AND Sm_Rx = R_Rxd THEN F_Val <= '1';
ELSE F_Val <= '0';
END IF;
IF WrDescStat = '1' THEN A_Err <= '0';
ELSIF F_End = '1' AND Dibl_Cnt /= 1 THEN A_Err <= '1';
END IF;
IF Rx_Dv = '0' OR Rx_Dat(0) = '0' THEN PreCount <= (OTHERS => '1');
ELSE PreCount <= PreCount - 1;
END IF;
IF Rx_Dv = '0' THEN PreBeg <= '0';
ELSIF Rx_Dat = "01" THEN PreBeg <= '1';
END IF;
IF WrDescStat = '1' THEN N_Err <= '0';
ELSIF Sm_Rx = R_Sof AND Rx_Dv = '0' THEN N_Err <= '1';
END IF;
IF Rx_DvL = '0' THEN PreErr <= '0';
ELSIF PreBeg = '0' AND (Rx_Dat = "10" OR Rx_Dat = "11") THEN PreErr <= '1';
ELSIF PreBeg = '1' AND (Rx_Dat = "10" OR Rx_Dat = "00") THEN PreErr <= '1';
END IF;
IF WrDescStat = '1' THEN P_Err <= '0';
ELSIF Rx_Beg = '1' AND PreErr = '1' THEN P_Err <= '1';
ELSIF Rx_Beg = '1' AND PreCount /= 0 THEN P_Err <= '1';
END IF;
Rx_Sr <= Rx_Dat(1) & Rx_Dat(0) & Rx_Sr(7 DOWNTO 2);
Rx_DvL <= Rx_Dv;
END IF;
END PROCESS pRxCtl;
CrcDin <= Rx_Dat;
Calc: PROCESS ( Clk, Crc, nCrc, CrcDin, Sm_Rx ) IS
VARIABLE H : std_logic_vector(1 DOWNTO 0);
BEGIN
H(0) := Crc(31) XOR CrcDin(0);
H(1) := Crc(30) XOR CrcDin(1);
IF Sm_Rx = R_Sof THEN nCrc <= x"FFFFFFFF";
ELSE
nCrc( 0) <= H(1);
nCrc( 1) <= H(0) XOR H(1);
nCrc( 2) <= Crc( 0) XOR H(0) XOR H(1);
nCrc( 3) <= Crc( 1) XOR H(0) ;
nCrc( 4) <= Crc( 2) XOR H(1);
nCrc( 5) <= Crc( 3) XOR H(0) XOR H(1);
nCrc( 6) <= Crc( 4) XOR H(0) ;
nCrc( 7) <= Crc( 5) XOR H(1);
nCrc( 8) <= Crc( 6) XOR H(0) XOR H(1);
nCrc( 9) <= Crc( 7) XOR H(0) ;
nCrc(10) <= Crc( 8) XOR H(1);
nCrc(11) <= Crc( 9) XOR H(0) XOR H(1);
nCrc(12) <= Crc(10) XOR H(0) XOR H(1);
nCrc(13) <= Crc(11) XOR H(0) ;
nCrc(14) <= Crc(12) ;
nCrc(15) <= Crc(13) ;
nCrc(16) <= Crc(14) XOR H(1);
nCrc(17) <= Crc(15) XOR H(0) ;
nCrc(18) <= Crc(16) ;
nCrc(19) <= Crc(17) ;
nCrc(20) <= Crc(18) ;
nCrc(21) <= Crc(19) ;
nCrc(22) <= Crc(20) XOR H(1);
nCrc(23) <= Crc(21) XOR H(0) XOR H(1);
nCrc(24) <= Crc(22) XOR H(0) ;
nCrc(25) <= Crc(23) ;
nCrc(26) <= Crc(24) XOR H(1);
nCrc(27) <= Crc(25) XOR H(0) ;
nCrc(28) <= Crc(26) ;
nCrc(29) <= Crc(27) ;
nCrc(30) <= Crc(28) ;
nCrc(31) <= Crc(29) ;
END IF;
IF rising_edge( Clk ) THEN
Crc <= nCrc;
END IF;
END PROCESS Calc;
bRxDesc: BLOCK
TYPE sDESC IS (sIdle, sLen, sTimL, sTimH, sAdrH, sAdrL, sData, sOdd, sStat, sLenW );
SIGNAL Dsm, Rx_Dsm_Next : sDESC;
SIGNAL Rx_Buf, Rx_LatchH, Rx_LatchL : std_logic_vector( 7 DOWNTO 0);
SIGNAL Rx_Ovr : std_logic;
SIGNAL DescRam_Out, DescRam_In : std_logic_vector(15 DOWNTO 0);
ALIAS RX_LEN : std_logic_vector(11 DOWNTO 0) IS DescRam_Out(11 DOWNTO 0);
ALIAS RX_OWN : std_logic IS DescRam_Out( 8);
ALIAS RX_LAST : std_logic IS DescRam_Out( 9);
SIGNAL Ram_Be : std_logic_vector( 1 DOWNTO 0);
SIGNAL Ram_Wr, Desc_We : std_logic;
SIGNAL Desc_Addr : std_logic_vector( 7 DOWNTO 0);
SIGNAL ZeitL : std_logic_vector(15 DOWNTO 0);
SIGNAL Rx_On, Rx_Ie, Sel_RxH, Sel_RxL : std_logic;
SIGNAL Rx_Desc, Match_Desc : std_logic_vector( 3 DOWNTO 0);
SIGNAL Rx_Icnt : std_logic_vector( 4 DOWNTO 0);
SIGNAL Rx_Lost, Last_Desc, Answer_Tx : std_logic;
SIGNAL DescIdx : std_logic_vector( 2 DOWNTO 0);
SIGNAL Rx_Count, Rx_Limit : std_logic_vector(11 DOWNTO 0);
SIGNAL Match, Filt_Cmp : std_logic;
SIGNAL Rx_Idle, RxInt : std_logic;
SIGNAL Hub_Rx_L : std_logic_vector( 1 DOWNTO 0);
SIGNAL Rx_Dma_Out : std_logic;
signal Rx_Done : std_logic;
BEGIN
Rx_Done <= '1' when Dsm /= sIdle and Rx_Dsm_Next = sIdle else '0';
Dma_Wr_Done <= Rx_Done;
Rx_Dma_Req_Overflow <= '1' when Dsm = sOdd and Rx_Ovr = '0' else
'1' when Dsm = sData and Rx_Ovr = '0' and F_Val = '1' and Rx_Count(0) = '1' and RX_Count > 1 else
'1' when Rx_Done = '1' else
'0';
WrDescStat <= '1' WHEN Dsm = sStat ELSE '0';
Ram_Wr <= '1' WHEN s_nWr = '0' AND Sel_Ram = '1' AND s_Adr(10) = '1' ELSE '0';
Ram_Be(1) <= '1' WHEN s_nWr = '1' OR s_nBE(1) = '0' ELSE '0';
Ram_Be(0) <= '1' WHEN s_nWr = '1' OR s_nBE(0) = '0' ELSE '0';
DescIdx <= "001" WHEN Desc_We = '0' AND (Rx_Dsm_Next = sLen OR Rx_Dsm_Next = sLenW) ELSE
"001" WHEN Desc_We = '1' AND (Dsm = sLen OR Dsm = sLenW) ELSE
"010" WHEN Desc_We = '0' AND Rx_Dsm_Next = sAdrH ELSE
"010" WHEN Desc_We = '1' AND Dsm = sAdrH ELSE
"011" WHEN Desc_We = '0' AND Rx_Dsm_Next = sAdrL ELSE
"011" WHEN Desc_We = '1' AND Dsm = sAdrL ELSE
"110" WHEN Desc_We = '0' AND Rx_Dsm_Next = sTimH ELSE
"110" WHEN Desc_We = '1' AND Dsm = sTimH ELSE
"111" WHEN Desc_We = '0' AND Rx_Dsm_Next = sTimL ELSE
"111" WHEN Desc_We = '1' AND Dsm = sTimL ELSE
"000";
Desc_We <= '1' WHEN Dsm = sTimL OR Dsm = sTimH ELSE
'1' WHEN (Dsm = sLenW OR Dsm = sStat) AND Match = '1' ELSE '0';
Desc_Addr <= "0" & Rx_Desc & DescIdx;
gRxTime: IF timer GENERATE
DescRam_In <= Zeit(31 DOWNTO 16) WHEN Dsm = sTimH ELSE
ZeitL WHEN Dsm = sTimL ELSE
x"0" & Rx_Count WHEN Dsm = sLenW ELSE
Rx_Dma_Out & '0' & "0" & A_Err & Hub_Rx_L & "00" & Match_Desc & N_Err & P_Err & Rx_Ovr & F_Err;
END GENERATE;
ngRxTime: IF NOT timer GENERATE
DescRam_In <= x"0" & Rx_Count WHEN Dsm = sLenW ELSE
Rx_Dma_Out & '0' & "0" & A_Err & Hub_Rx_L & "00" & Match_Desc & N_Err & P_Err & Rx_Ovr & F_Err;
END GENERATE;
RxRam: ENTITY work.Dpr_16_16
GENERIC MAP(Simulate => Simulate)
PORT MAP ( CLKA => Clk, CLKB => Clk,
EnA => cActivated, Enb => cActivated,
BEA => Ram_Be,
WEA => Ram_Wr, WEB => Desc_We,
ADDRA => s_Adr(8 DOWNTO 1), ADDRB => Desc_Addr,
DIA => s_Din, DIB => DescRam_In,
DOA => Rx_Ram_Dat, DOB => DescRam_Out
);
pRxSm: PROCESS( Rst, Clk, Dsm,
Rx_Beg, Rx_On, RX_OWN, F_End, F_Err, Diag, Rx_Count )
BEGIN
Rx_Dsm_Next <= Dsm;
CASE Dsm IS
WHEN sIdle => IF Rx_Beg = '1' AND Rx_On = '1' AND RX_OWN = '1' THEN
Rx_Dsm_Next <= sLen;
END IF;
WHEN sLen => Rx_Dsm_Next <= sAdrH;
WHEN sAdrH => Rx_Dsm_Next <= sAdrL;
WHEN sAdrL => Rx_Dsm_Next <= sTimH;
WHEN sTimH => Rx_Dsm_Next <= sTimL;
WHEN sTimL => Rx_Dsm_Next <= sData;
WHEN sData => IF F_End = '1' THEN
IF F_Err = '0'
OR Diag = '1' THEN Rx_Dsm_Next <= sStat;
ELSE Rx_Dsm_Next <= sIdle;
END IF;
END IF;
WHEN sStat => Rx_Dsm_Next <= sLenW;
WHEN sLenW => IF Rx_Count(0) = '0' THEN
Rx_Dsm_Next <= sIdle;
ELSE Rx_Dsm_Next <= sOdd;
END IF;
WHEN sOdd => Rx_Dsm_Next <= sIdle;
WHEN OTHERS =>
END CASE;
IF Rst = '1' THEN Dsm <= sIdle;
ELSIF rising_edge( Clk ) THEN Dsm <= Rx_Dsm_Next;
END IF;
END PROCESS pRxSm;
pRxControl: PROCESS( Rst, Clk )
BEGIN
IF Rst = '1' THEN
Rx_Ovr <= '0'; Rx_Dma_Req <= '0'; Last_Desc <= '0'; Rx_Dma_Out <= '0';
Rx_Count <= (OTHERS => '0');
Rx_Buf <= (OTHERS => '0'); Rx_LatchL <= (OTHERS => '0'); Rx_LatchH <= (OTHERS => '0');
Dma_Rx_Addr <= (OTHERS => '0');
ELSIF rising_edge( Clk ) THEN
IF Timer THEN
IF Dsm = sTimH THEN ZeitL <= Zeit(15 DOWNTO 0);
END IF;
END IF;
IF Dsm = sIdle THEN Rx_Count <= (OTHERS => '0');
Last_Desc <= RX_LAST;
ELSIF F_Val = '1' THEN Rx_Count <= Rx_Count + 1;
END IF;
IF Dsm = sLen THEN Rx_Limit <= RX_LEN;
Hub_Rx_L <= Hub_Rx;
END IF;
IF F_Val = '1' THEN Rx_Buf <= Rx_Sr;
END IF;
IF (F_Val = '1' AND Rx_Count(0) = '1') OR Dsm = sStat THEN Rx_LatchH <= Rx_Buf;
Rx_LatchL <= Rx_Sr;
IF Rx_Dma_Req = '1' AND Sm_Rx /= R_Idl THEN Rx_Dma_Out <= '1';
END IF;
ELSIF Dsm = sLen THEN Rx_Dma_Out <= '0';
END IF;
IF Dsm = sLen THEN Rx_Ovr <= '0';
ELSIF F_Val = '1' AND Rx_Limit = Rx_Count THEN Rx_Ovr <= '1';
END IF;
IF Dsm = sAdrL THEN Dma_Rx_Addr(15 DOWNTO 1) <= DescRam_Out(15 DOWNTO 1);
ELSIF Rx_Dma_Ack = '1' THEN Dma_Rx_Addr(15 DOWNTO 1) <= Dma_Rx_Addr(15 DOWNTO 1) + 1;
END IF;
IF Dsm = sAdrH THEN
Dma_Rx_Addr(Dma_Addr'high DOWNTO 16) <= DescRam_Out(Dma_Addr'high-16 DOWNTO 0);
ELSIF Rx_Dma_Ack = '1' AND Dma_Rx_Addr(15 DOWNTO 1) = x"FFF" & "111" THEN
Dma_Rx_Addr(Dma_Addr'high DOWNTO 16) <= Dma_Rx_Addr(Dma_Addr'high DOWNTO 16) + 1;
END IF;
IF Filt_Cmp = '0' AND Match ='0' THEN Rx_Dma_Req <= '0';
ELSIF (Dsm = sOdd AND Rx_Ovr = '0')
OR (Dsm = sData AND Rx_Ovr = '0' AND F_Val = '1' AND Rx_Count(0) = '1') THEN Rx_Dma_Req <= '1' AFTER 101 nS;
ELSIF Rx_Dma_Ack = '1' THEN Rx_Dma_Req <= '0';
END IF;
END IF;
END PROCESS pRxControl;
Dma_Dout <= Rx_LatchH & Rx_LatchL;
nRx_Int <= '1' WHEN Rx_Icnt = 0 OR Rx_Ie = '0' ELSE '0';
Rx_Idle <= '1' WHEN Sm_Rx = R_Idl ELSE '0';
Rx_Reg(15 DOWNTO 4) <= Rx_Ie & '0' & "0" & '0' & (Rx_Icnt(4) OR Rx_Icnt(3)) & Rx_Icnt(2 DOWNTO 0)
& Rx_On & "0" & Rx_Idle & Rx_Lost;
Rx_Reg( 3 DOWNTO 0) <= Rx_Desc;
bFilter: BLOCK
SIGNAL Ram_Addr : std_logic_vector( 7 DOWNTO 0);
SIGNAL Ram_BeH, Ram_BeL : std_logic_vector( 1 DOWNTO 0);
SIGNAL Ram_Wr : std_logic;
SIGNAL Filter_Addr : std_logic_vector( 6 DOWNTO 0);
SIGNAL Filter_Out_H, Filter_Out_L : std_logic_vector(31 DOWNTO 0);
ALIAS DIRON_0 : std_logic IS Filter_Out_H( 11);
ALIAS DIRON_1 : std_logic IS Filter_Out_H( 27);
ALIAS DIRON_2 : std_logic IS Filter_Out_L( 11);
ALIAS DIRON_3 : std_logic IS Filter_Out_L( 27);
ALIAS TX_0 : std_logic IS Filter_Out_H( 7);
ALIAS TX_1 : std_logic IS Filter_Out_H(23);
ALIAS TX_2 : std_logic IS Filter_Out_L( 7);
ALIAS TX_3 : std_logic IS Filter_Out_L(23);
ALIAS ON_0 : std_logic IS Filter_Out_H( 6);
ALIAS ON_1 : std_logic IS Filter_Out_H(22);
ALIAS ON_2 : std_logic IS Filter_Out_L( 6);
ALIAS ON_3 : std_logic IS Filter_Out_L(22);
ALIAS DESC_0 : std_logic_vector( 3 DOWNTO 0) IS Filter_Out_H( 3 DOWNTO 0);
ALIAS DESC_1 : std_logic_vector( 3 DOWNTO 0) IS Filter_Out_H(19 DOWNTO 16);
ALIAS DESC_2 : std_logic_vector( 3 DOWNTO 0) IS Filter_Out_L( 3 DOWNTO 0);
ALIAS DESC_3 : std_logic_vector( 3 DOWNTO 0) IS Filter_Out_L(19 DOWNTO 16);
SIGNAL Byte_Cnt : std_logic_vector( 4 DOWNTO 0) := (OTHERS => '0');
SIGNAL Erg0, Erg1, Erg2, Erg3 : std_logic_vector( 7 DOWNTO 0);
SIGNAL Mat_Reg : std_logic_vector(15 DOWNTO 0);
SIGNAL Filt_Idx : std_logic_vector( 1 DOWNTO 0);
SIGNAL Mat_Sel : std_logic_vector( 3 DOWNTO 0);
SIGNAL M_Prio : std_logic_vector( 2 DOWNTO 0);
ALIAS Found : std_logic IS M_Prio(2);
BEGIN
Ram_Addr <= s_Adr(9 DOWNTO 8) & s_Adr(5 DOWNTO 1) & s_Adr(6);
Ram_Wr <= '1' WHEN s_nWr = '0' AND Sel_Ram = '1' AND s_Adr(10) = '0' ELSE '0';
Ram_BeH(1) <= '1' WHEN s_nWr = '1' OR (s_nBE(1) = '0' AND s_Adr( 7) = '0') ELSE '0';
Ram_BeH(0) <= '1' WHEN s_nWr = '1' OR (s_nBE(0) = '0' AND s_Adr( 7) = '0') ELSE '0';
Ram_BeL(1) <= '1' WHEN s_nWr = '1' OR (s_nBE(1) = '0' AND s_Adr( 7) = '1') ELSE '0';
Ram_BeL(0) <= '1' WHEN s_nWr = '1' OR (s_nBE(0) = '0' AND s_Adr( 7) = '1') ELSE '0';
Filter_Addr <= Dibl_Cnt & Byte_Cnt;
FiltRamH: ENTITY work.Dpr_16_32
GENERIC MAP(Simulate => Simulate)
PORT MAP ( CLKA => Clk, CLKB => Clk,
EnA => cActivated, EnB => cActivated,
BEA => Ram_BeH,
WEA => Ram_Wr,
ADDRA => Ram_Addr, ADDRB => Filter_Addr,
DIA => s_Din, DOB => Filter_Out_H
);
FiltRamL: ENTITY work.Dpr_16_32
GENERIC MAP(Simulate => Simulate)
PORT MAP ( CLKA => Clk, CLKB => Clk,
EnA => cActivated, EnB => cActivated,
BEA => Ram_BeL,
WEA => Ram_Wr,
ADDRA => Ram_Addr, ADDRB => Filter_Addr,
DIA => s_Din, DOB => Filter_Out_L
);
Erg0 <= (Rx_Buf XOR Filter_Out_H( 7 DOWNTO 0)) AND Filter_Out_H(15 DOWNTO 8);
Erg1 <= (Rx_Buf XOR Filter_Out_H(23 DOWNTO 16)) AND Filter_Out_H(31 DOWNTO 24);
Erg2 <= (Rx_Buf XOR Filter_Out_L( 7 DOWNTO 0)) AND Filter_Out_L(15 DOWNTO 8);
Erg3 <= (Rx_Buf XOR Filter_Out_L(23 DOWNTO 16)) AND Filter_Out_L(31 DOWNTO 24);
genMatSel: FOR i IN 0 TO 3 GENERATE
Mat_Sel(i) <= Mat_Reg( 0 + i) WHEN Filt_Idx = "00" ELSE
Mat_Reg( 4 + i) WHEN Filt_Idx = "01" ELSE
Mat_Reg( 8 + i) WHEN Filt_Idx = "10" ELSE
Mat_Reg(12 + i); -- WHEN Filt_Idx = "11";
END GENERATE;
M_Prio <= "000" WHEN Filt_Cmp = '0' OR Match = '1' ELSE
"100" WHEN Mat_Sel(0) = '1' AND On_0 = '1' AND (DIRON_0 = '0') ELSE
"101" WHEN Mat_Sel(1) = '1' AND On_1 = '1' AND (DIRON_1 = '0') ELSE
"110" WHEN Mat_Sel(2) = '1' AND On_2 = '1' AND (DIRON_2 = '0') ELSE
"111" WHEN Mat_Sel(3) = '1' AND On_3 = '1' AND (DIRON_3 = '0') ELSE
"000";
pFilter: PROCESS( Rst, Clk )
BEGIN
IF Rst = '1' THEN
Filt_Idx <= "00"; Match <= '0';
Filt_Cmp <= '0'; Mat_Reg <= (OTHERS => '0'); Byte_Cnt <= (OTHERS =>'0');
Match_Desc <= (OTHERS => '0');Auto_Desc <= (OTHERS =>'0'); Answer_Tx <= '0';
ELSIF rising_edge( Clk ) THEN
Filt_Idx <= Dibl_Cnt;
IF Dibl_Cnt = "11" AND Rx_Count(5) = '0' THEN Byte_Cnt <= Rx_Count(Byte_Cnt'RANGE);
END IF;
IF Dsm = sTiml THEN Filt_Cmp <= '1';
ELSIF Rx_Dv = '0' OR (F_Val = '1' AND Rx_Count(5) = '1') THEN Filt_Cmp <= '0';
END IF;
IF Dsm = sTimL THEN Mat_Reg <= (OTHERS => '1');
ELSE
FOR i IN 0 TO 3 LOOP
IF Erg0 /= 0 AND conv_integer(Filt_Idx) = i THEN Mat_Reg(4*i + 0) <= '0'; END IF;
IF Erg1 /= 0 AND conv_integer(Filt_Idx) = i THEN Mat_Reg(4*i + 1) <= '0'; END IF;
IF Erg2 /= 0 AND conv_integer(Filt_Idx) = i THEN Mat_Reg(4*i + 2) <= '0'; END IF;
IF Erg3 /= 0 AND conv_integer(Filt_Idx) = i THEN Mat_Reg(4*i + 3) <= '0'; END IF;
END LOOP;
END IF;
IF Dsm = sTimL THEN Match <= '0';
ELSIF Found = '1' THEN Match <= '1'; Match_Desc <= Filt_Idx & M_Prio(1 DOWNTO 0);
IF M_Prio(1 DOWNTO 0) = "00" THEN Answer_Tx <= TX_0; Auto_Desc <= DESC_0;
ELSIF M_Prio(1 DOWNTO 0) = "01" THEN Answer_Tx <= TX_1; Auto_Desc <= DESC_1;
ELSIF M_Prio(1 DOWNTO 0) = "10" THEN Answer_Tx <= TX_2; Auto_Desc <= DESC_2;
ELSIF M_Prio(1 DOWNTO 0) = "11" THEN Answer_Tx <= TX_3; Auto_Desc <= DESC_3;
END IF;
ELSIF F_End = '1' THEN Answer_Tx <= '0';
END IF;
END IF;
END PROCESS pFilter;
R_Req <= Answer_Tx WHEN F_End = '1' AND F_Err = '0' ELSE '0';
END BLOCK bFilter;
Sel_RxH <= '1' WHEN s_nWr = '0' AND Sel_Cont = '1' AND s_Adr(3) = '1' AND s_nBe(1) = '0' ELSE '0';
Sel_RxL <= '1' WHEN s_nWr = '0' AND Sel_Cont = '1' AND s_Adr(3) = '1' AND s_nBe(0) = '0' ELSE '0';
pRxRegs: PROCESS( Rst, Clk )
BEGIN
IF Rst = '1' THEN
Rx_Desc <= (OTHERS => '0'); Rx_On <= '0';
Rx_Ie <= '0'; Rx_Lost <= '0'; Rx_Icnt <= (OTHERS => '0'); RxInt <= '0'; Diag <= '0';
ELSIF rising_edge( Clk ) THEN
IF Sel_RxH = '1' THEN
IF s_Adr(2 DOWNTO 1) = "00" THEN Rx_Ie <= S_Din(15);
ELSIF s_Adr(2 DOWNTO 1) = "01" AND S_Din(15) = '1' THEN Rx_Ie <= '1';
ELSIF s_Adr(2 DOWNTO 1) = "10" AND S_Din(15) = '1' THEN Rx_Ie <= '0';
END IF;
END IF;
IF Sel_RxH = '1' THEN
IF s_Adr(2 DOWNTO 1) = "00" THEN Diag <= S_Din(12);
ELSIF s_Adr(2 DOWNTO 1) = "01" AND S_Din(12) = '1' THEN Diag <= '1';
ELSIF s_Adr(2 DOWNTO 1) = "10" AND S_Din(12) = '1' THEN Diag <= '0';
END IF;
END IF;
IF Sel_RxL = '1' THEN
IF s_Adr(2 DOWNTO 1) = "00" THEN Rx_On <= S_Din( 7);
ELSIF s_Adr(2 DOWNTO 1) = "01" AND S_Din( 7) = '1' THEN Rx_On <= '1';
ELSIF s_Adr(2 DOWNTO 1) = "10" AND S_Din( 7) = '1' THEN Rx_On <= '0';
END IF;
END IF;
IF Rx_Beg = '1' AND (RX_OWN = '0' OR Rx_On = '0') THEN Rx_Lost <= '1';
ELSIF Sel_RxL = '1' AND s_Adr(2 DOWNTO 1) = "10" AND S_Din( 4) = '1' THEN Rx_Lost <= '0';
END IF;
IF Sel_RxL = '1' AND s_Adr(2 DOWNTO 1) = "11" THEN Rx_Desc <= S_Din( 3 DOWNTO 0);
ELSIF Dsm = sLenW AND Desc_We = '1' THEN
IF Last_Desc = '1' THEN Rx_Desc <= x"0";
ELSE Rx_Desc <= Rx_Desc + 1;
END IF;
END IF;
IF Rx_Ie = '1' AND Desc_We = '1' AND Dsm = sStat THEN RxInt <= '1';
ELSE RxInt <= '0';
END IF;
IF Sel_RxH = '1' AND s_Adr(2 DOWNTO 1) = "10" AND S_Din(8) = '1'
AND Rx_Icnt /= 0 THEN Rx_Icnt <= Rx_Icnt - NOT RxInt;
ELSIF RxInt = '1' AND Rx_Icnt /= "11111" THEN Rx_Icnt <= Rx_Icnt + 1;
END IF;
END IF;
END PROCESS pRxRegs;
END BLOCK bRxDesc;
END BLOCK b_Full_Rx;
END ARCHITECTURE struct; | gpl-2.0 | 339d5f5a80ad768ac42a6f565274e17f | 0.512649 | 2.518223 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x16/synth/fifo_async_103x16.vhd | 2 | 38,595 | -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:12.0
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v12_0;
USE fifo_generator_v12_0.fifo_generator_v12_0;
ENTITY fifo_async_103x16 IS
PORT (
rst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(102 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(102 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
prog_full : OUT STD_LOGIC
);
END fifo_async_103x16;
ARCHITECTURE fifo_async_103x16_arch OF fifo_async_103x16 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF fifo_async_103x16_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v12_0 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(102 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(102 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v12_0;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF fifo_async_103x16_arch: ARCHITECTURE IS "fifo_generator_v12_0,Vivado 2014.3.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF fifo_async_103x16_arch : ARCHITECTURE IS "fifo_async_103x16,fifo_generator_v12_0,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF fifo_async_103x16_arch: ARCHITECTURE IS "fifo_async_103x16,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=4,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=103,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=103,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=12,C_PROG_FULL_THRESH_NEGATE_VAL=11,C_PROG_FULL_TYPE=1,C_RD_DATA_COUNT_WIDTH=4,C_RD_DEPTH=16,C_RD_FREQ=1,C_RD_PNTR_WIDTH=4,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=4,C_WR_DEPTH=16,C_WR_FREQ=1,C_WR_PNTR_WIDTH=4,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v12_0
GENERIC MAP (
C_COMMON_CLOCK => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 4,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 103,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 103,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 1,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 1,
C_HAS_SRST => 0,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 0,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 2,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 2,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "512x72",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 4,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 5,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 12,
C_PROG_FULL_THRESH_NEGATE_VAL => 11,
C_PROG_FULL_TYPE => 1,
C_RD_DATA_COUNT_WIDTH => 4,
C_RD_DEPTH => 16,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 4,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 0,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 4,
C_WR_DEPTH => 16,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 4,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 32,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => '0',
rst => rst,
srst => '0',
wr_clk => wr_clk,
wr_rst => '0',
rd_clk => rd_clk,
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
prog_full => prog_full,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END fifo_async_103x16_arch;
| gpl-3.0 | cf95e30e93d12b50b15284060417abec | 0.628061 | 2.91371 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_mux.vhd | 8 | 97,172 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 70192)
`protect data_block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`protect end_protected
| gpl-3.0 | 4d074822875f8dbdd4590fa4a7536781 | 0.953351 | 1.811795 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR/FIR_0002_ast.vhd | 1 | 9,974 | -- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.auk_dspip_lib_pkg_hpfir.all;
use work.auk_dspip_math_pkg_hpfir.all;
entity FIR_0002_ast is
generic (
INWIDTH : integer := 13;
FULL_WIDTH : integer := 30;
BANKINWIDTH : integer := 3;
REM_LSB_BIT_g : integer := 0;
REM_LSB_TYPE_g : string := "Truncation";
REM_MSB_BIT_g : integer := 0;
REM_MSB_TYPE_g : string := "Truncation";
PHYSCHANIN : integer := 1;
PHYSCHANOUT : integer := 1;
CHANSPERPHYIN : natural := 1;
CHANSPERPHYOUT : natural := 1;
OUTPUTFIFODEPTH : integer := 8;
USE_PACKETS : integer := 0;
ENABLE_BACKPRESSURE : boolean := false;
LOG2_CHANSPERPHYOUT : natural := log2_ceil_one(1);
NUMCHANS : integer := 1;
DEVICE_FAMILY : string := "Cyclone II"
);
port(
clk : in std_logic;
reset_n : in std_logic;
ast_sink_ready : out std_logic;
ast_source_data : out std_logic_vector((FULL_WIDTH - REM_LSB_BIT_g - REM_MSB_BIT_g) * PHYSCHANOUT - 1 downto 0);
ast_sink_data : in std_logic_vector( (INWIDTH + BANKINWIDTH) * PHYSCHANIN - 1 downto 0);
ast_sink_valid : in std_logic;
ast_source_valid : out std_logic;
ast_source_ready : in std_logic;
ast_source_eop : out std_logic;
ast_source_sop : out std_logic;
ast_source_channel : out std_logic_vector (LOG2_CHANSPERPHYOUT - 1 downto 0);
ast_sink_eop : in std_logic;
ast_sink_sop : in std_logic;
ast_sink_error : in std_logic_vector (1 downto 0);
ast_source_error : out std_logic_vector (1 downto 0)
);
attribute altera_attribute : string;
attribute altera_attribute of FIR_0002_ast:entity is "-name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410; -name MESSAGE_DISABLE 10036";
end FIR_0002_ast;
-- Warnings Suppression On
-- altera message_off 10036
architecture struct of FIR_0002_ast is
constant OUTWIDTH : integer := FULL_WIDTH - REM_LSB_BIT_g - REM_MSB_BIT_g;
signal channel_out : std_logic_vector(LOG2_CHANSPERPHYOUT - 1 downto 0);
signal core_channel_out : std_logic_vector(2 -1 downto 0);
signal at_source_channel : std_logic_vector(2 -1 downto 0);
signal sink_packet_error : std_logic_vector(1 downto 0);
signal data_in : std_logic_vector((INWIDTH + BANKINWIDTH) * PHYSCHANIN - 1 downto 0);
signal data_valid : std_logic_vector(0 downto 0);
signal data_out : std_logic_vector(OUTWIDTH * PHYSCHANOUT -1 downto 0);
signal reset_fir : std_logic;
signal sink_ready_ctrl : std_logic;
signal source_packet_error : std_logic_vector(1 downto 0);
signal source_stall : std_logic;
signal source_valid_ctrl : std_logic;
signal stall : std_logic;
signal valid : std_logic;
signal core_valid : std_logic;
signal enable_in : std_logic_vector(0 downto 0);
signal outp_out : std_logic_vector(OUTWIDTH * PHYSCHANOUT - 1 downto 0);
signal outp_blk_valid : std_logic_vector(PHYSCHANOUT - 1 downto 0);
signal core_out : std_logic_vector(FULL_WIDTH * PHYSCHANOUT - 1 downto 0);
signal core_out_valid : std_logic_vector(0 downto 0);
signal core_out_channel : std_logic_vector(7 downto 0);
signal core_out_channel_0 : std_logic_vector(7 downto 0);
component FIR_0002_rtl is
port (
xIn_v : in std_logic_vector(0 downto 0);
xIn_c : in std_logic_vector(7 downto 0);
xIn_0 : in std_logic_vector(13 - 1 downto 0);
bankIn_0 : in std_logic_vector(3 - 1 downto 0);
xOut_v : out std_logic_vector(0 downto 0);
xOut_c : out std_logic_vector(7 downto 0);
xOut_0 : out std_logic_vector(30 - 1 downto 0);
clk : in std_logic;
areset : in std_logic
);
end component FIR_0002_rtl;
begin
sink : auk_dspip_avalon_streaming_sink_hpfir
generic map (
WIDTH_g => (INWIDTH + BANKINWIDTH) * PHYSCHANIN,
DATA_WIDTH => (INWIDTH + BANKINWIDTH),
DATA_PORT_COUNT => PHYSCHANIN,
PACKET_SIZE_g => CHANSPERPHYIN)
port map (
clk => clk,
reset_n => reset_n,
data => data_in,
data_valid => data_valid,
sink_ready_ctrl => sink_ready_ctrl,
packet_error => sink_packet_error,
at_sink_ready => ast_sink_ready,
at_sink_valid => ast_sink_valid,
at_sink_data => ast_sink_data,
at_sink_sop => ast_sink_sop,
at_sink_eop => ast_sink_eop,
at_sink_error => ast_sink_error);
source : auk_dspip_avalon_streaming_source_hpfir
generic map (
WIDTH_g => OUTWIDTH * PHYSCHANOUT,
DATA_WIDTH => OUTWIDTH,
DATA_PORT_COUNT => PHYSCHANOUT,
FIFO_DEPTH_g => OUTPUTFIFODEPTH,
USE_PACKETS => USE_PACKETS,
HAVE_COUNTER_g => false,
PACKET_SIZE_g => CHANSPERPHYOUT,
COUNTER_LIMIT_g => CHANSPERPHYOUT,
ENABLE_BACKPRESSURE_g => ENABLE_BACKPRESSURE)
port map (
clk => clk,
reset_n => reset_n,
data_in => data_out,
data_count => channel_out,
source_valid_ctrl => source_valid_ctrl,
source_stall => source_stall,
packet_error => source_packet_error,
at_source_ready => ast_source_ready,
at_source_valid => ast_source_valid,
at_source_data => ast_source_data,
at_source_channel => ast_source_channel,
at_source_sop => ast_source_sop,
at_source_eop => ast_source_eop,
at_source_error => ast_source_error);
intf_ctrl : auk_dspip_avalon_streaming_controller_hpfir
port map (
clk => clk,
reset_n => reset_n,
sink_packet_error => sink_packet_error,
source_stall => source_stall,
valid => valid,
reset_design => reset_fir,
sink_ready_ctrl => sink_ready_ctrl,
source_packet_error => source_packet_error,
source_valid_ctrl => source_valid_ctrl,
stall => stall);
hpfircore: FIR_0002_rtl
port map (
xIn_v => data_valid,
xIn_c => "00000000",
xIn_0 => data_in((3 + 13) * 0 + 13 - 1 downto (3 + 13) * 0),
bankIn_0 => data_in((3 + 13) * 0 + (3 + 13) - 1 downto (3 + 13) * 0 + 13),
xOut_v => core_out_valid,
xOut_c => core_out_channel,
xOut_0 => core_out(30 * 0 + 30 - 1 downto 30 * 0),
clk => clk,
areset => reset_fir
);
gen_outp_blk : for i in PHYSCHANOUT-1 downto 0 generate
begin
outp_blk : auk_dspip_roundsat_hpfir
generic map (
IN_WIDTH_g => FULL_WIDTH ,
REM_LSB_BIT_g => REM_LSB_BIT_g ,
REM_LSB_TYPE_g => REM_LSB_TYPE_g ,
REM_MSB_BIT_g => REM_MSB_BIT_g ,
REM_MSB_TYPE_g => REM_MSB_TYPE_g
)
port map (
clk => clk,
reset_n => reset_n,
enable => core_out_valid(0),
datain => core_out(((i*FULL_WIDTH)+FULL_WIDTH-1) downto (i*FULL_WIDTH)),
valid => outp_blk_valid(i),
dataout => outp_out(((i*OUTWIDTH)+OUTWIDTH-1) downto (i*OUTWIDTH))
);
end generate gen_outp_blk;
multi_data_out: for m in PHYSCHANOUT-1 downto 0 generate
data_out(((m*OUTWIDTH)+OUTWIDTH-1) downto (m*OUTWIDTH)) <= outp_out(((m*OUTWIDTH)+OUTWIDTH-1) downto (m*OUTWIDTH));
end generate multi_data_out;
channel_pipe_lsb: if REM_LSB_TYPE_g = "Rounding" and REM_LSB_BIT_g > 0 generate
begin
out_lsb_p : process (clk, reset_n)
begin
if reset_n = '0' then
core_out_channel_0 <= (others => '0');
elsif rising_edge(clk) then
core_out_channel_0 <= core_out_channel;
end if;
end process out_lsb_p;
end generate channel_pipe_lsb;
channel_wire_lsb: if REM_LSB_TYPE_g = "Truncation" or REM_LSB_BIT_g = 0 generate
begin
core_out_channel_0 <= core_out_channel;
end generate channel_wire_lsb;
channel_pipe_msb: if REM_MSB_TYPE_g = "Saturating" and REM_MSB_BIT_g > 0 generate
begin
out_p : process (clk, reset_n)
begin
if reset_n = '0' then
channel_out <= (others => '0');
elsif rising_edge(clk) then
channel_out <= core_out_channel_0(LOG2_CHANSPERPHYOUT-1 downto 0);
end if;
end process out_p;
end generate channel_pipe_msb;
channel_wire_msb: if REM_MSB_TYPE_g = "Truncation" or REM_MSB_BIT_g = 0 generate
begin
channel_out <= core_out_channel_0(LOG2_CHANSPERPHYOUT-1 downto 0);
end generate channel_wire_msb;
valid <= outp_blk_valid(0);
enable_in(0) <= not stall;
end struct;
| gpl-2.0 | a808051d012227fd6442844e8e40aa0a | 0.591037 | 3.363912 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/auk_dspip_avalon_streaming_controller_hpfir.vhd | 2 | 3,129 | -- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--
-- $Revision: #1 $
-- $Date: 2009/07/29 $
-- Author : Boon Hong Oh
--
-- Project : Avalon Streaming Wrapper for HP FIR
--
-- Description :
--
-- This file is the Interface controller for the Avalon Streaming Wrapper.
-- The control signals between sink, core, and source modules are communicated
-- via the controller. The stall output is used as the core enable signal in
-- the wrapper.
--
-- ALTERA Confidential and Proprietary
-- Copyright 2006 (c) Altera Corporation
-- All rights reserved
--
-------------------------------------------------------------------------
-------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity auk_dspip_avalon_streaming_controller_hpfir is
port(
clk : in std_logic;
--clk_en : in std_logic := '1';
reset_n : in std_logic;
--ready : in std_logic;
sink_packet_error : in std_logic_vector (1 downto 0);
--sink_stall : in std_logic;
source_stall : in std_logic;
valid : in std_logic;
reset_design : out std_logic;
sink_ready_ctrl : out std_logic;
source_packet_error : out std_logic_vector (1 downto 0) := (others => '0');
source_valid_ctrl : out std_logic;
stall : out std_logic
);
-- Declarations
end auk_dspip_avalon_streaming_controller_hpfir;
-- hds interface_end
architecture struct of auk_dspip_avalon_streaming_controller_hpfir is
-- signal stall_int : std_logic;
-- signal stall_reg : std_logic;
-- attribute maxfan : integer;
-- attribute maxfan of stall_reg : signal is 500;
begin
reset_design <= not reset_n;
--should not stop sending data to source module when the sink module is stalled
--should only stop sending when the source module is stalled
--Disable the FIR core when backpressure
stall <= source_stall;
source_valid_ctrl <= valid;
-- Sink FIFO and FIR core are disabled at the same time
sink_ready_ctrl <= not(source_stall);
source_packet_error <= sink_packet_error;
end struct;
| gpl-2.0 | d7bb6e831c476243938e8b74870d1b30 | 0.614893 | 4.268759 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/clk0_125.vhd | 2 | 1,372 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/13 08:28:48
-- Nombre del módulo: clk0_125Hz - Behavioral
-- Comentarios adicionales:
-- Implementación mediante aproximación, a caso con escala ajustada par (de 400000000 a 400000000).
-- La frecuencia fue ajustada al entero más próximo.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk0_125Hz is
Port (
clk : in STD_LOGIC; -- Reloj de entrada de 50000000Hz.
reset : in STD_LOGIC;
clk_out : out STD_LOGIC -- Reloj de salida de 0.125Hz.
);
end clk0_125Hz;
architecture Behavioral of clk0_125Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 199999999 := 0;
begin
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = 199999999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral; | lgpl-2.1 | d6648f922574958964c0f64d80588cbd | 0.519679 | 4.035294 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR/FIR_0002.vhd | 1 | 1,727 | library IEEE;
use IEEE.std_logic_1164.all;
entity FIR_0002 is
port (
clk : in STD_LOGIC;
reset_n : in STD_LOGIC;
ast_sink_data : in STD_LOGIC_VECTOR((3 + 13) * 1 - 1 downto 0);
ast_sink_valid : in STD_LOGIC;
ast_sink_error : in STD_LOGIC_VECTOR(1 downto 0);
ast_source_data : out STD_LOGIC_VECTOR(30 * 1 - 1 downto 0);
ast_source_valid : out STD_LOGIC;
ast_source_error : out STD_LOGIC_VECTOR(1 downto 0)
);
end FIR_0002;
architecture syn of FIR_0002 is
component FIR_0002_ast
port (
clk : in STD_LOGIC;
reset_n : in STD_LOGIC;
ast_sink_data : in STD_LOGIC_VECTOR((3 + 13) * 1 - 1 downto 0);
ast_sink_valid : in STD_LOGIC;
ast_sink_ready : out STD_LOGIC;
ast_sink_sop : in STD_LOGIC;
ast_sink_eop : in STD_LOGIC;
ast_sink_error : in STD_LOGIC_VECTOR(1 downto 0);
ast_source_data : out STD_LOGIC_VECTOR(30 * 1 - 1 downto 0);
ast_source_ready : in STD_LOGIC;
ast_source_valid : out STD_LOGIC;
ast_source_sop : out STD_LOGIC;
ast_source_eop : out STD_LOGIC;
ast_source_channel : out STD_LOGIC_VECTOR(1 - 1 downto 0);
ast_source_error : out STD_LOGIC_VECTOR(1 downto 0)
);
end component;
begin
FIR_0002_ast_inst : FIR_0002_ast
port map (
clk => clk,
reset_n => reset_n,
ast_sink_data => ast_sink_data,
ast_source_data => ast_source_data,
ast_sink_valid => ast_sink_valid,
ast_sink_ready => open,
ast_source_ready => '1',
ast_source_valid => ast_source_valid,
ast_sink_sop => '0',
ast_sink_eop => '0',
ast_sink_error => ast_sink_error,
ast_source_sop => open,
ast_source_eop => open,
ast_source_channel => open,
ast_source_error => ast_source_error
);
end syn;
| gpl-2.0 | d3e9c4ab95410d16438f98689048b1ea | 0.623625 | 2.952137 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/openMAC_DMAmaster/plb_master_handler.vhd | 2 | 10,873 | -------------------------------------------------------------------------------
--
-- Title : plb_master_handler
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : c:\my_designs\POWERLINK\src\plb_master_handler.vhd
-- Generated : Mon Nov 7 13:17:30 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-08-03 V0.01 zelenkaj First version
-- 2011-12-01 V0.02 zelenkaj Fixed read transfer error (dst_rdy_n earlier)
-- 2011-12-05 V0.03 zelenkaj Avoid preset of FFs
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity plb_master_handler is
generic(
gen_rx_fifo_g : boolean := true;
gen_tx_fifo_g : boolean := true;
dma_highadr_g : integer := 31;
C_MAC_DMA_PLB_NATIVE_DWIDTH : integer := 32;
C_MAC_DMA_PLB_AWIDTH : integer := 32;
m_burstcount_width_g : integer := 4
);
port(
MAC_DMA_CLK : in std_logic;
MAC_DMA_Rst : in std_logic;
Bus2MAC_DMA_Mst_CmdAck : in std_logic := '0';
Bus2MAC_DMA_Mst_Cmplt : in std_logic := '0';
Bus2MAC_DMA_Mst_Error : in std_logic := '0';
Bus2MAC_DMA_Mst_Rearbitrate : in std_logic := '0';
Bus2MAC_DMA_Mst_Cmd_Timeout : in std_logic := '0';
Bus2MAC_DMA_MstRd_d : in std_logic_vector(C_MAC_DMA_PLB_NATIVE_DWIDTH-1 downto 0);
Bus2MAC_DMA_MstRd_rem : in std_logic_vector(C_MAC_DMA_PLB_NATIVE_DWIDTH/8-1 downto 0);
Bus2MAC_DMA_MstRd_sof_n : in std_logic := '1';
Bus2MAC_DMA_MstRd_eof_n : in std_logic := '1';
Bus2MAC_DMA_MstRd_src_rdy_n : in std_logic := '1';
Bus2MAC_DMA_MstRd_src_dsc_n : in std_logic := '1';
Bus2MAC_DMA_MstWr_dst_rdy_n : in std_logic := '1';
Bus2MAC_DMA_MstWr_dst_dsc_n : in std_logic := '1';
MAC_DMA2Bus_MstRd_Req : out std_logic := '0';
MAC_DMA2Bus_MstWr_Req : out std_logic := '0';
MAC_DMA2Bus_Mst_Type : out std_logic := '0';
MAC_DMA2Bus_Mst_Addr : out std_logic_vector(C_MAC_DMA_PLB_AWIDTH-1 downto 0);
MAC_DMA2Bus_Mst_Length : out std_logic_vector(11 downto 0);
MAC_DMA2Bus_Mst_BE : out std_logic_vector(C_MAC_DMA_PLB_NATIVE_DWIDTH/8-1 downto 0);
MAC_DMA2Bus_Mst_Lock : out std_logic := '0';
MAC_DMA2Bus_Mst_Reset : out std_logic := '0';
MAC_DMA2Bus_MstRd_dst_rdy_n : out std_logic := '1';
MAC_DMA2Bus_MstRd_dst_dsc_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_d : out std_logic_vector(C_MAC_DMA_PLB_NATIVE_DWIDTH-1 downto 0);
MAC_DMA2Bus_MstWr_rem : out std_logic_vector(C_MAC_DMA_PLB_NATIVE_DWIDTH/8-1 downto 0);
MAC_DMA2Bus_MstWr_sof_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_eof_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_src_rdy_n : out std_logic := '1';
MAC_DMA2Bus_MstWr_src_dsc_n : out std_logic := '1';
m_read : in std_logic := '0';
m_write : in std_logic := '0';
m_byteenable : in std_logic_vector(3 downto 0);
m_address : in std_logic_vector(dma_highadr_g downto 0);
m_writedata : in std_logic_vector(31 downto 0);
m_burstcount : in std_logic_vector(m_burstcount_width_g-1 downto 0);
m_burstcounter : in std_logic_vector(m_burstcount_width_g-1 downto 0);
m_readdata : out std_logic_vector(31 downto 0);
m_waitrequest : out std_logic := '1';
m_readdatavalid : out std_logic := '0';
m_clk : out std_logic
);
end plb_master_handler;
architecture plb_master_handler of plb_master_handler is
signal clk, rst : std_logic;
--signals for requesting transfers
signal m_write_s, m_read_s, m_wrd_en_n : std_logic;
signal m_write_l, m_read_l : std_logic;
signal m_write_rise, m_read_rise : std_logic;
signal m_write_fall, m_read_fall : std_logic;
signal mst_write_req, mst_write_req_next : std_logic;
signal mst_read_req, mst_read_req_next : std_logic;
--what if master wants to req new transfer, but previous is not yet completed (= no Mst_Cmplt pulse!!!)
signal mst_done : std_logic;
--signals for the transfer
type tran_t is (idle, sof, tran, eof, seof, wait4cmplt); --seof = start/end of frame (single beat)
signal wr_tran, wr_tran_next : tran_t;
signal rd_tran : tran_t;
--avoid preset of FFs
signal MAC_DMA2Bus_MstRd_dst_rdy : std_logic;
begin
--some assignments..
m_clk <= MAC_DMA_CLK;
clk <= MAC_DMA_CLK;
rst <= MAC_DMA_Rst;
mst_done <= Bus2MAC_DMA_Mst_Cmplt;
m_write_s <= m_write and not m_wrd_en_n; --NOTE: write/read enable is low-active!
m_read_s <= m_read and not m_wrd_en_n; --NOTE: write/read enable is low-active!
--reserved
MAC_DMA2Bus_Mst_Lock <= '0';
MAC_DMA2Bus_Mst_Reset <= '0';
--delay some signals..
del_proc : process(clk, rst)
begin
if rst = '1' then
m_write_l <= '0'; m_read_l <= '0';
m_wrd_en_n <= '0'; --is low-active to avoid preset of FF
elsif rising_edge(clk) then
m_write_l <= m_write_s; m_read_l <= m_read_s;
if mst_done = '1' then
m_wrd_en_n <= '0';
elsif m_write_fall = '1' or m_read_fall = '1' then
m_wrd_en_n <= '1'; --write/read done, wait for Mst_Cmplt
end if;
end if;
end process;
--generate pulse if write/read is asserted
m_write_rise <= '1' when m_write_l = '0' and m_write_s = '1' else '0';
m_read_rise <= '1' when m_read_l = '0' and m_read_s = '1' else '0';
m_write_fall <= '1' when m_write_l = '1' and m_write_s = '0' else '0';
m_read_fall <= '1' when m_read_l = '1' and m_read_s = '0' else '0';
--generate req qualifiers
req_proc : process(clk, rst)
begin
if rst = '1' then
mst_write_req <= '0'; mst_read_req <= '0';
MAC_DMA2Bus_MstRd_dst_rdy <= '0';
elsif rising_edge(clk) then
mst_write_req <= mst_write_req_next; mst_read_req <= mst_read_req_next;
if m_read_s = '1' then
MAC_DMA2Bus_MstRd_dst_rdy <= '1';
elsif rd_tran = eof and Bus2MAC_DMA_MstRd_src_rdy_n = '0' then
MAC_DMA2Bus_MstRd_dst_rdy <= '0';
end if;
end if;
end process;
MAC_DMA2Bus_MstRd_dst_rdy_n <= not MAC_DMA2Bus_MstRd_dst_rdy;
mst_write_req_next <= '0' when mst_write_req = '1' and Bus2MAC_DMA_Mst_CmdAck = '1' else
'1' when mst_write_req = '0' and m_write_rise = '1' else
mst_write_req;
mst_read_req_next <= '0' when mst_read_req = '1' and Bus2MAC_DMA_Mst_CmdAck = '1' else
'1' when mst_read_req = '0' and m_read_rise = '1' else
mst_read_req;
MAC_DMA2Bus_MstRd_Req <= mst_read_req;
MAC_DMA2Bus_MstWr_Req <= mst_write_req;
MAC_DMA2Bus_Mst_Type <= '0' when m_burstcount < 2 else --single beat
mst_read_req or mst_write_req; --we are talking about bursts..
--assign address, byteenable and burst size
MAC_DMA2Bus_Mst_Addr <= m_address;
MAC_DMA2Bus_Mst_BE <= "1111";
MAC_DMA2Bus_Mst_Length <= conv_std_logic_vector(conv_integer(m_burstcount),
MAC_DMA2Bus_Mst_Length'length - 2) & "00"; -- dword x 4 = byte
--write/read link
wrd_proc : process(clk, rst)
begin
if rst = '1' then
wr_tran <= idle;
elsif rising_edge(clk) then
wr_tran <= wr_tran_next;
end if;
end process;
--generate fsm for write and read transfers
wr_tran_next <=
seof when wr_tran = idle and mst_write_req_next = '1' and (m_burstcount <= 1 or m_burstcount'length = 1) else
sof when wr_tran = idle and mst_write_req_next = '1' and m_burstcount'length > 1 else
eof when wr_tran = sof and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' and m_burstcount = 2 and m_burstcount'length > 1 else
tran when wr_tran = sof and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' and m_burstcount'length > 1 else
eof when wr_tran = tran and m_burstcounter <= 2 and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' and m_burstcount'length > 1 else
wait4cmplt when (wr_tran = eof or wr_tran = seof) and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' else
idle when wr_tran = wait4cmplt and mst_done = '1' else
wr_tran;
rd_tran <=
seof when Bus2MAC_DMA_MstRd_sof_n = '0' and Bus2MAC_DMA_MstRd_eof_n = '0' else
sof when Bus2MAC_DMA_MstRd_sof_n = '0' else
eof when Bus2MAC_DMA_MstRd_eof_n = '0' else
tran when Bus2MAC_DMA_MstRd_src_rdy_n = '0' else
idle;
--set write qualifiers
MAC_DMA2Bus_MstWr_sof_n <= '0' when wr_tran = sof or wr_tran = seof else '1';
MAC_DMA2Bus_MstWr_eof_n <= '0' when wr_tran = eof or wr_tran = seof else '1';
MAC_DMA2Bus_MstWr_src_rdy_n <= '0' when wr_tran /= idle and wr_tran /= wait4cmplt else '1';
MAC_DMA2Bus_MstWr_src_dsc_n <= '1'; --no support
MAC_DMA2Bus_MstWr_rem <= (others => '0'); --no support
--set read qualifiers
MAC_DMA2Bus_MstRd_dst_dsc_n <= '1'; --no support
--connect ipif with avalon
m_waitrequest <= --waitrequest if not ready or no write active
not m_write when Bus2MAC_DMA_MstWr_dst_rdy_n = '0' else
not m_read when mst_read_req = '1' and Bus2MAC_DMA_Mst_CmdAck = '1' else '1';
m_readdatavalid <= not Bus2MAC_DMA_MstRd_src_rdy_n;
MAC_DMA2Bus_MstWr_d <= m_writedata;
m_readdata <= Bus2MAC_DMA_MstRd_d;
end plb_master_handler;
| gpl-2.0 | c5a5730ba038a0f23c5424090a727561 | 0.622459 | 2.860563 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/FIR_ast.vhd | 1 | 9,939 | -- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.auk_dspip_lib_pkg_hpfir.all;
use work.auk_dspip_math_pkg_hpfir.all;
entity FIR_ast is
generic (
INWIDTH : integer := 13;
FULL_WIDTH : integer := 30;
BANKINWIDTH : integer := 3;
REM_LSB_BIT_g : integer := 0;
REM_LSB_TYPE_g : string := "Truncation";
REM_MSB_BIT_g : integer := 0;
REM_MSB_TYPE_g : string := "Truncation";
PHYSCHANIN : integer := 1;
PHYSCHANOUT : integer := 1;
CHANSPERPHYIN : natural := 1;
CHANSPERPHYOUT : natural := 1;
OUTPUTFIFODEPTH : integer := 8;
USE_PACKETS : integer := 0;
ENABLE_BACKPRESSURE : boolean := false;
LOG2_CHANSPERPHYOUT : natural := log2_ceil_one(1);
NUMCHANS : integer := 1;
DEVICE_FAMILY : string := "Cyclone II"
);
port(
clk : in std_logic;
reset_n : in std_logic;
ast_sink_ready : out std_logic;
ast_source_data : out std_logic_vector((FULL_WIDTH - REM_LSB_BIT_g - REM_MSB_BIT_g) * PHYSCHANOUT - 1 downto 0);
ast_sink_data : in std_logic_vector( (INWIDTH + BANKINWIDTH) * PHYSCHANIN - 1 downto 0);
ast_sink_valid : in std_logic;
ast_source_valid : out std_logic;
ast_source_ready : in std_logic;
ast_source_eop : out std_logic;
ast_source_sop : out std_logic;
ast_source_channel : out std_logic_vector (LOG2_CHANSPERPHYOUT - 1 downto 0);
ast_sink_eop : in std_logic;
ast_sink_sop : in std_logic;
ast_sink_error : in std_logic_vector (1 downto 0);
ast_source_error : out std_logic_vector (1 downto 0)
);
attribute altera_attribute : string;
attribute altera_attribute of FIR_ast:entity is "-name MESSAGE_DISABLE 15400; -name MESSAGE_DISABLE 14130; -name MESSAGE_DISABLE 12020; -name MESSAGE_DISABLE 12030; -name MESSAGE_DISABLE 12010; -name MESSAGE_DISABLE 12110; -name MESSAGE_DISABLE 14320; -name MESSAGE_DISABLE 13410; -name MESSAGE_DISABLE 10036";
end FIR_ast;
-- Warnings Suppression On
-- altera message_off 10036
architecture struct of FIR_ast is
constant OUTWIDTH : integer := FULL_WIDTH - REM_LSB_BIT_g - REM_MSB_BIT_g;
signal channel_out : std_logic_vector(LOG2_CHANSPERPHYOUT - 1 downto 0);
signal core_channel_out : std_logic_vector(2 -1 downto 0);
signal at_source_channel : std_logic_vector(2 -1 downto 0);
signal sink_packet_error : std_logic_vector(1 downto 0);
signal data_in : std_logic_vector((INWIDTH + BANKINWIDTH) * PHYSCHANIN - 1 downto 0);
signal data_valid : std_logic_vector(0 downto 0);
signal data_out : std_logic_vector(OUTWIDTH * PHYSCHANOUT -1 downto 0);
signal reset_fir : std_logic;
signal sink_ready_ctrl : std_logic;
signal source_packet_error : std_logic_vector(1 downto 0);
signal source_stall : std_logic;
signal source_valid_ctrl : std_logic;
signal stall : std_logic;
signal valid : std_logic;
signal core_valid : std_logic;
signal enable_in : std_logic_vector(0 downto 0);
signal outp_out : std_logic_vector(OUTWIDTH * PHYSCHANOUT - 1 downto 0);
signal outp_blk_valid : std_logic_vector(PHYSCHANOUT - 1 downto 0);
signal core_out : std_logic_vector(FULL_WIDTH * PHYSCHANOUT - 1 downto 0);
signal core_out_valid : std_logic_vector(0 downto 0);
signal core_out_channel : std_logic_vector(7 downto 0);
signal core_out_channel_0 : std_logic_vector(7 downto 0);
component FIR_rtl is
port (
xIn_v : in std_logic_vector(0 downto 0);
xIn_c : in std_logic_vector(7 downto 0);
xIn_0 : in std_logic_vector(13 - 1 downto 0);
bankIn_0 : in std_logic_vector(3 - 1 downto 0);
xOut_v : out std_logic_vector(0 downto 0);
xOut_c : out std_logic_vector(7 downto 0);
xOut_0 : out std_logic_vector(30 - 1 downto 0);
clk : in std_logic;
areset : in std_logic
);
end component FIR_rtl;
begin
sink : auk_dspip_avalon_streaming_sink_hpfir
generic map (
WIDTH_g => (INWIDTH + BANKINWIDTH) * PHYSCHANIN,
DATA_WIDTH => (INWIDTH + BANKINWIDTH),
DATA_PORT_COUNT => PHYSCHANIN,
PACKET_SIZE_g => CHANSPERPHYIN)
port map (
clk => clk,
reset_n => reset_n,
data => data_in,
data_valid => data_valid,
sink_ready_ctrl => sink_ready_ctrl,
packet_error => sink_packet_error,
at_sink_ready => ast_sink_ready,
at_sink_valid => ast_sink_valid,
at_sink_data => ast_sink_data,
at_sink_sop => ast_sink_sop,
at_sink_eop => ast_sink_eop,
at_sink_error => ast_sink_error);
source : auk_dspip_avalon_streaming_source_hpfir
generic map (
WIDTH_g => OUTWIDTH * PHYSCHANOUT,
DATA_WIDTH => OUTWIDTH,
DATA_PORT_COUNT => PHYSCHANOUT,
FIFO_DEPTH_g => OUTPUTFIFODEPTH,
USE_PACKETS => USE_PACKETS,
HAVE_COUNTER_g => false,
PACKET_SIZE_g => CHANSPERPHYOUT,
COUNTER_LIMIT_g => CHANSPERPHYOUT,
ENABLE_BACKPRESSURE_g => ENABLE_BACKPRESSURE)
port map (
clk => clk,
reset_n => reset_n,
data_in => data_out,
data_count => channel_out,
source_valid_ctrl => source_valid_ctrl,
source_stall => source_stall,
packet_error => source_packet_error,
at_source_ready => ast_source_ready,
at_source_valid => ast_source_valid,
at_source_data => ast_source_data,
at_source_channel => ast_source_channel,
at_source_sop => ast_source_sop,
at_source_eop => ast_source_eop,
at_source_error => ast_source_error);
intf_ctrl : auk_dspip_avalon_streaming_controller_hpfir
port map (
clk => clk,
reset_n => reset_n,
sink_packet_error => sink_packet_error,
source_stall => source_stall,
valid => valid,
reset_design => reset_fir,
sink_ready_ctrl => sink_ready_ctrl,
source_packet_error => source_packet_error,
source_valid_ctrl => source_valid_ctrl,
stall => stall);
hpfircore: FIR_rtl
port map (
xIn_v => data_valid,
xIn_c => "00000000",
xIn_0 => data_in((3 + 13) * 0 + 13 - 1 downto (3 + 13) * 0),
bankIn_0 => data_in((3 + 13) * 0 + (3 + 13) - 1 downto (3 + 13) * 0 + 13),
xOut_v => core_out_valid,
xOut_c => core_out_channel,
xOut_0 => core_out(30 * 0 + 30 - 1 downto 30 * 0),
clk => clk,
areset => reset_fir
);
gen_outp_blk : for i in PHYSCHANOUT-1 downto 0 generate
begin
outp_blk : auk_dspip_roundsat_hpfir
generic map (
IN_WIDTH_g => FULL_WIDTH ,
REM_LSB_BIT_g => REM_LSB_BIT_g ,
REM_LSB_TYPE_g => REM_LSB_TYPE_g ,
REM_MSB_BIT_g => REM_MSB_BIT_g ,
REM_MSB_TYPE_g => REM_MSB_TYPE_g
)
port map (
clk => clk,
reset_n => reset_n,
enable => core_out_valid(0),
datain => core_out(((i*FULL_WIDTH)+FULL_WIDTH-1) downto (i*FULL_WIDTH)),
valid => outp_blk_valid(i),
dataout => outp_out(((i*OUTWIDTH)+OUTWIDTH-1) downto (i*OUTWIDTH))
);
end generate gen_outp_blk;
multi_data_out: for m in PHYSCHANOUT-1 downto 0 generate
data_out(((m*OUTWIDTH)+OUTWIDTH-1) downto (m*OUTWIDTH)) <= outp_out(((m*OUTWIDTH)+OUTWIDTH-1) downto (m*OUTWIDTH));
end generate multi_data_out;
channel_pipe_lsb: if REM_LSB_TYPE_g = "Rounding" and REM_LSB_BIT_g > 0 generate
begin
out_lsb_p : process (clk, reset_n)
begin
if reset_n = '0' then
core_out_channel_0 <= (others => '0');
elsif rising_edge(clk) then
core_out_channel_0 <= core_out_channel;
end if;
end process out_lsb_p;
end generate channel_pipe_lsb;
channel_wire_lsb: if REM_LSB_TYPE_g = "Truncation" or REM_LSB_BIT_g = 0 generate
begin
core_out_channel_0 <= core_out_channel;
end generate channel_wire_lsb;
channel_pipe_msb: if REM_MSB_TYPE_g = "Saturating" and REM_MSB_BIT_g > 0 generate
begin
out_p : process (clk, reset_n)
begin
if reset_n = '0' then
channel_out <= (others => '0');
elsif rising_edge(clk) then
channel_out <= core_out_channel_0(LOG2_CHANSPERPHYOUT-1 downto 0);
end if;
end process out_p;
end generate channel_pipe_msb;
channel_wire_msb: if REM_MSB_TYPE_g = "Truncation" or REM_MSB_BIT_g = 0 generate
begin
channel_out <= core_out_channel_0(LOG2_CHANSPERPHYOUT-1 downto 0);
end generate channel_wire_msb;
valid <= outp_blk_valid(0);
enable_in(0) <= not stall;
end struct;
| gpl-2.0 | 2f4b5ef0f41119eddbcfcfec72c717e2 | 0.590301 | 3.368011 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/synth/memory_dp_48x4096.vhd | 1 | 14,189 | -- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2
-- IP Revision: 2
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY blk_mem_gen_v8_2;
USE blk_mem_gen_v8_2.blk_mem_gen_v8_2;
ENTITY memory_dp_48x4096 IS
PORT (
clka : IN STD_LOGIC;
ena : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(47 DOWNTO 0);
clkb : IN STD_LOGIC;
enb : IN STD_LOGIC;
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(47 DOWNTO 0)
);
END memory_dp_48x4096;
ARCHITECTURE memory_dp_48x4096_arch OF memory_dp_48x4096 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : string;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF memory_dp_48x4096_arch: ARCHITECTURE IS "yes";
COMPONENT blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING;
C_XDEVICEFAMILY : STRING;
C_ELABORATION_DIR : STRING;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_AXI_SLAVE_TYPE : INTEGER;
C_USE_BRAM_BLOCK : INTEGER;
C_ENABLE_32BIT_ADDRESS : INTEGER;
C_CTRL_ECC_ALGO : STRING;
C_HAS_AXI_ID : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_MEM_TYPE : INTEGER;
C_BYTE_SIZE : INTEGER;
C_ALGORITHM : INTEGER;
C_PRIM_TYPE : INTEGER;
C_LOAD_INIT_FILE : INTEGER;
C_INIT_FILE_NAME : STRING;
C_INIT_FILE : STRING;
C_USE_DEFAULT_DATA : INTEGER;
C_DEFAULT_DATA : STRING;
C_HAS_RSTA : INTEGER;
C_RST_PRIORITY_A : STRING;
C_RSTRAM_A : INTEGER;
C_INITA_VAL : STRING;
C_HAS_ENA : INTEGER;
C_HAS_REGCEA : INTEGER;
C_USE_BYTE_WEA : INTEGER;
C_WEA_WIDTH : INTEGER;
C_WRITE_MODE_A : STRING;
C_WRITE_WIDTH_A : INTEGER;
C_READ_WIDTH_A : INTEGER;
C_WRITE_DEPTH_A : INTEGER;
C_READ_DEPTH_A : INTEGER;
C_ADDRA_WIDTH : INTEGER;
C_HAS_RSTB : INTEGER;
C_RST_PRIORITY_B : STRING;
C_RSTRAM_B : INTEGER;
C_INITB_VAL : STRING;
C_HAS_ENB : INTEGER;
C_HAS_REGCEB : INTEGER;
C_USE_BYTE_WEB : INTEGER;
C_WEB_WIDTH : INTEGER;
C_WRITE_MODE_B : STRING;
C_WRITE_WIDTH_B : INTEGER;
C_READ_WIDTH_B : INTEGER;
C_WRITE_DEPTH_B : INTEGER;
C_READ_DEPTH_B : INTEGER;
C_ADDRB_WIDTH : INTEGER;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER;
C_MUX_PIPELINE_STAGES : INTEGER;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER;
C_USE_SOFTECC : INTEGER;
C_USE_ECC : INTEGER;
C_EN_ECC_PIPE : INTEGER;
C_HAS_INJECTERR : INTEGER;
C_SIM_COLLISION_CHECK : STRING;
C_COMMON_CLK : INTEGER;
C_DISABLE_WARN_BHV_COLL : INTEGER;
C_EN_SLEEP_PIN : INTEGER;
C_DISABLE_WARN_BHV_RANGE : INTEGER;
C_COUNT_36K_BRAM : STRING;
C_COUNT_18K_BRAM : STRING;
C_EST_POWER_SUMMARY : STRING
);
PORT (
clka : IN STD_LOGIC;
rsta : IN STD_LOGIC;
ena : IN STD_LOGIC;
regcea : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(47 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(47 DOWNTO 0);
clkb : IN STD_LOGIC;
rstb : IN STD_LOGIC;
enb : IN STD_LOGIC;
regceb : IN STD_LOGIC;
web : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
dinb : IN STD_LOGIC_VECTOR(47 DOWNTO 0);
doutb : OUT STD_LOGIC_VECTOR(47 DOWNTO 0);
injectsbiterr : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
eccpipece : IN STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
sleep : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(47 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(5 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(47 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_injectsbiterr : IN STD_LOGIC;
s_axi_injectdbiterr : IN STD_LOGIC;
s_axi_sbiterr : OUT STD_LOGIC;
s_axi_dbiterr : OUT STD_LOGIC;
s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(11 DOWNTO 0)
);
END COMPONENT blk_mem_gen_v8_2;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF memory_dp_48x4096_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2014.3.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF memory_dp_48x4096_arch : ARCHITECTURE IS "memory_dp_48x4096,blk_mem_gen_v8_2,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF memory_dp_48x4096_arch: ARCHITECTURE IS "memory_dp_48x4096,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2014.3.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=1,C_BYTE_SIZE=8,C_ALGORITHM=0,C_PRIM_TYPE=3,C_LOAD_INIT_FILE=0,C_INIT_FILE_NAME=no_coe_file_loaded,C_INIT_FILE=memory_dp_48x4096.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=6,C_WRITE_MODE_A=NO_CHANGE,C_WRITE_WIDTH_A=48,C_READ_WIDTH_A=48,C_WRITE_DEPTH_A=4096,C_READ_DEPTH_A=4096,C_ADDRA_WIDTH=12,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=1,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=6,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=48,C_READ_WIDTH_B=48,C_WRITE_DEPTH_B=4096,C_READ_DEPTH_B=4096,C_ADDRB_WIDTH=12,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=6,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 27.3621 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF ena: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF wea: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF dina: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF clkb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF enb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF addrb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF doutb: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : blk_mem_gen_v8_2
GENERIC MAP (
C_FAMILY => "zynq",
C_XDEVICEFAMILY => "zynq",
C_ELABORATION_DIR => "./",
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_AXI_SLAVE_TYPE => 0,
C_USE_BRAM_BLOCK => 0,
C_ENABLE_32BIT_ADDRESS => 0,
C_CTRL_ECC_ALGO => "NONE",
C_HAS_AXI_ID => 0,
C_AXI_ID_WIDTH => 4,
C_MEM_TYPE => 1,
C_BYTE_SIZE => 8,
C_ALGORITHM => 0,
C_PRIM_TYPE => 3,
C_LOAD_INIT_FILE => 0,
C_INIT_FILE_NAME => "no_coe_file_loaded",
C_INIT_FILE => "memory_dp_48x4096.mem",
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
C_RSTRAM_A => 0,
C_INITA_VAL => "0",
C_HAS_ENA => 1,
C_HAS_REGCEA => 0,
C_USE_BYTE_WEA => 1,
C_WEA_WIDTH => 6,
C_WRITE_MODE_A => "NO_CHANGE",
C_WRITE_WIDTH_A => 48,
C_READ_WIDTH_A => 48,
C_WRITE_DEPTH_A => 4096,
C_READ_DEPTH_A => 4096,
C_ADDRA_WIDTH => 12,
C_HAS_RSTB => 0,
C_RST_PRIORITY_B => "CE",
C_RSTRAM_B => 0,
C_INITB_VAL => "0",
C_HAS_ENB => 1,
C_HAS_REGCEB => 0,
C_USE_BYTE_WEB => 1,
C_WEB_WIDTH => 6,
C_WRITE_MODE_B => "WRITE_FIRST",
C_WRITE_WIDTH_B => 48,
C_READ_WIDTH_B => 48,
C_WRITE_DEPTH_B => 4096,
C_READ_DEPTH_B => 4096,
C_ADDRB_WIDTH => 12,
C_HAS_MEM_OUTPUT_REGS_A => 0,
C_HAS_MEM_OUTPUT_REGS_B => 0,
C_HAS_MUX_OUTPUT_REGS_A => 0,
C_HAS_MUX_OUTPUT_REGS_B => 0,
C_MUX_PIPELINE_STAGES => 0,
C_HAS_SOFTECC_INPUT_REGS_A => 0,
C_HAS_SOFTECC_OUTPUT_REGS_B => 0,
C_USE_SOFTECC => 0,
C_USE_ECC => 0,
C_EN_ECC_PIPE => 0,
C_HAS_INJECTERR => 0,
C_SIM_COLLISION_CHECK => "ALL",
C_COMMON_CLK => 0,
C_DISABLE_WARN_BHV_COLL => 0,
C_EN_SLEEP_PIN => 0,
C_DISABLE_WARN_BHV_RANGE => 0,
C_COUNT_36K_BRAM => "6",
C_COUNT_18K_BRAM => "0",
C_EST_POWER_SUMMARY => "Estimated Power for IP : 27.3621 mW"
)
PORT MAP (
clka => clka,
rsta => '0',
ena => ena,
regcea => '0',
wea => wea,
addra => addra,
dina => dina,
clkb => clkb,
rstb => '0',
enb => enb,
regceb => '0',
web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
addrb => addrb,
dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 48)),
doutb => doutb,
injectsbiterr => '0',
injectdbiterr => '0',
eccpipece => '0',
sleep => '0',
s_aclk => '0',
s_aresetn => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awvalid => '0',
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 48)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)),
s_axi_wlast => '0',
s_axi_wvalid => '0',
s_axi_bready => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arvalid => '0',
s_axi_rready => '0',
s_axi_injectsbiterr => '0',
s_axi_injectdbiterr => '0'
);
END memory_dp_48x4096_arch;
| gpl-3.0 | 8a6236feecd0305940f28268fa419773 | 0.633519 | 3.037029 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/rd_status_flags_sshft.vhd | 6 | 19,232 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12496)
`protect data_block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`protect end_protected
| gpl-3.0 | f58b3535a454110224aaa6e7943f065a | 0.938852 | 1.841969 | false | false | false | false |
dskntIndustry/Hardware | platform_specific/mimas/hdl/MimasTop.vhd | 1 | 1,115 | library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.ALL;
use IEEE.std_logic_unsigned.ALL;
library hdl_library_ClockGenerator;
use hdl_library_ClockGenerator.all;
entity MimasTop is
port
(
clock : in std_logic;
status_led : out std_logic;
top_clock_output : out std_logic
);
end entity; --MimasTop
architecture arch of MimasTop is
constant G_CLOCK_FREQUENCY : integer := 512;
constant G_BASE_FREQUENCY : integer := 12E6;
constant G_CLOCK_DIVIDER : integer := 10;
signal enable : std_logic := '1';
signal clock_output : std_logic := '0';
signal clock_output_n : std_logic := '0';
begin
status_led <= '1';
clock_generator : entity hdl_library_ClockGenerator.ClockGenerator
generic map
(
G_CLOCK_FREQUENCY => G_CLOCK_FREQUENCY,
G_CLOCK_DIVIDER => G_CLOCK_DIVIDER
)
port map
(
clock => clock,
enable => enable,
-- module clock output
clock_output => clock_output,
clock_output_n => clock_output_n
);
top_clock_output <= clock_output;
end architecture; -- arch
| gpl-3.0 | 047bbaac3aaa6e5ed756c6d859607c2d | 0.639462 | 2.934211 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_decoder.vhd | 8 | 24,873 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672)
`protect data_block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`protect end_protected
| gpl-3.0 | 5a8b34c31bce41b899ce7fd37ae54a6d | 0.942387 | 1.846822 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/SPI/SPI_MasterTB.vhd | 1 | 1,100 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity SPI_MasterTB is
end entity; --SPI_MasterTB
architecture tb of SPI_MasterTB is
constant G_CLOCK_FREQUENCY : integer := 100E6;
constant G_CLOCK_DIVIDER : integer := 100;
constant G_SPI_TRANSACTION_SIZE : integer := 32;
--declarations
signal clock : std_logic := '0';
signal clock_n : std_logic := '0';
signal enable : std_logic := '0';
signal clock_divider1 : integer := 1000;
signal clock_output1 : std_logic := '0';
begin
clock <= not clock after (1 sec / G_CLOCK_FREQUENCY) / 2;
clock_n <= not clock;
Test:process
begin
wait for 100 ns;
enable <= '1';
wait for 10 us;
enable <= '0';
wait for 1 ms;
end process; --Test
dut : entity work.SPI_Master
generic map
(
G_CLOCK_FREQUENCY => G_CLOCK_FREQUENCY,
G_SPI_FREQUENCY => G_SPI_FREQUENCY,
G_SPI_TRANSACTION_SIZE => G_SPI_TRANSACTION_SIZE
)
port map
(
clock => clock,
enable => enable
);
end architecture; -- tb | gpl-3.0 | 4262b95fc47b126360df154378dc61d7 | 0.626364 | 2.894737 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/RS232/Rs232 RefProj/SourceFiles/DataCntrl.vhd | 3 | 9,205 | -------------------------------------------------------------------------
-- main.vhd
-------------------------------------------------------------------------
-- Author: Dan Pederson
-- Copyright 2004 Digilent, Inc.
-------------------------------------------------------------------------
-- Description: This file tests the included UART component by
-- sending data in serial form through the UART to
-- change it to parallel form, and then sending the
-- resultant data back through the UART to determine if
-- the signal is corrupted or not. When the serial
-- information is converted into parallel information,
-- the data byte is displayed on the 8 LEDs on the
-- system board.
--
-- NOTE: Not all mapped signals are used in this test.
-- The signals were mapped to ease the modification of
-- test program.
-------------------------------------------------------------------------
-- Revision History:
-- 07/30/04 (DanP) Created
-- 05/26/05 (DanP) Modified for Pegasus board/Updated commenting style
-- 06/07/05 (DanP) LED scancode display added
-------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-------------------------------------------------------------------------
--
--Title: Main entity
--
--Inputs: 3 : RXD
-- CLK
-- RST
--
--Outputs: 1 : TXD
-- LEDS
--
--Description: This describes the main entity that tests the included
-- UART component. The LEDS signals are used to
-- display the data byte on the LEDs, so it is set equal to
-- the dbOutSig. Technically, the dbOutSig is the scan code
-- backwards, which explains why the LEDs are mapped
-- backwards to the dbOutSig.
--
-------------------------------------------------------------------------
entity DataCntrl is
Port ( TXD : out std_logic := '1';
RXD : in std_logic := '1';
CLK : in std_logic;
LEDS : out std_logic_vector(7 downto 0) := "11111111";
RST : in std_logic := '0');
end DataCntrl;
architecture Behavioral of DataCntrl is
-------------------------------------------------------------------------
-- Local Component, Type, and Signal declarations.
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--
--Title: Component Declarations
--
--Description: This component is the UART that is to be tested.
-- The UART code can be found in the included
-- RS232RefComp.vhd file.
--
-------------------------------------------------------------------------
component RS232RefComp
Port ( TXD : out std_logic := '1';
RXD : in std_logic;
CLK : in std_logic;
DBIN : in std_logic_vector (7 downto 0);
DBOUT : out std_logic_vector (7 downto 0);
RDA : inout std_logic;
TBE : inout std_logic := '1';
RD : in std_logic;
WR : in std_logic;
PE : out std_logic;
FE : out std_logic;
OE : out std_logic;
RST : in std_logic := '0');
end component;
-------------------------------------------------------------------------
--
--Title: Type Declarations
--
--Description: There is one state machine used in this program, called
-- the mainState state machine. This state machine controls
-- the flow of data around the UART; allowing for data to be
-- changed from serial to parallel, and then back to serial.
--
-------------------------------------------------------------------------
type mainState is (
stReceive,
stSend);
-------------------------------------------------------------------------
--
--Title: Local Signal Declarations
--
--Description: The signals used by this entity are described below:
--
-- -dbInSig : This signal is the parallel data input
-- for the UART
-- -dbOutSig : This signal is the parallel data output
-- for the UART
-- -rdaSig : This signal will get the RDA signal from
-- the UART
-- -tbeSig : This signal will get the TBE signal from
-- the UART
-- -rdSig : This signal is the RD signal for the UART
-- -wrSig : This signal is the WR signal for the UART
-- -peSig : This signal will get the PE signal from
-- the UART
-- -feSig : This signal will get the FE signal from
-- the UART
-- -oeSig : This signal will get the OE signal from
-- the UART
--
-- The following signals are used by the main state machine
-- for state control:
--
-- -stCur, stNext
--
-------------------------------------------------------------------------
signal dbInSig : std_logic_vector(7 downto 0);
signal dbOutSig : std_logic_vector(7 downto 0);
signal rdaSig : std_logic;
signal tbeSig : std_logic;
signal rdSig : std_logic;
signal wrSig : std_logic;
signal peSig : std_logic;
signal feSig : std_logic;
signal oeSig : std_logic;
signal stCur : mainState := stReceive;
signal stNext : mainState;
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
begin
------------------------------------------------------------------------
--
--Title: LED definitions
--
--Description: This series of definitions allows the scan code to be
-- displayed on the LEDs on the FPGA system board. Because the
-- dbOutSig is the scan code backwards, the LEDs must be
-- defined backwards from the dbOutSig.
--
------------------------------------------------------------------------
LEDS(7) <= dbOutSig(0);
LEDS(6) <= dbOutSig(1);
LEDS(5) <= dbOutSig(2);
LEDS(4) <= dbOutSig(3);
LEDS(3) <= dbOutSig(4);
LEDS(2) <= dbOutSig(5);
LEDS(1) <= dbOutSig(6);
LEDS(0) <= dbOutSig(7);
-------------------------------------------------------------------------
--
--Title: RS232RefComp map
--
--Description: This maps the signals and ports in main to the
-- RS232RefComp. The TXD, RXD, CLK, and RST of main are
-- directly tied to the TXD, RXD, CLK, and RST of the
-- RS232RefComp. The remaining RS232RefComp ports are
-- mapped to internal signals in main.
--
-------------------------------------------------------------------------
UART: RS232RefComp port map ( TXD => TXD,
RXD => RXD,
CLK => CLK,
DBIN => dbInSig,
DBOUT => dbOutSig,
RDA => rdaSig,
TBE => tbeSig,
RD => rdSig,
WR => wrSig,
PE => peSig,
FE => feSig,
OE => oeSig,
RST => RST);
-------------------------------------------------------------------------
--
--Title: Main State Machine controller
--
--Description: This process takes care of the Main state machine
-- movement. It causes the next state to be evaluated on
-- each rising edge of CLK. If the RST signal is strobed,
-- the state is changed to the default starting state, which
-- is stReceive.
--
-------------------------------------------------------------------------
process (CLK, RST)
begin
if (CLK = '1' and CLK'Event) then
if RST = '1' then
stCur <= stReceive;
else
stCur <= stNext;
end if;
end if;
end process;
-------------------------------------------------------------------------
--
--Title: Main State Machine
--
--Description: This process defines the next state logic for the Main
-- state machine. The main state machine controls the data
-- flow for this testing program in order to send and
-- receive data.
--
-------------------------------------------------------------------------
process (stCur, rdaSig, dboutsig)
begin
case stCur is
-------------------------------------------------------------------------
--
--Title: stReceive state
--
--Description: This state waits for the UART to receive data. While in
-- this state, the rdSig and wrSig are held low to keep the
-- UART from transmitting any data. Once the rdaSig is set
-- high, data has been received, and is safe to transmit. At
-- this time, the stSend state is loaded, and the dbOutSig
-- is copied to the dbInSig in order to transmit the newly
-- acquired parallel information.
--
-------------------------------------------------------------------------
when stReceive =>
rdSig <= '0';
wrSig <= '0';
if rdaSig = '1' then
dbInSig <= dbOutSig;
stNext <= stSend;
else
stNext <= stReceive;
end if;
-------------------------------------------------------------------------
--
--Title: stSend state
--
--Description: This state tells the UART to send the parallel
-- information found in dbInSig. It does this by strobing
-- both the rdSig and wrSig signals high. Once these
-- signals have been strobed high, the stReceive state is
-- loaded.
--
-------------------------------------------------------------------------
when stSend =>
rdSig <= '1';
wrSig <= '1';
stNext <= stReceive;
end case;
end process;
end Behavioral; | gpl-3.0 | a1788ea627c3ddbc7262e0b0d0e86a06 | 0.484954 | 3.84182 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_min_area_pkg.vhd | 8 | 20,310 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13296)
`protect data_block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`protect end_protected
| gpl-3.0 | 49002a8997fb5dead6bc6a3040b68c14 | 0.941654 | 1.854795 | false | false | false | false |
rflamino/StellaBlue | core/A2601Master.vhd | 1 | 7,663 | -- A2601 Top Level Entity (ROM stored in on-chip RAM)
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
--
-- This top level entity supports a single cartridge ROM stored in FPGA built-in
-- memory (such as Xilinx Spartan BlockRAM). To generate the required cart_rom
-- entity, use bin2vhdl.py found in the util directory.
--
-- For more information, see the A2601 Rev B Board Schematics and project
-- website at <http://retromaster.wordpress.org/a2601>.
-- 9 pin d-sub joystick pinout:
-- pin 1: up
-- pin 2: down
-- pin 3: left
-- pin 4: right
-- pin 6: fire
-- Atari 2600, 6532 ports:
-- PA0: right joystick, up
-- PA1: right joystick, down
-- PA2: right joystick, left
-- PA3: right joystick, right
-- PA4: left joystick, up
-- PA5: left joystick, down
-- PA6: left joystick, left
-- PA7: left joystick, right
-- PB0: start
-- PB1: select
-- PB3: B/W, color
-- PB6: left difficulty
-- PB7: right difficulty
-- Atari 2600, TIA input:
-- I5: right joystick, fire
-- I6: left joystick, fire
library std;
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity A2601Master is
port (vid_clk: in std_logic;
pa_in: in std_logic_vector(7 downto 0);
pb_in: in std_logic_vector(7 downto 0);
inpt0_in: in std_logic;
inpt1_in: in std_logic;
inpt2_in: in std_logic;
inpt3_in: in std_logic;
inpt4_in: in std_logic;
inpt5_in: in std_logic;
d_in: in std_logic_vector(7 downto 0);
a_out: out std_logic_vector(12 downto 0);
cv: out std_logic_vector(7 downto 0);
vsyn: out std_logic;
hsyn: out std_logic;
au: out std_logic_vector(4 downto 0);
dump_pin:out std_logic;
res: in std_logic);
end A2601Master;
architecture arch of A2601Master is
component A2601 is
port(vid_clk: in std_logic;
rst: in std_logic;
d: inout std_logic_vector(7 downto 0);
a: out std_logic_vector(12 downto 0);
r: out std_logic;
pa: inout std_logic_vector(7 downto 0);
pb: inout std_logic_vector(7 downto 0);
paddle_0: in std_logic_vector(7 downto 0);
paddle_1: in std_logic_vector(7 downto 0);
paddle_2: in std_logic_vector(7 downto 0);
paddle_3: in std_logic_vector(7 downto 0);
paddle_ena: in std_logic;
inpt4: in std_logic;
inpt5: in std_logic;
colu: out std_logic_vector(6 downto 0);
csyn: out std_logic;
vsyn: out std_logic;
hsyn: out std_logic;
rgbx2: out std_logic_vector(23 downto 0);
cv: out std_logic_vector(7 downto 0);
au0: out std_logic;
au1: out std_logic;
av0: out std_logic_vector(3 downto 0);
av1: out std_logic_vector(3 downto 0);
ph0_out: out std_logic;
ph1_out: out std_logic;
pal: in std_logic);
end component;
component debounce is
GENERIC(
NDELAY : INTEGER := 10000;
NBITS : INTEGER := 20
);
Port (
reset : in std_logic;
clk : in std_logic;
noisy : in std_logic;
clean : out std_logic
);
end component;
signal clk : std_logic;
signal noisy : std_logic;
signal clean : std_logic;
signal dbounce_pb: std_logic_vector(1 downto 0) := "00";
--signal vid_clk: std_logic;
signal cpu_d: std_logic_vector(7 downto 0);
--signal d: std_logic_vector(7 downto 0);
signal cpu_a: std_logic_vector(12 downto 0);
--signal a: std_logic_vector(12 downto 0);
signal cpu_r: std_logic;
signal pa: std_logic_vector(7 downto 0);
signal pb: std_logic_vector(7 downto 0);
signal paddle_0: std_logic_vector(7 downto 0);
signal paddle_1: std_logic_vector(7 downto 0);
signal paddle_2: std_logic_vector(7 downto 0);
signal paddle_3: std_logic_vector(7 downto 0);
signal inpt4: std_logic;
signal inpt5: std_logic;
signal colu: std_logic_vector(6 downto 0);
signal csyn: std_logic;
signal au0: std_logic;
signal au1: std_logic;
signal av0: std_logic_vector(3 downto 0);
signal av1: std_logic_vector(3 downto 0);
signal auv0: unsigned(4 downto 0);
signal auv1: unsigned(4 downto 0);
-- signal rst: std_logic;
signal rst: std_logic := '1';
signal sys_clk_dvdr: unsigned(4 downto 0) := "00000";
signal ph0: std_logic;
signal ph1: std_logic;
signal pal: std_logic := '0'; -- NTSC
begin
-- ms_A2601: A2601
-- port map(vid_clk, rst, cpu_d, cpu_a, cpu_r,pa, pb,paddle_0, paddle_1, paddle_2, paddle_3, paddle_ena,inpt4, inpt5, open, open, vsyn, hsyn, rgbx2, cv,au0, au1, av0, av1, ph0, ph1, pal);
ms_A2601: A2601
port map(vid_clk, rst, cpu_d, cpu_a, cpu_r,pa, pb,paddle_0, paddle_1, paddle_2, paddle_3, '0' ,inpt4, inpt5, open, open, vsyn, hsyn, open, cv,au0, au1, av0, av1, ph0, ph1, pal);
a_out <= cpu_a;
process(cpu_a,d_in)
begin
if (cpu_a(12) = '1') then
cpu_d <= d_in;
else
cpu_d <= "ZZZZZZZZ";
end if;
end process;
--dump_pin <= ph0;
-- inpt0 <= inpt0_in;
-- inpt1 <= inpt1_in;
-- inpt2 <= inpt2_in;
-- inpt3 <= inpt3_in;
inpt4 <= inpt4_in;
--inpt5 <= inpt5_in;
inpt5 <= '1';
-- Atari 2600, 6532 ports:
-- PA0: right joystick, up
-- PA1: right joystick, down
-- PA2: right joystick, left
-- PA3: right joystick, right
-- PA4: left joystick, up
-- PA5: left joystick, down
-- PA6: left joystick, left
-- PA7: left joystick, right
-- PB0: start
-- PB1: select
-- PB3: B/W, color
-- PB6: left difficulty
-- PB7: right difficulty
pa(7 downto 4) <= pa_in(7 downto 4); -- left joystick
pa(3 downto 0) <= "1111"; -- right joystick
pb(7 downto 6) <= pb_in(7 downto 6); -- PB6: left difficulty ; PB7: right difficulty
pb(5 downto 4) <= "00";
pb(3) <= pb_in(3); -- B/W
pb(2) <= '0';
-- pb(1) <= pb_in(1); -- select
-- pb(0) <= pb_in(0); -- start
ms_dbounce0: debounce port map( res,vid_clk ,pb_in(0),pb(0));
ms_dbounce1: debounce port map( res,vid_clk ,pb_in(1),pb(1));
auv0 <= ("0" & unsigned(av0)) when (au0 = '1') else "00000";
auv1 <= ("0" & unsigned(av1)) when (au1 = '1') else "00000";
au <= std_logic_vector(auv0 + auv1);
process(vid_clk, sys_clk_dvdr)
begin
if (vid_clk'event and vid_clk = '1') then
sys_clk_dvdr <= sys_clk_dvdr + 1;
if (sys_clk_dvdr = "11101") then
rst <= '0';
end if;
end if;
end process;
-- process(vid_clk, sys_clk_dvdr,res)
-- begin
-- if (vid_clk'event and vid_clk = '1' ) then
--
-- if (res = '1') then
-- rst <= '1';
-- else
-- sys_clk_dvdr <= sys_clk_dvdr + 1;
-- end if;
--
-- if (sys_clk_dvdr = "100") then
-- rst <= '0';
-- sys_clk_dvdr <= "000";
-- end if;
--
-- end if;
--
--
-- end process;
end arch;
| mit | f13f4dcc85898913e788df8c1786aa7b | 0.590239 | 2.925926 | false | false | false | false |
SebastianCallh/copter-modern | copter_modern_tb.vhd | 1 | 845 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity copter_modern_tb is
end copter_modern_tb;
architecture Behavioral of copter_modern_tb is
component copter_modern
Port (clk : in std_logic;
rst : in std_logic;
PS2KeyboardCLK : in std_logic;
PS2KeyboardData : in std_logic
);
end component;
-- Testsignaler
signal clk : std_logic;
signal rst : std_logic;
signal PS2KeyboardCLK : std_logic;
signal PS2KeyboardData : std_logic;
begin
main: copter_modern port map(clk => clk,
rst => rst,
PS2KeyboardCLK => PS2KeyboardCLK,
PS2KeyboardData => PS2KeyboardData);
-- Klocksignal 100MHz
clk <= not clk after 5 ns;
end;
| mit | 28bfffd3605db1e83be2b887dd4ed5a7 | 0.604734 | 3.626609 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/bin2bcd9.vhd | 4 | 1,877 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/24 23:21:40
-- Nombre del módulo: bin2bcd9 - Behavioral
-- Comentarios adicionales:
-- Este componente se encarga de transformar un número binario de nueve bits
-- a tres dígitos en código BCD.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity bin2bcd9 is
GENERIC(
NBITS : integer := 9; -- Cantidad de bits del número binario.
NSALIDA: integer := 11 -- Cantidad de bits de salida en formato BCD.
);
PORT(
num_bin: in STD_LOGIC_VECTOR(NBITS-1 downto 0);
num_bcd: out STD_LOGIC_VECTOR(NSALIDA-1 downto 0)
);
end bin2bcd9;
architecture Behavioral of bin2bcd9 is
begin
proceso_bcd: process(num_bin)
variable z: STD_LOGIC_VECTOR(NBITS+NSALIDA-1 downto 0);
begin
-- Inicialización de datos en cero.
z := (others => '0');
-- Se realizan los primeros tres corrimientos.
z(NBITS+2 downto 3) := num_bin;
-- Ciclo para las iteraciones restantes.
for i in 0 to NBITS-4 loop
-- Unidades (4 bits).
if z(NBITS+3 downto NBITS) > 4 then
z(NBITS+3 downto NBITS) := z(NBITS+3 downto NBITS) + 3;
end if;
-- Decenas (4 bits).
if z(NBITS+7 downto NBITS+4) > 4 then
z(NBITS+7 downto NBITS+4) := z(NBITS+7 downto NBITS+4) + 3;
end if;
-- Centenas (3 bits).
if z(NBITS+10 downto NBITS+8) > 4 then
z(NBITS+10 downto NBITS+8) := z(NBITS+10 downto NBITS+8) + 3;
end if;
-- Corrimiento a la izquierda.
z(NBITS+NSALIDA-1 downto 1) := z(NBITS+NSALIDA-2 downto 0);
end loop;
-- Pasando datos de variable Z, correspondiente a BCD.
num_bcd <= z(NBITS+NSALIDA-1 downto NBITS);
end process;
end Behavioral; | lgpl-2.1 | ed9478d593633cce1483bfe30aa327e3 | 0.607885 | 3.037217 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/loopback/led.vhd | 1 | 8,628 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity tope_rof512_uart is --Entidad
Port ( --17/05/08 cambio I tx_female : out std_logic; --Entidad
LED : out std_logic_vector(7 downto 0);
rx_female : in std_logic; --Entidad
RSTn : in std_logic; --Entidad
clk : in std_logic); --Entidad
end tope_rof512_uart; --Entidad
architecture Comportamiento of tope_rof512_uart is-----------------Arquitectura
--17/05/08 cambio I component uart_tx_plus --comp uart_tx_plus
--17/05/08 cambio I Port ( data_in : in std_logic_vector(7 downto 0);--comp uart_tx_plus
--17/05/08 cambio I write_buffer : in std_logic; --comp uart_tx_plus
--17/05/08 cambio I reset_buffer : in std_logic; --comp uart_tx_plus
--17/05/08 cambio I en_16_x_baud : in std_logic; --comp uart_tx_plus
--17/05/08 cambio I serial_out : out std_logic; --comp uart_tx_plus
--17/05/08 cambio I buffer_data_present : out std_logic; --comp uart_tx_plus
--17/05/08 cambio I buffer_full : out std_logic; --comp uart_tx_plus
--17/05/08 cambio I buffer_half_full : out std_logic; --comp uart_tx_plus
--17/05/08 cambio I clk : in std_logic); --comp uart_tx_plus
--17/05/08 cambio I end component;
component uart_rx --comp uart_rx
Port ( serial_in : in std_logic; --comp uart_rx
data_out : out std_logic_vector(7 downto 0); --comp uart_rx
read_buffer : in std_logic; --comp uart_rx
reset_buffer : in std_logic; --comp uart_rx
en_16_x_baud : in std_logic; --comp uart_rx
buffer_data_present : out std_logic; --comp uart_rx
buffer_full : out std_logic; --comp uart_rx
buffer_half_full : out std_logic; --comp uart_rx
clk : in std_logic); --comp uart_rx
end component; --comp uart_rx
signal cambio : std_logic :='0';
signal Dfilt : std_logic_vector(7 downto 0);
signal interrupt : std_logic :='0'; --señales
signal interrupt_ack : std_logic; --señales
--señales
--señales
signal baud_count : integer range 0 to 26 :=0; --señales
signal en_16_x_baud : std_logic; --señales
signal write_to_uart : std_logic; --señales
signal tx_data_present : std_logic; --señales
signal tx_full : std_logic; --señales
signal tx_half_full : std_logic; --señales
signal read_from_uart : std_logic :='0'; --señales
signal rx_data : std_logic_vector(7 downto 0); --señales
signal rx_data_present : std_logic; --señales
signal rx_full : std_logic; --señales
signal rx_half_full : std_logic; --señales
--señales
signal previous_rx_half_full : std_logic; --señales
signal rx_half_full_event : std_logic; --señales
begin --------------------------------------------- Comienzo de procesos y portmaps
interrupt_control: process(clk) --Control de transmisión
begin --Control de transmisión
if clk'event and clk='1' then --Control de transmisión
--Control de transmisión
-- detect change in state of the 'rx_half_full' flag. --Control de transmisión
previous_rx_half_full <= rx_half_full; --Control de transmisión
rx_half_full_event <= previous_rx_half_full xor rx_half_full;--Control de transmisión
--Control de transmisión
-- processor interrupt waits for an acknowledgement --Control de transmisión
if interrupt_ack='1' then --Control de transmisión
interrupt <= '0'; --Control de transmisión
elsif rx_half_full_event='1' then --Control de transmisión
interrupt <= '1'; --Control de transmisión
else --Control de transmisión
interrupt <= interrupt; --Control de transmisión
end if; --Control de transmisión
--Control de transmisión
end if; --Control de transmisión
end process interrupt_control; --Control de transmisión
--17/05/08 cambio I transmit: uart_tx_plus
--17/05/08 cambio I port map ( data_in => rx_data,
--17/05/08 cambio I write_buffer => rx_data_present,
--17/05/08 cambio I reset_buffer => '0',
--17/05/08 cambio I en_16_x_baud => en_16_x_baud,
--17/05/08 cambio I serial_out => tx_female,
--17/05/08 cambio I buffer_data_present => tx_data_present,--Pruebo: desconectado
--17/05/08 cambio I buffer_full => tx_full, --Pruebo: desconectado
--17/05/08 cambio I buffer_half_full => tx_half_full, --Pruebo: desconectado
--17/05/08 cambio I clk => clk );
--17/05/08 cambio I
receive: uart_rx
port map ( serial_in => rx_female,
data_out => rx_data,
read_buffer => read_from_uart, -- Atención:fijar read_from_uart (indica lectura en el macro)
reset_buffer => '0',
en_16_x_baud => en_16_x_baud,
buffer_data_present => rx_data_present,--Pruebo: desconectado
buffer_full => rx_full, --Pruebo: desconectado
buffer_half_full => rx_half_full, --Pruebo: desconectado
clk => clk );
LED(7) <= cambio;
LED(6) <= rx_data(6);
LED(5) <= rx_data(5);
LED(4) <= rx_data(4);
LED(3) <= rx_data(3);
LED(2) <= rx_data(2);
LED(1) <= rx_data(1);
LED(0) <= rx_data(0);
toggle: process(rx_data_present)
begin
if rx_data_present'event and rx_data_present='1' then
if cambio='0' then
cambio <= '1';
else
cambio <= '0';
end if;
else
end if;
end process toggle;
baud_timer: process(clk) --Generación de en_16_x_baud
begin --Generación de en_16_x_baud
if clk'event and clk='1' then --Generación de en_16_x_baud
if baud_count=26 then --Generación de en_16_x_baud
baud_count <= 0; --Generación de en_16_x_baud
en_16_x_baud <= '1'; --Generación de en_16_x_baud
else --Generación de en_16_x_baud
baud_count <= baud_count + 1; --Generación de en_16_x_baud
en_16_x_baud <= '0'; --Generación de en_16_x_baud
end if; --Generación de en_16_x_baud
end if; --Generación de en_16_x_baud
end process baud_timer; --Generación de en_16_x_baud
inicio: process(rx_female)
begin
if rx_female'event and rx_female='0' then
read_from_uart <= '1';
else
end if;
end process inicio;
end Comportamiento;
| gpl-3.0 | 1e18da2f666541bc1811f14992a76684 | 0.450278 | 4.138129 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/shft_ram.vhd | 6 | 17,157 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10960)
`protect data_block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`protect end_protected
| gpl-3.0 | 0d56633eb67b89b09e840b49dacfe676 | 0.937343 | 1.859233 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/builtin/logic_builtin.vhd | 6 | 30,579 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896)
`protect data_block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`protect end_protected
| gpl-3.0 | 5a563ae5800243c634a07eff5bcf085e | 0.947153 | 1.84333 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/siete_segmentos_mux.vhd | 4 | 2,535 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/07/30 12:15:56
-- Nombre del módulo: siete_segmentos_mux - Behavioral
-- Descripción:
-- Multiplexor (de frecuencia) para mostrar un valor diferente en cada uno de
-- los visualizadores de siete segmentos. Esto se logra activando solamente un
-- visualizador a la vez y mandar el dato correspondiente. Si la frecuencia es
-- mayor a 16Hz por visualizador, no habrá parpadeo perceptible.
-- Diseñado para Basys2 de Digilent.
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/multiplexores-siete-segmentos/
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity siete_segmentos_mux is
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
D0 : IN STD_LOGIC_VECTOR(5 downto 0); --Primer dígito.
D1 : IN STD_LOGIC_VECTOR(5 downto 0); --Segundo dígito.
D2 : IN STD_LOGIC_VECTOR(5 downto 0); --Tercer dígito.
D3 : IN STD_LOGIC_VECTOR(5 downto 0); --Cuarto dígito.
salida: OUT STD_LOGIC_VECTOR(5 downto 0); --Salida del multiplexor (valor a desplegar).
MUX : OUT STD_LOGIC_VECTOR(3 downto 0) --Valor que define cual dígito se va a mostrar.
);
end siete_segmentos_mux;
architecture Behavioral of siete_segmentos_mux is
type estados is (rst, v0, v1, v2, v3);
signal estado : estados;
begin
visualizadores: process (reset, clk) begin
if (reset = '1') then
estado <= rst;
MUX <= x"F";
salida <= "111111";
elsif rising_edge(clk) then
case estado is
when v0 =>
salida <= D3;
MUX <= "1110";
estado <= v1;
when v1 =>
salida <= D2;
MUX <= "1101";
estado <= v2;
when v2 =>
salida <= D1;
MUX <= "1011";
estado <= v3;
when others =>
salida <= D0;
MUX <= "0111";
estado <= v0;
end case;
end if;
end process;
end Behavioral; | lgpl-2.1 | c89211b5a2900893a5e32c04c51475bf | 0.511517 | 3.856049 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_async_103x32_funcsim.vhdl | 1 | 241,259 | -- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.3.1 (lin64) Build 1056140 Thu Oct 30 16:30:39 MDT 2014
-- Date : Wed Apr 8 23:17:41 2015
-- Host : parallella running 64-bit Ubuntu 14.04.2 LTS
-- Command : write_vhdl -force -mode funcsim
-- /home/aolofsson/Work_all/parallella-hw/fpga/ip/xilinx/fifo_async_103x32/fifo_async_103x32_funcsim.vhdl
-- Design : fifo_async_103x32
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z010clg400-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_dmem is
port (
Q : out STD_LOGIC_VECTOR ( 102 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
O2 : in STD_LOGIC_VECTOR ( 4 downto 0 );
O1 : in STD_LOGIC_VECTOR ( 4 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_dmem : entity is "dmem";
end fifo_async_103x32_dmem;
architecture STRUCTURE of fifo_async_103x32_dmem is
signal p_0_out : STD_LOGIC_VECTOR ( 102 downto 0 );
signal NLW_RAM_reg_0_31_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_102_102_DOA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 );
signal NLW_RAM_reg_0_31_102_102_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_102_102_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_102_102_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_60_65_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_66_71_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_72_77_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_78_83_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_84_89_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_90_95_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_RAM_reg_0_31_96_101_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
begin
RAM_reg_0_31_0_5: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(1 downto 0),
DIB(1 downto 0) => din(3 downto 2),
DIC(1 downto 0) => din(5 downto 4),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(1 downto 0),
DOB(1 downto 0) => p_0_out(3 downto 2),
DOC(1 downto 0) => p_0_out(5 downto 4),
DOD(1 downto 0) => NLW_RAM_reg_0_31_0_5_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_102_102: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1) => '0',
DIA(0) => din(102),
DIB(1) => '0',
DIB(0) => '0',
DIC(1) => '0',
DIC(0) => '0',
DID(1) => '0',
DID(0) => '0',
DOA(1) => NLW_RAM_reg_0_31_102_102_DOA_UNCONNECTED(1),
DOA(0) => p_0_out(102),
DOB(1 downto 0) => NLW_RAM_reg_0_31_102_102_DOB_UNCONNECTED(1 downto 0),
DOC(1 downto 0) => NLW_RAM_reg_0_31_102_102_DOC_UNCONNECTED(1 downto 0),
DOD(1 downto 0) => NLW_RAM_reg_0_31_102_102_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_12_17: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(13 downto 12),
DIB(1 downto 0) => din(15 downto 14),
DIC(1 downto 0) => din(17 downto 16),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(13 downto 12),
DOB(1 downto 0) => p_0_out(15 downto 14),
DOC(1 downto 0) => p_0_out(17 downto 16),
DOD(1 downto 0) => NLW_RAM_reg_0_31_12_17_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_18_23: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(19 downto 18),
DIB(1 downto 0) => din(21 downto 20),
DIC(1 downto 0) => din(23 downto 22),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(19 downto 18),
DOB(1 downto 0) => p_0_out(21 downto 20),
DOC(1 downto 0) => p_0_out(23 downto 22),
DOD(1 downto 0) => NLW_RAM_reg_0_31_18_23_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_24_29: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(25 downto 24),
DIB(1 downto 0) => din(27 downto 26),
DIC(1 downto 0) => din(29 downto 28),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(25 downto 24),
DOB(1 downto 0) => p_0_out(27 downto 26),
DOC(1 downto 0) => p_0_out(29 downto 28),
DOD(1 downto 0) => NLW_RAM_reg_0_31_24_29_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_30_35: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(31 downto 30),
DIB(1 downto 0) => din(33 downto 32),
DIC(1 downto 0) => din(35 downto 34),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(31 downto 30),
DOB(1 downto 0) => p_0_out(33 downto 32),
DOC(1 downto 0) => p_0_out(35 downto 34),
DOD(1 downto 0) => NLW_RAM_reg_0_31_30_35_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_36_41: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(37 downto 36),
DIB(1 downto 0) => din(39 downto 38),
DIC(1 downto 0) => din(41 downto 40),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(37 downto 36),
DOB(1 downto 0) => p_0_out(39 downto 38),
DOC(1 downto 0) => p_0_out(41 downto 40),
DOD(1 downto 0) => NLW_RAM_reg_0_31_36_41_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_42_47: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(43 downto 42),
DIB(1 downto 0) => din(45 downto 44),
DIC(1 downto 0) => din(47 downto 46),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(43 downto 42),
DOB(1 downto 0) => p_0_out(45 downto 44),
DOC(1 downto 0) => p_0_out(47 downto 46),
DOD(1 downto 0) => NLW_RAM_reg_0_31_42_47_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_48_53: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(49 downto 48),
DIB(1 downto 0) => din(51 downto 50),
DIC(1 downto 0) => din(53 downto 52),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(49 downto 48),
DOB(1 downto 0) => p_0_out(51 downto 50),
DOC(1 downto 0) => p_0_out(53 downto 52),
DOD(1 downto 0) => NLW_RAM_reg_0_31_48_53_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_54_59: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(55 downto 54),
DIB(1 downto 0) => din(57 downto 56),
DIC(1 downto 0) => din(59 downto 58),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(55 downto 54),
DOB(1 downto 0) => p_0_out(57 downto 56),
DOC(1 downto 0) => p_0_out(59 downto 58),
DOD(1 downto 0) => NLW_RAM_reg_0_31_54_59_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_60_65: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(61 downto 60),
DIB(1 downto 0) => din(63 downto 62),
DIC(1 downto 0) => din(65 downto 64),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(61 downto 60),
DOB(1 downto 0) => p_0_out(63 downto 62),
DOC(1 downto 0) => p_0_out(65 downto 64),
DOD(1 downto 0) => NLW_RAM_reg_0_31_60_65_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_66_71: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(67 downto 66),
DIB(1 downto 0) => din(69 downto 68),
DIC(1 downto 0) => din(71 downto 70),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(67 downto 66),
DOB(1 downto 0) => p_0_out(69 downto 68),
DOC(1 downto 0) => p_0_out(71 downto 70),
DOD(1 downto 0) => NLW_RAM_reg_0_31_66_71_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_6_11: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(7 downto 6),
DIB(1 downto 0) => din(9 downto 8),
DIC(1 downto 0) => din(11 downto 10),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(7 downto 6),
DOB(1 downto 0) => p_0_out(9 downto 8),
DOC(1 downto 0) => p_0_out(11 downto 10),
DOD(1 downto 0) => NLW_RAM_reg_0_31_6_11_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_72_77: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(73 downto 72),
DIB(1 downto 0) => din(75 downto 74),
DIC(1 downto 0) => din(77 downto 76),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(73 downto 72),
DOB(1 downto 0) => p_0_out(75 downto 74),
DOC(1 downto 0) => p_0_out(77 downto 76),
DOD(1 downto 0) => NLW_RAM_reg_0_31_72_77_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_78_83: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(79 downto 78),
DIB(1 downto 0) => din(81 downto 80),
DIC(1 downto 0) => din(83 downto 82),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(79 downto 78),
DOB(1 downto 0) => p_0_out(81 downto 80),
DOC(1 downto 0) => p_0_out(83 downto 82),
DOD(1 downto 0) => NLW_RAM_reg_0_31_78_83_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_84_89: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(85 downto 84),
DIB(1 downto 0) => din(87 downto 86),
DIC(1 downto 0) => din(89 downto 88),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(85 downto 84),
DOB(1 downto 0) => p_0_out(87 downto 86),
DOC(1 downto 0) => p_0_out(89 downto 88),
DOD(1 downto 0) => NLW_RAM_reg_0_31_84_89_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_90_95: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(91 downto 90),
DIB(1 downto 0) => din(93 downto 92),
DIC(1 downto 0) => din(95 downto 94),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(91 downto 90),
DOB(1 downto 0) => p_0_out(93 downto 92),
DOC(1 downto 0) => p_0_out(95 downto 94),
DOD(1 downto 0) => NLW_RAM_reg_0_31_90_95_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
RAM_reg_0_31_96_101: unisim.vcomponents.RAM32M
port map (
ADDRA(4 downto 0) => O2(4 downto 0),
ADDRB(4 downto 0) => O2(4 downto 0),
ADDRC(4 downto 0) => O2(4 downto 0),
ADDRD(4 downto 0) => O1(4 downto 0),
DIA(1 downto 0) => din(97 downto 96),
DIB(1 downto 0) => din(99 downto 98),
DIC(1 downto 0) => din(101 downto 100),
DID(1) => '0',
DID(0) => '0',
DOA(1 downto 0) => p_0_out(97 downto 96),
DOB(1 downto 0) => p_0_out(99 downto 98),
DOC(1 downto 0) => p_0_out(101 downto 100),
DOD(1 downto 0) => NLW_RAM_reg_0_31_96_101_DOD_UNCONNECTED(1 downto 0),
WCLK => wr_clk,
WE => I2(0)
);
\gpr1.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(0),
Q => Q(0)
);
\gpr1.dout_i_reg[100]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(100),
Q => Q(100)
);
\gpr1.dout_i_reg[101]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(101),
Q => Q(101)
);
\gpr1.dout_i_reg[102]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(102),
Q => Q(102)
);
\gpr1.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(10),
Q => Q(10)
);
\gpr1.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(11),
Q => Q(11)
);
\gpr1.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(12),
Q => Q(12)
);
\gpr1.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(13),
Q => Q(13)
);
\gpr1.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(14),
Q => Q(14)
);
\gpr1.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(15),
Q => Q(15)
);
\gpr1.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(16),
Q => Q(16)
);
\gpr1.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(17),
Q => Q(17)
);
\gpr1.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(18),
Q => Q(18)
);
\gpr1.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(19),
Q => Q(19)
);
\gpr1.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(1),
Q => Q(1)
);
\gpr1.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(20),
Q => Q(20)
);
\gpr1.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(21),
Q => Q(21)
);
\gpr1.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(22),
Q => Q(22)
);
\gpr1.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(23),
Q => Q(23)
);
\gpr1.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(24),
Q => Q(24)
);
\gpr1.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(25),
Q => Q(25)
);
\gpr1.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(26),
Q => Q(26)
);
\gpr1.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(27),
Q => Q(27)
);
\gpr1.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(28),
Q => Q(28)
);
\gpr1.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(29),
Q => Q(29)
);
\gpr1.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(2),
Q => Q(2)
);
\gpr1.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(30),
Q => Q(30)
);
\gpr1.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(31),
Q => Q(31)
);
\gpr1.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(32),
Q => Q(32)
);
\gpr1.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(33),
Q => Q(33)
);
\gpr1.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(34),
Q => Q(34)
);
\gpr1.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(35),
Q => Q(35)
);
\gpr1.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(36),
Q => Q(36)
);
\gpr1.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(37),
Q => Q(37)
);
\gpr1.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(38),
Q => Q(38)
);
\gpr1.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(39),
Q => Q(39)
);
\gpr1.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(3),
Q => Q(3)
);
\gpr1.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(40),
Q => Q(40)
);
\gpr1.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(41),
Q => Q(41)
);
\gpr1.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(42),
Q => Q(42)
);
\gpr1.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(43),
Q => Q(43)
);
\gpr1.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(44),
Q => Q(44)
);
\gpr1.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(45),
Q => Q(45)
);
\gpr1.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(46),
Q => Q(46)
);
\gpr1.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(47),
Q => Q(47)
);
\gpr1.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(48),
Q => Q(48)
);
\gpr1.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(49),
Q => Q(49)
);
\gpr1.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(4),
Q => Q(4)
);
\gpr1.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(50),
Q => Q(50)
);
\gpr1.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(51),
Q => Q(51)
);
\gpr1.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(52),
Q => Q(52)
);
\gpr1.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(53),
Q => Q(53)
);
\gpr1.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(54),
Q => Q(54)
);
\gpr1.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(55),
Q => Q(55)
);
\gpr1.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(56),
Q => Q(56)
);
\gpr1.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(57),
Q => Q(57)
);
\gpr1.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(58),
Q => Q(58)
);
\gpr1.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(59),
Q => Q(59)
);
\gpr1.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(5),
Q => Q(5)
);
\gpr1.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(60),
Q => Q(60)
);
\gpr1.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(61),
Q => Q(61)
);
\gpr1.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(62),
Q => Q(62)
);
\gpr1.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(63),
Q => Q(63)
);
\gpr1.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(64),
Q => Q(64)
);
\gpr1.dout_i_reg[65]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(65),
Q => Q(65)
);
\gpr1.dout_i_reg[66]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(66),
Q => Q(66)
);
\gpr1.dout_i_reg[67]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(67),
Q => Q(67)
);
\gpr1.dout_i_reg[68]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(68),
Q => Q(68)
);
\gpr1.dout_i_reg[69]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(69),
Q => Q(69)
);
\gpr1.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(6),
Q => Q(6)
);
\gpr1.dout_i_reg[70]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(70),
Q => Q(70)
);
\gpr1.dout_i_reg[71]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(71),
Q => Q(71)
);
\gpr1.dout_i_reg[72]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(72),
Q => Q(72)
);
\gpr1.dout_i_reg[73]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(73),
Q => Q(73)
);
\gpr1.dout_i_reg[74]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(74),
Q => Q(74)
);
\gpr1.dout_i_reg[75]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(75),
Q => Q(75)
);
\gpr1.dout_i_reg[76]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(76),
Q => Q(76)
);
\gpr1.dout_i_reg[77]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(77),
Q => Q(77)
);
\gpr1.dout_i_reg[78]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(78),
Q => Q(78)
);
\gpr1.dout_i_reg[79]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(79),
Q => Q(79)
);
\gpr1.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(7),
Q => Q(7)
);
\gpr1.dout_i_reg[80]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(80),
Q => Q(80)
);
\gpr1.dout_i_reg[81]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(81),
Q => Q(81)
);
\gpr1.dout_i_reg[82]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(82),
Q => Q(82)
);
\gpr1.dout_i_reg[83]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(83),
Q => Q(83)
);
\gpr1.dout_i_reg[84]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(84),
Q => Q(84)
);
\gpr1.dout_i_reg[85]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(85),
Q => Q(85)
);
\gpr1.dout_i_reg[86]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(86),
Q => Q(86)
);
\gpr1.dout_i_reg[87]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(87),
Q => Q(87)
);
\gpr1.dout_i_reg[88]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(88),
Q => Q(88)
);
\gpr1.dout_i_reg[89]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(89),
Q => Q(89)
);
\gpr1.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(8),
Q => Q(8)
);
\gpr1.dout_i_reg[90]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(90),
Q => Q(90)
);
\gpr1.dout_i_reg[91]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(91),
Q => Q(91)
);
\gpr1.dout_i_reg[92]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(92),
Q => Q(92)
);
\gpr1.dout_i_reg[93]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(93),
Q => Q(93)
);
\gpr1.dout_i_reg[94]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(94),
Q => Q(94)
);
\gpr1.dout_i_reg[95]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(95),
Q => Q(95)
);
\gpr1.dout_i_reg[96]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(96),
Q => Q(96)
);
\gpr1.dout_i_reg[97]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(97),
Q => Q(97)
);
\gpr1.dout_i_reg[98]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(98),
Q => Q(98)
);
\gpr1.dout_i_reg[99]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(99),
Q => Q(99)
);
\gpr1.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I1(0),
D => p_0_out(9),
Q => Q(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_rd_bin_cntr is
port (
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC;
D : out STD_LOGIC_VECTOR ( 3 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 4 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I2 : in STD_LOGIC;
I3 : in STD_LOGIC;
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_rd_bin_cntr : entity is "rd_bin_cntr";
end fifo_async_103x32_rd_bin_cntr;
architecture STRUCTURE of fifo_async_103x32_rd_bin_cntr is
signal \^o2\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal n_0_ram_empty_fb_i_i_2 : STD_LOGIC;
signal n_0_ram_empty_fb_i_i_4 : STD_LOGIC;
signal \plusOp__0\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 4 downto 1 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gc0.count[1]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair11";
attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of \gc0.count[4]_i_1\ : label is "soft_lutpair9";
attribute SOFT_HLUTNM of ram_empty_fb_i_i_4 : label is "soft_lutpair10";
attribute SOFT_HLUTNM of \rd_pntr_gc[1]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \rd_pntr_gc[2]_i_1\ : label is "soft_lutpair12";
attribute SOFT_HLUTNM of \rd_pntr_gc[3]_i_1\ : label is "soft_lutpair10";
begin
O2(4 downto 0) <= \^o2\(4 downto 0);
Q(0) <= \^q\(0);
\gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^q\(0),
O => \plusOp__0\(0)
);
\gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^q\(0),
I1 => rd_pntr_plus1(1),
O => \plusOp__0\(1)
);
\gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => rd_pntr_plus1(1),
I1 => \^q\(0),
I2 => rd_pntr_plus1(2),
O => \plusOp__0\(2)
);
\gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"7F80"
)
port map (
I0 => rd_pntr_plus1(2),
I1 => \^q\(0),
I2 => rd_pntr_plus1(1),
I3 => rd_pntr_plus1(3),
O => \plusOp__0\(3)
);
\gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"7FFF8000"
)
port map (
I0 => rd_pntr_plus1(3),
I1 => rd_pntr_plus1(1),
I2 => \^q\(0),
I3 => rd_pntr_plus1(2),
I4 => rd_pntr_plus1(4),
O => \plusOp__0\(4)
);
\gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => \^q\(0),
Q => \^o2\(0)
);
\gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => rd_pntr_plus1(1),
Q => \^o2\(1)
);
\gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => rd_pntr_plus1(2),
Q => \^o2\(2)
);
\gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => rd_pntr_plus1(3),
Q => \^o2\(3)
);
\gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => rd_pntr_plus1(4),
Q => \^o2\(4)
);
\gc0.count_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => E(0),
D => \plusOp__0\(0),
PRE => I4(0),
Q => \^q\(0)
);
\gc0.count_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => \plusOp__0\(1),
Q => rd_pntr_plus1(1)
);
\gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => \plusOp__0\(2),
Q => rd_pntr_plus1(2)
);
\gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => \plusOp__0\(3),
Q => rd_pntr_plus1(3)
);
\gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => E(0),
CLR => I4(0),
D => \plusOp__0\(4),
Q => rd_pntr_plus1(4)
);
ram_empty_fb_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"FFFF800880088008"
)
port map (
I0 => n_0_ram_empty_fb_i_i_2,
I1 => I2,
I2 => I1(3),
I3 => rd_pntr_plus1(4),
I4 => n_0_ram_empty_fb_i_i_4,
I5 => I3,
O => O1
);
ram_empty_fb_i_i_2: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => rd_pntr_plus1(2),
I1 => I1(1),
I2 => I1(0),
I3 => rd_pntr_plus1(1),
I4 => I1(2),
I5 => rd_pntr_plus1(3),
O => n_0_ram_empty_fb_i_i_2
);
ram_empty_fb_i_i_4: unisim.vcomponents.LUT4
generic map(
INIT => X"9009"
)
port map (
I0 => \^o2\(3),
I1 => I1(2),
I2 => \^o2\(4),
I3 => I1(3),
O => n_0_ram_empty_fb_i_i_4
);
\rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(0),
I1 => \^o2\(1),
O => D(0)
);
\rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(1),
I1 => \^o2\(2),
O => D(1)
);
\rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(2),
I1 => \^o2\(3),
O => D(2)
);
\rd_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o2\(3),
I1 => \^o2\(4),
O => D(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_rd_fwft is
port (
empty : out STD_LOGIC;
O1 : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O2 : out STD_LOGIC_VECTOR ( 0 to 0 );
O3 : out STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC_VECTOR ( 0 to 0 );
I2 : in STD_LOGIC_VECTOR ( 0 to 0 );
p_18_out : in STD_LOGIC;
rd_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_rd_fwft : entity is "rd_fwft";
end fifo_async_103x32_rd_fwft;
architecture STRUCTURE of fifo_async_103x32_rd_fwft is
signal curr_fwft_state : STD_LOGIC_VECTOR ( 0 to 0 );
signal empty_fwft_fb : STD_LOGIC;
signal empty_fwft_i0 : STD_LOGIC;
signal \n_0_gpregsm1.curr_fwft_state_reg[1]\ : STD_LOGIC;
signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of empty_fwft_fb_reg : label is "no";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of empty_fwft_i_i_1 : label is "soft_lutpair8";
attribute equivalent_register_removal of empty_fwft_i_reg : label is "no";
attribute SOFT_HLUTNM of \gc0.count_d1[4]_i_1\ : label is "soft_lutpair6";
attribute SOFT_HLUTNM of \goreg_dm.dout_i[102]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \gpr1.dout_i[102]_i_1\ : label is "soft_lutpair7";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[0]_i_1\ : label is "soft_lutpair8";
attribute SOFT_HLUTNM of \gpregsm1.curr_fwft_state[1]_i_1\ : label is "soft_lutpair6";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no";
attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no";
begin
empty_fwft_fb_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty_fwft_fb
);
empty_fwft_i_i_1: unisim.vcomponents.LUT4
generic map(
INIT => X"F540"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => empty_fwft_fb,
O => empty_fwft_i0
);
empty_fwft_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => empty_fwft_i0,
PRE => Q(0),
Q => empty
);
\gc0.count_d1[4]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => O2(0)
);
\goreg_dm.dout_i[102]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"D0"
)
port map (
I0 => curr_fwft_state(0),
I1 => rd_en,
I2 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
O => E(0)
);
\gpr1.dout_i[102]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"00DF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => O3(0)
);
\gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"AE"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => curr_fwft_state(0),
I2 => rd_en,
O => next_fwft_state(0)
);
\gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"20FF"
)
port map (
I0 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
I1 => rd_en,
I2 => curr_fwft_state(0),
I3 => p_18_out,
O => next_fwft_state(1)
);
\gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(0),
Q => curr_fwft_state(0)
);
\gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => Q(0),
D => next_fwft_state(1),
Q => \n_0_gpregsm1.curr_fwft_state_reg[1]\
);
ram_empty_fb_i_i_3: unisim.vcomponents.LUT6
generic map(
INIT => X"0909000909090909"
)
port map (
I0 => I1(0),
I1 => I2(0),
I2 => p_18_out,
I3 => curr_fwft_state(0),
I4 => rd_en,
I5 => \n_0_gpregsm1.curr_fwft_state_reg[1]\,
O => O1
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_rd_status_flags_as is
port (
p_18_out : out STD_LOGIC;
I1 : in STD_LOGIC;
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_rd_status_flags_as : entity is "rd_status_flags_as";
end fifo_async_103x32_rd_status_flags_as;
architecture STRUCTURE of fifo_async_103x32_rd_status_flags_as is
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no";
begin
ram_empty_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => I1,
PRE => Q(0),
Q => p_18_out
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_reset_blk_ramfifo is
port (
rst_d2 : out STD_LOGIC;
rst_full_gen_i : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 2 downto 0 );
O1 : out STD_LOGIC_VECTOR ( 1 downto 0 );
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
rd_clk : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_reset_blk_ramfifo : entity is "reset_blk_ramfifo";
end fifo_async_103x32_reset_blk_ramfifo;
architecture STRUCTURE of fifo_async_103x32_reset_blk_ramfifo is
signal \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\ : STD_LOGIC;
signal \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\ : STD_LOGIC;
signal rd_rst_asreg : STD_LOGIC;
signal rd_rst_asreg_d1 : STD_LOGIC;
signal rd_rst_asreg_d2 : STD_LOGIC;
signal rst_d1 : STD_LOGIC;
signal \^rst_d2\ : STD_LOGIC;
signal rst_d3 : STD_LOGIC;
signal wr_rst_asreg : STD_LOGIC;
signal wr_rst_asreg_d1 : STD_LOGIC;
signal wr_rst_asreg_d2 : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true";
attribute ASYNC_REG of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true;
attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\ : label is "true";
attribute ASYNC_REG of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is std.standard.true;
attribute msgon of \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\ : label is "true";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no";
attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no";
begin
rst_d2 <= \^rst_d2\;
\grstd1.grst_full.grst_f.RST_FULL_GEN_reg\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => rst,
D => rst_d3,
Q => rst_full_gen_i
);
\grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => rst,
Q => rst_d1
);
\grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => rst_d1,
PRE => rst,
Q => \^rst_d2\
);
\grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \^rst_d2\,
PRE => rst,
Q => rst_d3
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg,
Q => rd_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
D => rd_rst_asreg_d1,
Q => rd_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => rd_clk,
CE => rd_rst_asreg_d1,
D => '0',
PRE => rst,
Q => rd_rst_asreg
);
\ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => rd_rst_asreg,
I1 => rd_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(0)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(1)
);
\ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => rd_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\,
Q => Q(2)
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d1_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg,
Q => wr_rst_asreg_d1,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_d2_reg\: unisim.vcomponents.FDRE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
D => wr_rst_asreg_d1,
Q => wr_rst_asreg_d2,
R => '0'
);
\ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE
port map (
C => wr_clk,
CE => wr_rst_asreg_d1,
D => '0',
PRE => rst,
Q => wr_rst_asreg
);
\ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_rst_asreg,
I1 => wr_rst_asreg_d2,
O => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => O1(0)
);
\ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => '0',
PRE => \n_0_ngwrdrst.grst.g7serrst.wr_rst_reg[1]_i_1\,
Q => O1(1)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_synchronizer_ff is
port (
Q : out STD_LOGIC_VECTOR ( 4 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_synchronizer_ff : entity is "synchronizer_ff";
end fifo_async_103x32_synchronizer_ff;
architecture STRUCTURE of fifo_async_103x32_synchronizer_ff is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(3),
Q => Q(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(4),
Q => Q(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_synchronizer_ff_0 is
port (
Q : out STD_LOGIC_VECTOR ( 4 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_synchronizer_ff_0 : entity is "synchronizer_ff";
end fifo_async_103x32_synchronizer_ff_0;
architecture STRUCTURE of fifo_async_103x32_synchronizer_ff_0 is
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
begin
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(0),
Q => Q(0)
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(1),
Q => Q(1)
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(2),
Q => Q(2)
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(3),
Q => Q(3)
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I1(4),
Q => Q(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_synchronizer_ff_1 is
port (
p_0_in : out STD_LOGIC_VECTOR ( 4 downto 0 );
D : in STD_LOGIC_VECTOR ( 4 downto 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_synchronizer_ff_1 : entity is "synchronizer_ff";
end fifo_async_103x32_synchronizer_ff_1;
architecture STRUCTURE of fifo_async_103x32_synchronizer_ff_1 is
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[3]\ : STD_LOGIC;
signal \^p_0_in\ : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \wr_pntr_bin[0]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_bin[1]_i_1\ : label is "soft_lutpair0";
attribute SOFT_HLUTNM of \wr_pntr_bin[2]_i_1\ : label is "soft_lutpair1";
attribute SOFT_HLUTNM of \wr_pntr_bin[3]_i_1\ : label is "soft_lutpair1";
begin
p_0_in(4 downto 0) <= \^p_0_in\(4 downto 0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(3),
Q => \n_0_Q_reg_reg[3]\
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(4),
Q => \^p_0_in\(4)
);
\wr_pntr_bin[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[0]\,
I2 => \n_0_Q_reg_reg[1]\,
I3 => \^p_0_in\(4),
I4 => \n_0_Q_reg_reg[3]\,
O => \^p_0_in\(0)
);
\wr_pntr_bin[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \^p_0_in\(4),
I3 => \n_0_Q_reg_reg[3]\,
O => \^p_0_in\(1)
);
\wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[3]\,
I1 => \n_0_Q_reg_reg[2]\,
I2 => \^p_0_in\(4),
O => \^p_0_in\(2)
);
\wr_pntr_bin[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[3]\,
I1 => \^p_0_in\(4),
O => \^p_0_in\(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_synchronizer_ff_2 is
port (
Q : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 3 downto 0 );
D : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_synchronizer_ff_2 : entity is "synchronizer_ff";
end fifo_async_103x32_synchronizer_ff_2;
architecture STRUCTURE of fifo_async_103x32_synchronizer_ff_2 is
signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal \n_0_Q_reg_reg[0]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[1]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[2]\ : STD_LOGIC;
signal \n_0_Q_reg_reg[3]\ : STD_LOGIC;
attribute ASYNC_REG : boolean;
attribute ASYNC_REG of \Q_reg_reg[0]\ : label is std.standard.true;
attribute msgon : string;
attribute msgon of \Q_reg_reg[0]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[1]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[1]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[2]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[2]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[3]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[3]\ : label is "true";
attribute ASYNC_REG of \Q_reg_reg[4]\ : label is std.standard.true;
attribute msgon of \Q_reg_reg[4]\ : label is "true";
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \rd_pntr_bin[0]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rd_pntr_bin[1]_i_1\ : label is "soft_lutpair2";
attribute SOFT_HLUTNM of \rd_pntr_bin[2]_i_1\ : label is "soft_lutpair3";
attribute SOFT_HLUTNM of \rd_pntr_bin[3]_i_1\ : label is "soft_lutpair3";
begin
Q(0) <= \^q\(0);
\Q_reg_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(0),
Q => \n_0_Q_reg_reg[0]\
);
\Q_reg_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(1),
Q => \n_0_Q_reg_reg[1]\
);
\Q_reg_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(2),
Q => \n_0_Q_reg_reg[2]\
);
\Q_reg_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(3),
Q => \n_0_Q_reg_reg[3]\
);
\Q_reg_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => D(4),
Q => \^q\(0)
);
\rd_pntr_bin[0]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"96696996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[0]\,
I2 => \n_0_Q_reg_reg[1]\,
I3 => \^q\(0),
I4 => \n_0_Q_reg_reg[3]\,
O => O1(0)
);
\rd_pntr_bin[1]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6996"
)
port map (
I0 => \n_0_Q_reg_reg[2]\,
I1 => \n_0_Q_reg_reg[1]\,
I2 => \^q\(0),
I3 => \n_0_Q_reg_reg[3]\,
O => O1(1)
);
\rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"96"
)
port map (
I0 => \n_0_Q_reg_reg[3]\,
I1 => \n_0_Q_reg_reg[2]\,
I2 => \^q\(0),
O => O1(2)
);
\rd_pntr_bin[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \n_0_Q_reg_reg[3]\,
I1 => \^q\(0),
O => O1(3)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_wr_bin_cntr is
port (
O1 : out STD_LOGIC;
O2 : out STD_LOGIC_VECTOR ( 1 downto 0 );
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
S : out STD_LOGIC_VECTOR ( 2 downto 0 );
O4 : out STD_LOGIC_VECTOR ( 3 downto 0 );
O5 : out STD_LOGIC_VECTOR ( 4 downto 0 );
rst_full_gen_i : in STD_LOGIC;
I1 : in STD_LOGIC;
I2 : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 4 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
I3 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_wr_bin_cntr : entity is "wr_bin_cntr";
end fifo_async_103x32_wr_bin_cntr;
architecture STRUCTURE of fifo_async_103x32_wr_bin_cntr is
signal \^o4\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 );
signal n_0_ram_full_i_i_2 : STD_LOGIC;
signal n_0_ram_full_i_i_5 : STD_LOGIC;
signal p_8_out : STD_LOGIC_VECTOR ( 4 to 4 );
signal \plusOp__1\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \gic0.gc0.count[0]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair14";
attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair13";
attribute SOFT_HLUTNM of \gic0.gc0.count[4]_i_1\ : label is "soft_lutpair13";
begin
O4(3 downto 0) <= \^o4\(3 downto 0);
Q(3 downto 0) <= \^q\(3 downto 0);
\gdiff.diff_pntr_pad[3]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^q\(2),
I1 => O3(2),
O => S(2)
);
\gdiff.diff_pntr_pad[3]_i_4\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^q\(1),
I1 => O3(1),
O => S(1)
);
\gdiff.diff_pntr_pad[3]_i_5\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^q\(0),
I1 => O3(0),
O => S(0)
);
\gdiff.diff_pntr_pad[5]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => p_8_out(4),
I1 => O3(4),
O => O2(1)
);
\gdiff.diff_pntr_pad[5]_i_3\: unisim.vcomponents.LUT2
generic map(
INIT => X"9"
)
port map (
I0 => \^q\(3),
I1 => O3(3),
O => O2(0)
);
\gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1
generic map(
INIT => X"1"
)
port map (
I0 => \^o4\(0),
O => \plusOp__1\(0)
);
\gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => \^o4\(0),
I1 => \^o4\(1),
O => \plusOp__1\(1)
);
\gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3
generic map(
INIT => X"78"
)
port map (
I0 => \^o4\(0),
I1 => \^o4\(1),
I2 => \^o4\(2),
O => \plusOp__1\(2)
);
\gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"6AAA"
)
port map (
I0 => wr_pntr_plus2(3),
I1 => \^o4\(0),
I2 => \^o4\(1),
I3 => \^o4\(2),
O => \plusOp__1\(3)
);
\gic0.gc0.count[4]_i_1\: unisim.vcomponents.LUT5
generic map(
INIT => X"6AAAAAAA"
)
port map (
I0 => \^o4\(3),
I1 => \^o4\(2),
I2 => \^o4\(1),
I3 => \^o4\(0),
I4 => wr_pntr_plus2(3),
O => \plusOp__1\(4)
);
\gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \^o4\(0),
PRE => I3(0),
Q => \^q\(0)
);
\gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o4\(1),
Q => \^q\(1)
);
\gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o4\(2),
Q => \^q\(2)
);
\gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => wr_pntr_plus2(3),
Q => \^q\(3)
);
\gic0.gc0.count_d1_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^o4\(3),
Q => p_8_out(4)
);
\gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(0),
Q => O5(0)
);
\gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(1),
Q => O5(1)
);
\gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(2),
Q => O5(2)
);
\gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \^q\(3),
Q => O5(3)
);
\gic0.gc0.count_d2_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => p_8_out(4),
Q => O5(4)
);
\gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(0),
Q => \^o4\(0)
);
\gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => E(0),
D => \plusOp__1\(1),
PRE => I3(0),
Q => \^o4\(1)
);
\gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(2),
Q => \^o4\(2)
);
\gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(3),
Q => wr_pntr_plus2(3)
);
\gic0.gc0.count_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => E(0),
CLR => I3(0),
D => \plusOp__1\(4),
Q => \^o4\(3)
);
ram_full_i_i_1: unisim.vcomponents.LUT6
generic map(
INIT => X"4445444444444445"
)
port map (
I0 => rst_full_gen_i,
I1 => n_0_ram_full_i_i_2,
I2 => I1,
I3 => I2,
I4 => wr_pntr_plus2(3),
I5 => O3(3),
O => O1
);
ram_full_i_i_2: unisim.vcomponents.LUT5
generic map(
INIT => X"00009009"
)
port map (
I0 => \^q\(2),
I1 => O3(2),
I2 => \^q\(3),
I3 => O3(3),
I4 => n_0_ram_full_i_i_5,
O => n_0_ram_full_i_i_2
);
ram_full_i_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"6FF6FFFFFFFF6FF6"
)
port map (
I0 => \^q\(1),
I1 => O3(1),
I2 => \^q\(0),
I3 => O3(0),
I4 => O3(4),
I5 => p_8_out(4),
O => n_0_ram_full_i_i_5
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_wr_pf_as is
port (
prog_full : out STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
p_1_out : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_pntr_plus1_pad : in STD_LOGIC_VECTOR ( 4 downto 0 );
S : in STD_LOGIC_VECTOR ( 2 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 1 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_wr_pf_as : entity is "wr_pf_as";
end fifo_async_103x32_wr_pf_as;
architecture STRUCTURE of fifo_async_103x32_wr_pf_as is
signal diff_pntr : STD_LOGIC_VECTOR ( 4 downto 1 );
signal \n_0_gdiff.diff_pntr_pad_reg[3]_i_1\ : STD_LOGIC;
signal \n_0_gpf1.prog_full_i_i_1\ : STD_LOGIC;
signal \n_0_gpf1.prog_full_i_i_2\ : STD_LOGIC;
signal \n_1_gdiff.diff_pntr_pad_reg[3]_i_1\ : STD_LOGIC;
signal \n_2_gdiff.diff_pntr_pad_reg[3]_i_1\ : STD_LOGIC;
signal \n_3_gdiff.diff_pntr_pad_reg[3]_i_1\ : STD_LOGIC;
signal \n_3_gdiff.diff_pntr_pad_reg[5]_i_1\ : STD_LOGIC;
signal plusOp : STD_LOGIC_VECTOR ( 5 downto 0 );
signal \^prog_full\ : STD_LOGIC;
signal \NLW_gdiff.diff_pntr_pad_reg[5]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 );
signal \NLW_gdiff.diff_pntr_pad_reg[5]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 );
begin
prog_full <= \^prog_full\;
\gdiff.diff_pntr_pad_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => plusOp(2),
Q => diff_pntr(1)
);
\gdiff.diff_pntr_pad_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => plusOp(3),
Q => diff_pntr(2)
);
\gdiff.diff_pntr_pad_reg[3]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => '0',
CO(3) => \n_0_gdiff.diff_pntr_pad_reg[3]_i_1\,
CO(2) => \n_1_gdiff.diff_pntr_pad_reg[3]_i_1\,
CO(1) => \n_2_gdiff.diff_pntr_pad_reg[3]_i_1\,
CO(0) => \n_3_gdiff.diff_pntr_pad_reg[3]_i_1\,
CYINIT => '0',
DI(3 downto 0) => wr_pntr_plus1_pad(3 downto 0),
O(3 downto 0) => plusOp(3 downto 0),
S(3 downto 1) => S(2 downto 0),
S(0) => '0'
);
\gdiff.diff_pntr_pad_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => plusOp(4),
Q => diff_pntr(3)
);
\gdiff.diff_pntr_pad_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I2(0),
D => plusOp(5),
Q => diff_pntr(4)
);
\gdiff.diff_pntr_pad_reg[5]_i_1\: unisim.vcomponents.CARRY4
port map (
CI => \n_0_gdiff.diff_pntr_pad_reg[3]_i_1\,
CO(3 downto 1) => \NLW_gdiff.diff_pntr_pad_reg[5]_i_1_CO_UNCONNECTED\(3 downto 1),
CO(0) => \n_3_gdiff.diff_pntr_pad_reg[5]_i_1\,
CYINIT => '0',
DI(3) => '0',
DI(2) => '0',
DI(1) => '0',
DI(0) => wr_pntr_plus1_pad(4),
O(3 downto 2) => \NLW_gdiff.diff_pntr_pad_reg[5]_i_1_O_UNCONNECTED\(3 downto 2),
O(1 downto 0) => plusOp(5 downto 4),
S(3) => '0',
S(2) => '0',
S(1 downto 0) => I1(1 downto 0)
);
\gpf1.prog_full_i_i_1\: unisim.vcomponents.LUT4
generic map(
INIT => X"BA8A"
)
port map (
I0 => \n_0_gpf1.prog_full_i_i_2\,
I1 => rst_full_gen_i,
I2 => p_1_out,
I3 => \^prog_full\,
O => \n_0_gpf1.prog_full_i_i_1\
);
\gpf1.prog_full_i_i_2\: unisim.vcomponents.LUT5
generic map(
INIT => X"54444444"
)
port map (
I0 => rst_full_gen_i,
I1 => diff_pntr(4),
I2 => diff_pntr(3),
I3 => diff_pntr(1),
I4 => diff_pntr(2),
O => \n_0_gpf1.prog_full_i_i_2\
);
\gpf1.prog_full_i_reg\: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => \n_0_gpf1.prog_full_i_i_1\,
PRE => rst_d2,
Q => \^prog_full\
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_wr_status_flags_as is
port (
full : out STD_LOGIC;
p_1_out : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC;
wr_pntr_plus1_pad : out STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_wr_status_flags_as : entity is "wr_status_flags_as";
end fifo_async_103x32_wr_status_flags_as;
architecture STRUCTURE of fifo_async_103x32_wr_status_flags_as is
signal \^p_1_out\ : STD_LOGIC;
attribute equivalent_register_removal : string;
attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no";
attribute equivalent_register_removal of ram_full_i_reg : label is "no";
begin
p_1_out <= \^p_1_out\;
\gdiff.diff_pntr_pad[3]_i_2\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => \^p_1_out\,
O => wr_pntr_plus1_pad(0)
);
\gic0.gc0.count_d1[4]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"2"
)
port map (
I0 => wr_en,
I1 => \^p_1_out\,
O => E(0)
);
ram_full_fb_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => I1,
PRE => rst_d2,
Q => \^p_1_out\
);
ram_full_i_i_3: unisim.vcomponents.LUT2
generic map(
INIT => X"B"
)
port map (
I0 => \^p_1_out\,
I1 => wr_en,
O => O1
);
ram_full_i_reg: unisim.vcomponents.FDPE
generic map(
INIT => '1'
)
port map (
C => wr_clk,
CE => '1',
D => I1,
PRE => rst_d2,
Q => full
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_clk_x_pntrs is
port (
O1 : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 4 downto 0 );
O2 : out STD_LOGIC;
O3 : out STD_LOGIC_VECTOR ( 4 downto 0 );
I1 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I2 : in STD_LOGIC_VECTOR ( 3 downto 0 );
I3 : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_clk : in STD_LOGIC;
I4 : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
I5 : in STD_LOGIC_VECTOR ( 0 to 0 );
D : in STD_LOGIC_VECTOR ( 3 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_clk_x_pntrs : entity is "clk_x_pntrs";
end fifo_async_103x32_clk_x_pntrs;
architecture STRUCTURE of fifo_async_103x32_clk_x_pntrs is
signal \^o3\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \^q\ : STD_LOGIC_VECTOR ( 4 downto 0 );
signal \n_0_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_0_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_0_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal n_0_ram_full_i_i_6 : STD_LOGIC;
signal \n_1_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_1_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_2_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_3_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal \n_4_gsync_stage[1].rd_stg_inst\ : STD_LOGIC;
signal \n_4_gsync_stage[1].wr_stg_inst\ : STD_LOGIC;
signal \n_4_gsync_stage[2].wr_stg_inst\ : STD_LOGIC;
signal p_0_in : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_0_in3_out : STD_LOGIC_VECTOR ( 3 downto 0 );
signal rd_pntr_gc : STD_LOGIC_VECTOR ( 4 downto 0 );
signal wr_pntr_gc : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute SOFT_HLUTNM : string;
attribute SOFT_HLUTNM of \wr_pntr_gc[0]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \wr_pntr_gc[1]_i_1\ : label is "soft_lutpair4";
attribute SOFT_HLUTNM of \wr_pntr_gc[2]_i_1\ : label is "soft_lutpair5";
attribute SOFT_HLUTNM of \wr_pntr_gc[3]_i_1\ : label is "soft_lutpair5";
begin
O3(4 downto 0) <= \^o3\(4 downto 0);
Q(4 downto 0) <= \^q\(4 downto 0);
\gsync_stage[1].rd_stg_inst\: entity work.fifo_async_103x32_synchronizer_ff
port map (
I1(4 downto 0) => wr_pntr_gc(4 downto 0),
I5(0) => I5(0),
Q(4) => \n_0_gsync_stage[1].rd_stg_inst\,
Q(3) => \n_1_gsync_stage[1].rd_stg_inst\,
Q(2) => \n_2_gsync_stage[1].rd_stg_inst\,
Q(1) => \n_3_gsync_stage[1].rd_stg_inst\,
Q(0) => \n_4_gsync_stage[1].rd_stg_inst\,
rd_clk => rd_clk
);
\gsync_stage[1].wr_stg_inst\: entity work.fifo_async_103x32_synchronizer_ff_0
port map (
I1(4 downto 0) => rd_pntr_gc(4 downto 0),
I4(0) => I4(0),
Q(4) => \n_0_gsync_stage[1].wr_stg_inst\,
Q(3) => \n_1_gsync_stage[1].wr_stg_inst\,
Q(2) => \n_2_gsync_stage[1].wr_stg_inst\,
Q(1) => \n_3_gsync_stage[1].wr_stg_inst\,
Q(0) => \n_4_gsync_stage[1].wr_stg_inst\,
wr_clk => wr_clk
);
\gsync_stage[2].rd_stg_inst\: entity work.fifo_async_103x32_synchronizer_ff_1
port map (
D(4) => \n_0_gsync_stage[1].rd_stg_inst\,
D(3) => \n_1_gsync_stage[1].rd_stg_inst\,
D(2) => \n_2_gsync_stage[1].rd_stg_inst\,
D(1) => \n_3_gsync_stage[1].rd_stg_inst\,
D(0) => \n_4_gsync_stage[1].rd_stg_inst\,
I5(0) => I5(0),
p_0_in(4 downto 0) => p_0_in(4 downto 0),
rd_clk => rd_clk
);
\gsync_stage[2].wr_stg_inst\: entity work.fifo_async_103x32_synchronizer_ff_2
port map (
D(4) => \n_0_gsync_stage[1].wr_stg_inst\,
D(3) => \n_1_gsync_stage[1].wr_stg_inst\,
D(2) => \n_2_gsync_stage[1].wr_stg_inst\,
D(1) => \n_3_gsync_stage[1].wr_stg_inst\,
D(0) => \n_4_gsync_stage[1].wr_stg_inst\,
I4(0) => I4(0),
O1(3) => \n_1_gsync_stage[2].wr_stg_inst\,
O1(2) => \n_2_gsync_stage[2].wr_stg_inst\,
O1(1) => \n_3_gsync_stage[2].wr_stg_inst\,
O1(0) => \n_4_gsync_stage[2].wr_stg_inst\,
Q(0) => \n_0_gsync_stage[2].wr_stg_inst\,
wr_clk => wr_clk
);
ram_empty_fb_i_i_5: unisim.vcomponents.LUT6
generic map(
INIT => X"9009000000009009"
)
port map (
I0 => \^q\(2),
I1 => I1(2),
I2 => \^q\(1),
I3 => I1(1),
I4 => I1(0),
I5 => \^q\(0),
O => O1
);
ram_full_i_i_4: unisim.vcomponents.LUT5
generic map(
INIT => X"FFFF6FF6"
)
port map (
I0 => I2(0),
I1 => \^o3\(0),
I2 => I2(1),
I3 => \^o3\(1),
I4 => n_0_ram_full_i_i_6,
O => O2
);
ram_full_i_i_6: unisim.vcomponents.LUT4
generic map(
INIT => X"6FF6"
)
port map (
I0 => \^o3\(2),
I1 => I2(2),
I2 => \^o3\(4),
I3 => I2(3),
O => n_0_ram_full_i_i_6
);
\rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_4_gsync_stage[2].wr_stg_inst\,
Q => \^o3\(0)
);
\rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_3_gsync_stage[2].wr_stg_inst\,
Q => \^o3\(1)
);
\rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_2_gsync_stage[2].wr_stg_inst\,
Q => \^o3\(2)
);
\rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_1_gsync_stage[2].wr_stg_inst\,
Q => \^o3\(3)
);
\rd_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => \n_0_gsync_stage[2].wr_stg_inst\,
Q => \^o3\(4)
);
\rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(0),
Q => rd_pntr_gc(0)
);
\rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(1),
Q => rd_pntr_gc(1)
);
\rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(2),
Q => rd_pntr_gc(2)
);
\rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => D(3),
Q => rd_pntr_gc(3)
);
\rd_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => I1(3),
Q => rd_pntr_gc(4)
);
\wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(0),
Q => \^q\(0)
);
\wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(1),
Q => \^q\(1)
);
\wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(2),
Q => \^q\(2)
);
\wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(3),
Q => \^q\(3)
);
\wr_pntr_bin_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => '1',
CLR => I5(0),
D => p_0_in(4),
Q => \^q\(4)
);
\wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(0),
I1 => I3(1),
O => p_0_in3_out(0)
);
\wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(1),
I1 => I3(2),
O => p_0_in3_out(1)
);
\wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(2),
I1 => I3(3),
O => p_0_in3_out(2)
);
\wr_pntr_gc[3]_i_1\: unisim.vcomponents.LUT2
generic map(
INIT => X"6"
)
port map (
I0 => I3(3),
I1 => I3(4),
O => p_0_in3_out(3)
);
\wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in3_out(0),
Q => wr_pntr_gc(0)
);
\wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in3_out(1),
Q => wr_pntr_gc(1)
);
\wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in3_out(2),
Q => wr_pntr_gc(2)
);
\wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => p_0_in3_out(3),
Q => wr_pntr_gc(3)
);
\wr_pntr_gc_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => wr_clk,
CE => '1',
CLR => I4(0),
D => I3(4),
Q => wr_pntr_gc(4)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_memory is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
E : in STD_LOGIC_VECTOR ( 0 to 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
wr_clk : in STD_LOGIC;
I1 : in STD_LOGIC_VECTOR ( 0 to 0 );
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
O2 : in STD_LOGIC_VECTOR ( 4 downto 0 );
O1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
I2 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_memory : entity is "memory";
end fifo_async_103x32_memory;
architecture STRUCTURE of fifo_async_103x32_memory is
signal p_0_out : STD_LOGIC_VECTOR ( 102 downto 0 );
begin
\gdm.dm\: entity work.fifo_async_103x32_dmem
port map (
E(0) => E(0),
I1(0) => Q(0),
I2(0) => I1(0),
O1(4 downto 0) => O1(4 downto 0),
O2(4 downto 0) => O2(4 downto 0),
Q(102 downto 0) => p_0_out(102 downto 0),
din(102 downto 0) => din(102 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
\goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(0),
Q => dout(0)
);
\goreg_dm.dout_i_reg[100]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(100),
Q => dout(100)
);
\goreg_dm.dout_i_reg[101]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(101),
Q => dout(101)
);
\goreg_dm.dout_i_reg[102]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(102),
Q => dout(102)
);
\goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(10),
Q => dout(10)
);
\goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(11),
Q => dout(11)
);
\goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(12),
Q => dout(12)
);
\goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(13),
Q => dout(13)
);
\goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(14),
Q => dout(14)
);
\goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(15),
Q => dout(15)
);
\goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(16),
Q => dout(16)
);
\goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(17),
Q => dout(17)
);
\goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(18),
Q => dout(18)
);
\goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(19),
Q => dout(19)
);
\goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(1),
Q => dout(1)
);
\goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(20),
Q => dout(20)
);
\goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(21),
Q => dout(21)
);
\goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(22),
Q => dout(22)
);
\goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(23),
Q => dout(23)
);
\goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(24),
Q => dout(24)
);
\goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(25),
Q => dout(25)
);
\goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(26),
Q => dout(26)
);
\goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(27),
Q => dout(27)
);
\goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(28),
Q => dout(28)
);
\goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(29),
Q => dout(29)
);
\goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(2),
Q => dout(2)
);
\goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(30),
Q => dout(30)
);
\goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(31),
Q => dout(31)
);
\goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(32),
Q => dout(32)
);
\goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(33),
Q => dout(33)
);
\goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(34),
Q => dout(34)
);
\goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(35),
Q => dout(35)
);
\goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(36),
Q => dout(36)
);
\goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(37),
Q => dout(37)
);
\goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(38),
Q => dout(38)
);
\goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(39),
Q => dout(39)
);
\goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(3),
Q => dout(3)
);
\goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(40),
Q => dout(40)
);
\goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(41),
Q => dout(41)
);
\goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(42),
Q => dout(42)
);
\goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(43),
Q => dout(43)
);
\goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(44),
Q => dout(44)
);
\goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(45),
Q => dout(45)
);
\goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(46),
Q => dout(46)
);
\goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(47),
Q => dout(47)
);
\goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(48),
Q => dout(48)
);
\goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(49),
Q => dout(49)
);
\goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(4),
Q => dout(4)
);
\goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(50),
Q => dout(50)
);
\goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(51),
Q => dout(51)
);
\goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(52),
Q => dout(52)
);
\goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(53),
Q => dout(53)
);
\goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(54),
Q => dout(54)
);
\goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(55),
Q => dout(55)
);
\goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(56),
Q => dout(56)
);
\goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(57),
Q => dout(57)
);
\goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(58),
Q => dout(58)
);
\goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(59),
Q => dout(59)
);
\goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(5),
Q => dout(5)
);
\goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(60),
Q => dout(60)
);
\goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(61),
Q => dout(61)
);
\goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(62),
Q => dout(62)
);
\goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(63),
Q => dout(63)
);
\goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(64),
Q => dout(64)
);
\goreg_dm.dout_i_reg[65]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(65),
Q => dout(65)
);
\goreg_dm.dout_i_reg[66]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(66),
Q => dout(66)
);
\goreg_dm.dout_i_reg[67]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(67),
Q => dout(67)
);
\goreg_dm.dout_i_reg[68]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(68),
Q => dout(68)
);
\goreg_dm.dout_i_reg[69]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(69),
Q => dout(69)
);
\goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(6),
Q => dout(6)
);
\goreg_dm.dout_i_reg[70]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(70),
Q => dout(70)
);
\goreg_dm.dout_i_reg[71]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(71),
Q => dout(71)
);
\goreg_dm.dout_i_reg[72]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(72),
Q => dout(72)
);
\goreg_dm.dout_i_reg[73]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(73),
Q => dout(73)
);
\goreg_dm.dout_i_reg[74]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(74),
Q => dout(74)
);
\goreg_dm.dout_i_reg[75]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(75),
Q => dout(75)
);
\goreg_dm.dout_i_reg[76]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(76),
Q => dout(76)
);
\goreg_dm.dout_i_reg[77]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(77),
Q => dout(77)
);
\goreg_dm.dout_i_reg[78]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(78),
Q => dout(78)
);
\goreg_dm.dout_i_reg[79]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(79),
Q => dout(79)
);
\goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(7),
Q => dout(7)
);
\goreg_dm.dout_i_reg[80]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(80),
Q => dout(80)
);
\goreg_dm.dout_i_reg[81]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(81),
Q => dout(81)
);
\goreg_dm.dout_i_reg[82]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(82),
Q => dout(82)
);
\goreg_dm.dout_i_reg[83]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(83),
Q => dout(83)
);
\goreg_dm.dout_i_reg[84]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(84),
Q => dout(84)
);
\goreg_dm.dout_i_reg[85]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(85),
Q => dout(85)
);
\goreg_dm.dout_i_reg[86]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(86),
Q => dout(86)
);
\goreg_dm.dout_i_reg[87]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(87),
Q => dout(87)
);
\goreg_dm.dout_i_reg[88]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(88),
Q => dout(88)
);
\goreg_dm.dout_i_reg[89]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(89),
Q => dout(89)
);
\goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(8),
Q => dout(8)
);
\goreg_dm.dout_i_reg[90]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(90),
Q => dout(90)
);
\goreg_dm.dout_i_reg[91]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(91),
Q => dout(91)
);
\goreg_dm.dout_i_reg[92]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(92),
Q => dout(92)
);
\goreg_dm.dout_i_reg[93]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(93),
Q => dout(93)
);
\goreg_dm.dout_i_reg[94]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(94),
Q => dout(94)
);
\goreg_dm.dout_i_reg[95]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(95),
Q => dout(95)
);
\goreg_dm.dout_i_reg[96]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(96),
Q => dout(96)
);
\goreg_dm.dout_i_reg[97]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(97),
Q => dout(97)
);
\goreg_dm.dout_i_reg[98]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(98),
Q => dout(98)
);
\goreg_dm.dout_i_reg[99]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(99),
Q => dout(99)
);
\goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDCE
generic map(
INIT => '0'
)
port map (
C => rd_clk,
CE => I2(0),
CLR => Q(0),
D => p_0_out(9),
Q => dout(9)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_rd_logic is
port (
empty : out STD_LOGIC;
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 0 to 0 );
D : out STD_LOGIC_VECTOR ( 3 downto 0 );
O2 : out STD_LOGIC_VECTOR ( 4 downto 0 );
rd_clk : in STD_LOGIC;
Q : in STD_LOGIC_VECTOR ( 0 to 0 );
I1 : in STD_LOGIC_VECTOR ( 4 downto 0 );
rd_en : in STD_LOGIC;
I2 : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_rd_logic : entity is "rd_logic";
end fifo_async_103x32_rd_logic;
architecture STRUCTURE of fifo_async_103x32_rd_logic is
signal \n_1_gr1.rfwft\ : STD_LOGIC;
signal n_1_rpntr : STD_LOGIC;
signal p_14_out : STD_LOGIC;
signal p_18_out : STD_LOGIC;
signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 0 to 0 );
begin
\gr1.rfwft\: entity work.fifo_async_103x32_rd_fwft
port map (
E(0) => E(0),
I1(0) => rd_pntr_plus1(0),
I2(0) => I1(0),
O1 => \n_1_gr1.rfwft\,
O2(0) => p_14_out,
O3(0) => O1(0),
Q(0) => Q(0),
empty => empty,
p_18_out => p_18_out,
rd_clk => rd_clk,
rd_en => rd_en
);
\gras.rsts\: entity work.fifo_async_103x32_rd_status_flags_as
port map (
I1 => n_1_rpntr,
Q(0) => Q(0),
p_18_out => p_18_out,
rd_clk => rd_clk
);
rpntr: entity work.fifo_async_103x32_rd_bin_cntr
port map (
D(3 downto 0) => D(3 downto 0),
E(0) => p_14_out,
I1(3 downto 0) => I1(4 downto 1),
I2 => \n_1_gr1.rfwft\,
I3 => I2,
I4(0) => Q(0),
O1 => n_1_rpntr,
O2(4 downto 0) => O2(4 downto 0),
Q(0) => rd_pntr_plus1(0),
rd_clk => rd_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_wr_logic is
port (
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
Q : out STD_LOGIC_VECTOR ( 3 downto 0 );
E : out STD_LOGIC_VECTOR ( 0 to 0 );
O1 : out STD_LOGIC_VECTOR ( 4 downto 0 );
wr_clk : in STD_LOGIC;
rst_d2 : in STD_LOGIC;
rst_full_gen_i : in STD_LOGIC;
I1 : in STD_LOGIC;
O3 : in STD_LOGIC_VECTOR ( 4 downto 0 );
wr_en : in STD_LOGIC;
I2 : in STD_LOGIC_VECTOR ( 0 to 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_wr_logic : entity is "wr_logic";
end fifo_async_103x32_wr_logic;
architecture STRUCTURE of fifo_async_103x32_wr_logic is
signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 );
signal n_0_wpntr : STD_LOGIC;
signal n_1_wpntr : STD_LOGIC;
signal n_2_wpntr : STD_LOGIC;
signal \n_3_gwas.wsts\ : STD_LOGIC;
signal \n_4_gwas.wsts\ : STD_LOGIC;
signal n_7_wpntr : STD_LOGIC;
signal n_8_wpntr : STD_LOGIC;
signal n_9_wpntr : STD_LOGIC;
signal p_1_out : STD_LOGIC;
signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 );
begin
E(0) <= \^e\(0);
\gwas.gpf.wrpf\: entity work.fifo_async_103x32_wr_pf_as
port map (
I1(1) => n_1_wpntr,
I1(0) => n_2_wpntr,
I2(0) => I2(0),
S(2) => n_7_wpntr,
S(1) => n_8_wpntr,
S(0) => n_9_wpntr,
p_1_out => p_1_out,
prog_full => prog_full,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_pntr_plus1_pad(4 downto 1) => p_8_out(3 downto 0),
wr_pntr_plus1_pad(0) => \n_4_gwas.wsts\
);
\gwas.wsts\: entity work.fifo_async_103x32_wr_status_flags_as
port map (
E(0) => \^e\(0),
I1 => n_0_wpntr,
O1 => \n_3_gwas.wsts\,
full => full,
p_1_out => p_1_out,
rst_d2 => rst_d2,
wr_clk => wr_clk,
wr_en => wr_en,
wr_pntr_plus1_pad(0) => \n_4_gwas.wsts\
);
wpntr: entity work.fifo_async_103x32_wr_bin_cntr
port map (
E(0) => \^e\(0),
I1 => \n_3_gwas.wsts\,
I2 => I1,
I3(0) => I2(0),
O1 => n_0_wpntr,
O2(1) => n_1_wpntr,
O2(0) => n_2_wpntr,
O3(4 downto 0) => O3(4 downto 0),
O4(3 downto 0) => Q(3 downto 0),
O5(4 downto 0) => O1(4 downto 0),
Q(3 downto 0) => p_8_out(3 downto 0),
S(2) => n_7_wpntr,
S(1) => n_8_wpntr,
S(0) => n_9_wpntr,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_fifo_generator_ramfifo is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_fifo_generator_ramfifo : entity is "fifo_generator_ramfifo";
end fifo_async_103x32_fifo_generator_ramfifo;
architecture STRUCTURE of fifo_async_103x32_fifo_generator_ramfifo is
signal RD_RST : STD_LOGIC;
signal \^rst\ : STD_LOGIC;
signal WR_RST : STD_LOGIC;
signal \n_0_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal n_2_rstblk : STD_LOGIC;
signal \n_3_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal \n_4_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal \n_5_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal \n_6_gntv_or_sync_fifo.gcx.clkx\ : STD_LOGIC;
signal \n_6_gntv_or_sync_fifo.gl0.rd\ : STD_LOGIC;
signal p_0_out : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_15_out : STD_LOGIC;
signal p_1_out : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_20_out : STD_LOGIC_VECTOR ( 4 downto 0 );
signal p_3_out : STD_LOGIC;
signal p_9_out : STD_LOGIC_VECTOR ( 4 downto 0 );
signal ram_rd_en_i : STD_LOGIC;
signal rd_rst_i : STD_LOGIC_VECTOR ( 0 to 0 );
signal rst_d2 : STD_LOGIC;
signal rst_full_gen_i : STD_LOGIC;
signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 4 downto 0 );
begin
\gntv_or_sync_fifo.gcx.clkx\: entity work.fifo_async_103x32_clk_x_pntrs
port map (
D(3) => \n_3_gntv_or_sync_fifo.gl0.rd\,
D(2) => \n_4_gntv_or_sync_fifo.gl0.rd\,
D(1) => \n_5_gntv_or_sync_fifo.gl0.rd\,
D(0) => \n_6_gntv_or_sync_fifo.gl0.rd\,
I1(3) => p_20_out(4),
I1(2 downto 0) => p_20_out(2 downto 0),
I2(3) => wr_pntr_plus2(4),
I2(2 downto 0) => wr_pntr_plus2(2 downto 0),
I3(4 downto 0) => p_9_out(4 downto 0),
I4(0) => \^rst\,
I5(0) => RD_RST,
O1 => \n_0_gntv_or_sync_fifo.gcx.clkx\,
O2 => \n_6_gntv_or_sync_fifo.gcx.clkx\,
O3(4 downto 0) => p_0_out(4 downto 0),
Q(4 downto 0) => p_1_out(4 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
\gntv_or_sync_fifo.gl0.rd\: entity work.fifo_async_103x32_rd_logic
port map (
D(3) => \n_3_gntv_or_sync_fifo.gl0.rd\,
D(2) => \n_4_gntv_or_sync_fifo.gl0.rd\,
D(1) => \n_5_gntv_or_sync_fifo.gl0.rd\,
D(0) => \n_6_gntv_or_sync_fifo.gl0.rd\,
E(0) => p_15_out,
I1(4 downto 0) => p_1_out(4 downto 0),
I2 => \n_0_gntv_or_sync_fifo.gcx.clkx\,
O1(0) => ram_rd_en_i,
O2(4 downto 0) => p_20_out(4 downto 0),
Q(0) => n_2_rstblk,
empty => empty,
rd_clk => rd_clk,
rd_en => rd_en
);
\gntv_or_sync_fifo.gl0.wr\: entity work.fifo_async_103x32_wr_logic
port map (
E(0) => p_3_out,
I1 => \n_6_gntv_or_sync_fifo.gcx.clkx\,
I2(0) => WR_RST,
O1(4 downto 0) => p_9_out(4 downto 0),
O3(4 downto 0) => p_0_out(4 downto 0),
Q(3) => wr_pntr_plus2(4),
Q(2 downto 0) => wr_pntr_plus2(2 downto 0),
full => full,
prog_full => prog_full,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk,
wr_en => wr_en
);
\gntv_or_sync_fifo.mem\: entity work.fifo_async_103x32_memory
port map (
E(0) => ram_rd_en_i,
I1(0) => p_3_out,
I2(0) => p_15_out,
O1(4 downto 0) => p_9_out(4 downto 0),
O2(4 downto 0) => p_20_out(4 downto 0),
Q(0) => rd_rst_i(0),
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
rd_clk => rd_clk,
wr_clk => wr_clk
);
rstblk: entity work.fifo_async_103x32_reset_blk_ramfifo
port map (
O1(1) => WR_RST,
O1(0) => \^rst\,
Q(2) => n_2_rstblk,
Q(1) => RD_RST,
Q(0) => rd_rst_i(0),
rd_clk => rd_clk,
rst => rst,
rst_d2 => rst_d2,
rst_full_gen_i => rst_full_gen_i,
wr_clk => wr_clk
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_fifo_generator_top is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_fifo_generator_top : entity is "fifo_generator_top";
end fifo_async_103x32_fifo_generator_top;
architecture STRUCTURE of fifo_async_103x32_fifo_generator_top is
begin
\grf.rf\: entity work.fifo_async_103x32_fifo_generator_ramfifo
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32_fifo_generator_v12_0_synth is
port (
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
empty : out STD_LOGIC;
full : out STD_LOGIC;
prog_full : out STD_LOGIC;
rd_en : in STD_LOGIC;
rd_clk : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of fifo_async_103x32_fifo_generator_v12_0_synth : entity is "fifo_generator_v12_0_synth";
end fifo_async_103x32_fifo_generator_v12_0_synth;
architecture STRUCTURE of fifo_async_103x32_fifo_generator_v12_0_synth is
begin
\gconvfifo.rf\: entity work.fifo_async_103x32_fifo_generator_top
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ is
port (
backup : in STD_LOGIC;
backup_marker : in STD_LOGIC;
clk : in STD_LOGIC;
rst : in STD_LOGIC;
srst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
wr_rst : in STD_LOGIC;
rd_clk : in STD_LOGIC;
rd_rst : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
prog_empty_thresh : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full_thresh : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 4 downto 0 );
int_clk : in STD_LOGIC;
injectdbiterr : in STD_LOGIC;
injectsbiterr : in STD_LOGIC;
sleep : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
full : out STD_LOGIC;
almost_full : out STD_LOGIC;
wr_ack : out STD_LOGIC;
overflow : out STD_LOGIC;
empty : out STD_LOGIC;
almost_empty : out STD_LOGIC;
valid : out STD_LOGIC;
underflow : out STD_LOGIC;
data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
prog_full : out STD_LOGIC;
prog_empty : out STD_LOGIC;
sbiterr : out STD_LOGIC;
dbiterr : out STD_LOGIC;
wr_rst_busy : out STD_LOGIC;
rd_rst_busy : out STD_LOGIC;
m_aclk : in STD_LOGIC;
s_aclk : in STD_LOGIC;
s_aresetn : in STD_LOGIC;
m_aclk_en : in STD_LOGIC;
s_aclk_en : in STD_LOGIC;
s_axi_awid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_awvalid : in STD_LOGIC;
s_axi_awready : out STD_LOGIC;
s_axi_wid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_wstrb : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_wlast : in STD_LOGIC;
s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_wvalid : in STD_LOGIC;
s_axi_wready : out STD_LOGIC;
s_axi_bid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_bvalid : out STD_LOGIC;
s_axi_bready : in STD_LOGIC;
m_axi_awid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_awvalid : out STD_LOGIC;
m_axi_awready : in STD_LOGIC;
m_axi_wid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_wstrb : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_wlast : out STD_LOGIC;
m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_wvalid : out STD_LOGIC;
m_axi_wready : in STD_LOGIC;
m_axi_bid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_bvalid : in STD_LOGIC;
m_axi_bready : out STD_LOGIC;
s_axi_arid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 );
s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 );
s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 );
s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_arvalid : in STD_LOGIC;
s_axi_arready : out STD_LOGIC;
s_axi_rid : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rdata : out STD_LOGIC_VECTOR ( 63 downto 0 );
s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 );
s_axi_rlast : out STD_LOGIC;
s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 );
s_axi_rvalid : out STD_LOGIC;
s_axi_rready : in STD_LOGIC;
m_axi_arid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 );
m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 );
m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 );
m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_arvalid : out STD_LOGIC;
m_axi_arready : in STD_LOGIC;
m_axi_rid : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rdata : in STD_LOGIC_VECTOR ( 63 downto 0 );
m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 );
m_axi_rlast : in STD_LOGIC;
m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 );
m_axi_rvalid : in STD_LOGIC;
m_axi_rready : out STD_LOGIC;
s_axis_tvalid : in STD_LOGIC;
s_axis_tready : out STD_LOGIC;
s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 );
s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tlast : in STD_LOGIC;
s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 );
s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 );
m_axis_tvalid : out STD_LOGIC;
m_axis_tready : in STD_LOGIC;
m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 );
m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tlast : out STD_LOGIC;
m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 );
m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_injectsbiterr : in STD_LOGIC;
axi_aw_injectdbiterr : in STD_LOGIC;
axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_aw_sbiterr : out STD_LOGIC;
axi_aw_dbiterr : out STD_LOGIC;
axi_aw_overflow : out STD_LOGIC;
axi_aw_underflow : out STD_LOGIC;
axi_aw_prog_full : out STD_LOGIC;
axi_aw_prog_empty : out STD_LOGIC;
axi_w_injectsbiterr : in STD_LOGIC;
axi_w_injectdbiterr : in STD_LOGIC;
axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_w_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_w_sbiterr : out STD_LOGIC;
axi_w_dbiterr : out STD_LOGIC;
axi_w_overflow : out STD_LOGIC;
axi_w_underflow : out STD_LOGIC;
axi_w_prog_full : out STD_LOGIC;
axi_w_prog_empty : out STD_LOGIC;
axi_b_injectsbiterr : in STD_LOGIC;
axi_b_injectdbiterr : in STD_LOGIC;
axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_b_sbiterr : out STD_LOGIC;
axi_b_dbiterr : out STD_LOGIC;
axi_b_overflow : out STD_LOGIC;
axi_b_underflow : out STD_LOGIC;
axi_b_prog_full : out STD_LOGIC;
axi_b_prog_empty : out STD_LOGIC;
axi_ar_injectsbiterr : in STD_LOGIC;
axi_ar_injectdbiterr : in STD_LOGIC;
axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 );
axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 );
axi_ar_sbiterr : out STD_LOGIC;
axi_ar_dbiterr : out STD_LOGIC;
axi_ar_overflow : out STD_LOGIC;
axi_ar_underflow : out STD_LOGIC;
axi_ar_prog_full : out STD_LOGIC;
axi_ar_prog_empty : out STD_LOGIC;
axi_r_injectsbiterr : in STD_LOGIC;
axi_r_injectdbiterr : in STD_LOGIC;
axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axi_r_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axi_r_sbiterr : out STD_LOGIC;
axi_r_dbiterr : out STD_LOGIC;
axi_r_overflow : out STD_LOGIC;
axi_r_underflow : out STD_LOGIC;
axi_r_prog_full : out STD_LOGIC;
axi_r_prog_empty : out STD_LOGIC;
axis_injectsbiterr : in STD_LOGIC;
axis_injectdbiterr : in STD_LOGIC;
axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 );
axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 );
axis_sbiterr : out STD_LOGIC;
axis_dbiterr : out STD_LOGIC;
axis_overflow : out STD_LOGIC;
axis_underflow : out STD_LOGIC;
axis_prog_full : out STD_LOGIC;
axis_prog_empty : out STD_LOGIC
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "fifo_generator_v12_0";
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 103;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 103;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "zynq";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "BlankString";
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "512x72";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 15;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 5;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 8;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "1kx36";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is "1kx18";
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 2;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 64;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1024;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 10;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1023;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 1022;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ : entity is 0;
end \fifo_async_103x32_fifo_generator_v12_0__parameterized0\;
architecture STRUCTURE of \fifo_async_103x32_fifo_generator_v12_0__parameterized0\ is
signal \<const0>\ : STD_LOGIC;
signal \<const1>\ : STD_LOGIC;
begin
almost_empty <= \<const0>\;
almost_full <= \<const0>\;
axi_ar_data_count(4) <= \<const0>\;
axi_ar_data_count(3) <= \<const0>\;
axi_ar_data_count(2) <= \<const0>\;
axi_ar_data_count(1) <= \<const0>\;
axi_ar_data_count(0) <= \<const0>\;
axi_ar_dbiterr <= \<const0>\;
axi_ar_overflow <= \<const0>\;
axi_ar_prog_empty <= \<const1>\;
axi_ar_prog_full <= \<const0>\;
axi_ar_rd_data_count(4) <= \<const0>\;
axi_ar_rd_data_count(3) <= \<const0>\;
axi_ar_rd_data_count(2) <= \<const0>\;
axi_ar_rd_data_count(1) <= \<const0>\;
axi_ar_rd_data_count(0) <= \<const0>\;
axi_ar_sbiterr <= \<const0>\;
axi_ar_underflow <= \<const0>\;
axi_ar_wr_data_count(4) <= \<const0>\;
axi_ar_wr_data_count(3) <= \<const0>\;
axi_ar_wr_data_count(2) <= \<const0>\;
axi_ar_wr_data_count(1) <= \<const0>\;
axi_ar_wr_data_count(0) <= \<const0>\;
axi_aw_data_count(4) <= \<const0>\;
axi_aw_data_count(3) <= \<const0>\;
axi_aw_data_count(2) <= \<const0>\;
axi_aw_data_count(1) <= \<const0>\;
axi_aw_data_count(0) <= \<const0>\;
axi_aw_dbiterr <= \<const0>\;
axi_aw_overflow <= \<const0>\;
axi_aw_prog_empty <= \<const1>\;
axi_aw_prog_full <= \<const0>\;
axi_aw_rd_data_count(4) <= \<const0>\;
axi_aw_rd_data_count(3) <= \<const0>\;
axi_aw_rd_data_count(2) <= \<const0>\;
axi_aw_rd_data_count(1) <= \<const0>\;
axi_aw_rd_data_count(0) <= \<const0>\;
axi_aw_sbiterr <= \<const0>\;
axi_aw_underflow <= \<const0>\;
axi_aw_wr_data_count(4) <= \<const0>\;
axi_aw_wr_data_count(3) <= \<const0>\;
axi_aw_wr_data_count(2) <= \<const0>\;
axi_aw_wr_data_count(1) <= \<const0>\;
axi_aw_wr_data_count(0) <= \<const0>\;
axi_b_data_count(4) <= \<const0>\;
axi_b_data_count(3) <= \<const0>\;
axi_b_data_count(2) <= \<const0>\;
axi_b_data_count(1) <= \<const0>\;
axi_b_data_count(0) <= \<const0>\;
axi_b_dbiterr <= \<const0>\;
axi_b_overflow <= \<const0>\;
axi_b_prog_empty <= \<const1>\;
axi_b_prog_full <= \<const0>\;
axi_b_rd_data_count(4) <= \<const0>\;
axi_b_rd_data_count(3) <= \<const0>\;
axi_b_rd_data_count(2) <= \<const0>\;
axi_b_rd_data_count(1) <= \<const0>\;
axi_b_rd_data_count(0) <= \<const0>\;
axi_b_sbiterr <= \<const0>\;
axi_b_underflow <= \<const0>\;
axi_b_wr_data_count(4) <= \<const0>\;
axi_b_wr_data_count(3) <= \<const0>\;
axi_b_wr_data_count(2) <= \<const0>\;
axi_b_wr_data_count(1) <= \<const0>\;
axi_b_wr_data_count(0) <= \<const0>\;
axi_r_data_count(10) <= \<const0>\;
axi_r_data_count(9) <= \<const0>\;
axi_r_data_count(8) <= \<const0>\;
axi_r_data_count(7) <= \<const0>\;
axi_r_data_count(6) <= \<const0>\;
axi_r_data_count(5) <= \<const0>\;
axi_r_data_count(4) <= \<const0>\;
axi_r_data_count(3) <= \<const0>\;
axi_r_data_count(2) <= \<const0>\;
axi_r_data_count(1) <= \<const0>\;
axi_r_data_count(0) <= \<const0>\;
axi_r_dbiterr <= \<const0>\;
axi_r_overflow <= \<const0>\;
axi_r_prog_empty <= \<const1>\;
axi_r_prog_full <= \<const0>\;
axi_r_rd_data_count(10) <= \<const0>\;
axi_r_rd_data_count(9) <= \<const0>\;
axi_r_rd_data_count(8) <= \<const0>\;
axi_r_rd_data_count(7) <= \<const0>\;
axi_r_rd_data_count(6) <= \<const0>\;
axi_r_rd_data_count(5) <= \<const0>\;
axi_r_rd_data_count(4) <= \<const0>\;
axi_r_rd_data_count(3) <= \<const0>\;
axi_r_rd_data_count(2) <= \<const0>\;
axi_r_rd_data_count(1) <= \<const0>\;
axi_r_rd_data_count(0) <= \<const0>\;
axi_r_sbiterr <= \<const0>\;
axi_r_underflow <= \<const0>\;
axi_r_wr_data_count(10) <= \<const0>\;
axi_r_wr_data_count(9) <= \<const0>\;
axi_r_wr_data_count(8) <= \<const0>\;
axi_r_wr_data_count(7) <= \<const0>\;
axi_r_wr_data_count(6) <= \<const0>\;
axi_r_wr_data_count(5) <= \<const0>\;
axi_r_wr_data_count(4) <= \<const0>\;
axi_r_wr_data_count(3) <= \<const0>\;
axi_r_wr_data_count(2) <= \<const0>\;
axi_r_wr_data_count(1) <= \<const0>\;
axi_r_wr_data_count(0) <= \<const0>\;
axi_w_data_count(10) <= \<const0>\;
axi_w_data_count(9) <= \<const0>\;
axi_w_data_count(8) <= \<const0>\;
axi_w_data_count(7) <= \<const0>\;
axi_w_data_count(6) <= \<const0>\;
axi_w_data_count(5) <= \<const0>\;
axi_w_data_count(4) <= \<const0>\;
axi_w_data_count(3) <= \<const0>\;
axi_w_data_count(2) <= \<const0>\;
axi_w_data_count(1) <= \<const0>\;
axi_w_data_count(0) <= \<const0>\;
axi_w_dbiterr <= \<const0>\;
axi_w_overflow <= \<const0>\;
axi_w_prog_empty <= \<const1>\;
axi_w_prog_full <= \<const0>\;
axi_w_rd_data_count(10) <= \<const0>\;
axi_w_rd_data_count(9) <= \<const0>\;
axi_w_rd_data_count(8) <= \<const0>\;
axi_w_rd_data_count(7) <= \<const0>\;
axi_w_rd_data_count(6) <= \<const0>\;
axi_w_rd_data_count(5) <= \<const0>\;
axi_w_rd_data_count(4) <= \<const0>\;
axi_w_rd_data_count(3) <= \<const0>\;
axi_w_rd_data_count(2) <= \<const0>\;
axi_w_rd_data_count(1) <= \<const0>\;
axi_w_rd_data_count(0) <= \<const0>\;
axi_w_sbiterr <= \<const0>\;
axi_w_underflow <= \<const0>\;
axi_w_wr_data_count(10) <= \<const0>\;
axi_w_wr_data_count(9) <= \<const0>\;
axi_w_wr_data_count(8) <= \<const0>\;
axi_w_wr_data_count(7) <= \<const0>\;
axi_w_wr_data_count(6) <= \<const0>\;
axi_w_wr_data_count(5) <= \<const0>\;
axi_w_wr_data_count(4) <= \<const0>\;
axi_w_wr_data_count(3) <= \<const0>\;
axi_w_wr_data_count(2) <= \<const0>\;
axi_w_wr_data_count(1) <= \<const0>\;
axi_w_wr_data_count(0) <= \<const0>\;
axis_data_count(10) <= \<const0>\;
axis_data_count(9) <= \<const0>\;
axis_data_count(8) <= \<const0>\;
axis_data_count(7) <= \<const0>\;
axis_data_count(6) <= \<const0>\;
axis_data_count(5) <= \<const0>\;
axis_data_count(4) <= \<const0>\;
axis_data_count(3) <= \<const0>\;
axis_data_count(2) <= \<const0>\;
axis_data_count(1) <= \<const0>\;
axis_data_count(0) <= \<const0>\;
axis_dbiterr <= \<const0>\;
axis_overflow <= \<const0>\;
axis_prog_empty <= \<const1>\;
axis_prog_full <= \<const0>\;
axis_rd_data_count(10) <= \<const0>\;
axis_rd_data_count(9) <= \<const0>\;
axis_rd_data_count(8) <= \<const0>\;
axis_rd_data_count(7) <= \<const0>\;
axis_rd_data_count(6) <= \<const0>\;
axis_rd_data_count(5) <= \<const0>\;
axis_rd_data_count(4) <= \<const0>\;
axis_rd_data_count(3) <= \<const0>\;
axis_rd_data_count(2) <= \<const0>\;
axis_rd_data_count(1) <= \<const0>\;
axis_rd_data_count(0) <= \<const0>\;
axis_sbiterr <= \<const0>\;
axis_underflow <= \<const0>\;
axis_wr_data_count(10) <= \<const0>\;
axis_wr_data_count(9) <= \<const0>\;
axis_wr_data_count(8) <= \<const0>\;
axis_wr_data_count(7) <= \<const0>\;
axis_wr_data_count(6) <= \<const0>\;
axis_wr_data_count(5) <= \<const0>\;
axis_wr_data_count(4) <= \<const0>\;
axis_wr_data_count(3) <= \<const0>\;
axis_wr_data_count(2) <= \<const0>\;
axis_wr_data_count(1) <= \<const0>\;
axis_wr_data_count(0) <= \<const0>\;
data_count(4) <= \<const0>\;
data_count(3) <= \<const0>\;
data_count(2) <= \<const0>\;
data_count(1) <= \<const0>\;
data_count(0) <= \<const0>\;
dbiterr <= \<const0>\;
m_axi_araddr(31) <= \<const0>\;
m_axi_araddr(30) <= \<const0>\;
m_axi_araddr(29) <= \<const0>\;
m_axi_araddr(28) <= \<const0>\;
m_axi_araddr(27) <= \<const0>\;
m_axi_araddr(26) <= \<const0>\;
m_axi_araddr(25) <= \<const0>\;
m_axi_araddr(24) <= \<const0>\;
m_axi_araddr(23) <= \<const0>\;
m_axi_araddr(22) <= \<const0>\;
m_axi_araddr(21) <= \<const0>\;
m_axi_araddr(20) <= \<const0>\;
m_axi_araddr(19) <= \<const0>\;
m_axi_araddr(18) <= \<const0>\;
m_axi_araddr(17) <= \<const0>\;
m_axi_araddr(16) <= \<const0>\;
m_axi_araddr(15) <= \<const0>\;
m_axi_araddr(14) <= \<const0>\;
m_axi_araddr(13) <= \<const0>\;
m_axi_araddr(12) <= \<const0>\;
m_axi_araddr(11) <= \<const0>\;
m_axi_araddr(10) <= \<const0>\;
m_axi_araddr(9) <= \<const0>\;
m_axi_araddr(8) <= \<const0>\;
m_axi_araddr(7) <= \<const0>\;
m_axi_araddr(6) <= \<const0>\;
m_axi_araddr(5) <= \<const0>\;
m_axi_araddr(4) <= \<const0>\;
m_axi_araddr(3) <= \<const0>\;
m_axi_araddr(2) <= \<const0>\;
m_axi_araddr(1) <= \<const0>\;
m_axi_araddr(0) <= \<const0>\;
m_axi_arburst(1) <= \<const0>\;
m_axi_arburst(0) <= \<const0>\;
m_axi_arcache(3) <= \<const0>\;
m_axi_arcache(2) <= \<const0>\;
m_axi_arcache(1) <= \<const0>\;
m_axi_arcache(0) <= \<const0>\;
m_axi_arid(0) <= \<const0>\;
m_axi_arlen(7) <= \<const0>\;
m_axi_arlen(6) <= \<const0>\;
m_axi_arlen(5) <= \<const0>\;
m_axi_arlen(4) <= \<const0>\;
m_axi_arlen(3) <= \<const0>\;
m_axi_arlen(2) <= \<const0>\;
m_axi_arlen(1) <= \<const0>\;
m_axi_arlen(0) <= \<const0>\;
m_axi_arlock(0) <= \<const0>\;
m_axi_arprot(2) <= \<const0>\;
m_axi_arprot(1) <= \<const0>\;
m_axi_arprot(0) <= \<const0>\;
m_axi_arqos(3) <= \<const0>\;
m_axi_arqos(2) <= \<const0>\;
m_axi_arqos(1) <= \<const0>\;
m_axi_arqos(0) <= \<const0>\;
m_axi_arregion(3) <= \<const0>\;
m_axi_arregion(2) <= \<const0>\;
m_axi_arregion(1) <= \<const0>\;
m_axi_arregion(0) <= \<const0>\;
m_axi_arsize(2) <= \<const0>\;
m_axi_arsize(1) <= \<const0>\;
m_axi_arsize(0) <= \<const0>\;
m_axi_aruser(0) <= \<const0>\;
m_axi_arvalid <= \<const0>\;
m_axi_awaddr(31) <= \<const0>\;
m_axi_awaddr(30) <= \<const0>\;
m_axi_awaddr(29) <= \<const0>\;
m_axi_awaddr(28) <= \<const0>\;
m_axi_awaddr(27) <= \<const0>\;
m_axi_awaddr(26) <= \<const0>\;
m_axi_awaddr(25) <= \<const0>\;
m_axi_awaddr(24) <= \<const0>\;
m_axi_awaddr(23) <= \<const0>\;
m_axi_awaddr(22) <= \<const0>\;
m_axi_awaddr(21) <= \<const0>\;
m_axi_awaddr(20) <= \<const0>\;
m_axi_awaddr(19) <= \<const0>\;
m_axi_awaddr(18) <= \<const0>\;
m_axi_awaddr(17) <= \<const0>\;
m_axi_awaddr(16) <= \<const0>\;
m_axi_awaddr(15) <= \<const0>\;
m_axi_awaddr(14) <= \<const0>\;
m_axi_awaddr(13) <= \<const0>\;
m_axi_awaddr(12) <= \<const0>\;
m_axi_awaddr(11) <= \<const0>\;
m_axi_awaddr(10) <= \<const0>\;
m_axi_awaddr(9) <= \<const0>\;
m_axi_awaddr(8) <= \<const0>\;
m_axi_awaddr(7) <= \<const0>\;
m_axi_awaddr(6) <= \<const0>\;
m_axi_awaddr(5) <= \<const0>\;
m_axi_awaddr(4) <= \<const0>\;
m_axi_awaddr(3) <= \<const0>\;
m_axi_awaddr(2) <= \<const0>\;
m_axi_awaddr(1) <= \<const0>\;
m_axi_awaddr(0) <= \<const0>\;
m_axi_awburst(1) <= \<const0>\;
m_axi_awburst(0) <= \<const0>\;
m_axi_awcache(3) <= \<const0>\;
m_axi_awcache(2) <= \<const0>\;
m_axi_awcache(1) <= \<const0>\;
m_axi_awcache(0) <= \<const0>\;
m_axi_awid(0) <= \<const0>\;
m_axi_awlen(7) <= \<const0>\;
m_axi_awlen(6) <= \<const0>\;
m_axi_awlen(5) <= \<const0>\;
m_axi_awlen(4) <= \<const0>\;
m_axi_awlen(3) <= \<const0>\;
m_axi_awlen(2) <= \<const0>\;
m_axi_awlen(1) <= \<const0>\;
m_axi_awlen(0) <= \<const0>\;
m_axi_awlock(0) <= \<const0>\;
m_axi_awprot(2) <= \<const0>\;
m_axi_awprot(1) <= \<const0>\;
m_axi_awprot(0) <= \<const0>\;
m_axi_awqos(3) <= \<const0>\;
m_axi_awqos(2) <= \<const0>\;
m_axi_awqos(1) <= \<const0>\;
m_axi_awqos(0) <= \<const0>\;
m_axi_awregion(3) <= \<const0>\;
m_axi_awregion(2) <= \<const0>\;
m_axi_awregion(1) <= \<const0>\;
m_axi_awregion(0) <= \<const0>\;
m_axi_awsize(2) <= \<const0>\;
m_axi_awsize(1) <= \<const0>\;
m_axi_awsize(0) <= \<const0>\;
m_axi_awuser(0) <= \<const0>\;
m_axi_awvalid <= \<const0>\;
m_axi_bready <= \<const0>\;
m_axi_rready <= \<const0>\;
m_axi_wdata(63) <= \<const0>\;
m_axi_wdata(62) <= \<const0>\;
m_axi_wdata(61) <= \<const0>\;
m_axi_wdata(60) <= \<const0>\;
m_axi_wdata(59) <= \<const0>\;
m_axi_wdata(58) <= \<const0>\;
m_axi_wdata(57) <= \<const0>\;
m_axi_wdata(56) <= \<const0>\;
m_axi_wdata(55) <= \<const0>\;
m_axi_wdata(54) <= \<const0>\;
m_axi_wdata(53) <= \<const0>\;
m_axi_wdata(52) <= \<const0>\;
m_axi_wdata(51) <= \<const0>\;
m_axi_wdata(50) <= \<const0>\;
m_axi_wdata(49) <= \<const0>\;
m_axi_wdata(48) <= \<const0>\;
m_axi_wdata(47) <= \<const0>\;
m_axi_wdata(46) <= \<const0>\;
m_axi_wdata(45) <= \<const0>\;
m_axi_wdata(44) <= \<const0>\;
m_axi_wdata(43) <= \<const0>\;
m_axi_wdata(42) <= \<const0>\;
m_axi_wdata(41) <= \<const0>\;
m_axi_wdata(40) <= \<const0>\;
m_axi_wdata(39) <= \<const0>\;
m_axi_wdata(38) <= \<const0>\;
m_axi_wdata(37) <= \<const0>\;
m_axi_wdata(36) <= \<const0>\;
m_axi_wdata(35) <= \<const0>\;
m_axi_wdata(34) <= \<const0>\;
m_axi_wdata(33) <= \<const0>\;
m_axi_wdata(32) <= \<const0>\;
m_axi_wdata(31) <= \<const0>\;
m_axi_wdata(30) <= \<const0>\;
m_axi_wdata(29) <= \<const0>\;
m_axi_wdata(28) <= \<const0>\;
m_axi_wdata(27) <= \<const0>\;
m_axi_wdata(26) <= \<const0>\;
m_axi_wdata(25) <= \<const0>\;
m_axi_wdata(24) <= \<const0>\;
m_axi_wdata(23) <= \<const0>\;
m_axi_wdata(22) <= \<const0>\;
m_axi_wdata(21) <= \<const0>\;
m_axi_wdata(20) <= \<const0>\;
m_axi_wdata(19) <= \<const0>\;
m_axi_wdata(18) <= \<const0>\;
m_axi_wdata(17) <= \<const0>\;
m_axi_wdata(16) <= \<const0>\;
m_axi_wdata(15) <= \<const0>\;
m_axi_wdata(14) <= \<const0>\;
m_axi_wdata(13) <= \<const0>\;
m_axi_wdata(12) <= \<const0>\;
m_axi_wdata(11) <= \<const0>\;
m_axi_wdata(10) <= \<const0>\;
m_axi_wdata(9) <= \<const0>\;
m_axi_wdata(8) <= \<const0>\;
m_axi_wdata(7) <= \<const0>\;
m_axi_wdata(6) <= \<const0>\;
m_axi_wdata(5) <= \<const0>\;
m_axi_wdata(4) <= \<const0>\;
m_axi_wdata(3) <= \<const0>\;
m_axi_wdata(2) <= \<const0>\;
m_axi_wdata(1) <= \<const0>\;
m_axi_wdata(0) <= \<const0>\;
m_axi_wid(0) <= \<const0>\;
m_axi_wlast <= \<const0>\;
m_axi_wstrb(7) <= \<const0>\;
m_axi_wstrb(6) <= \<const0>\;
m_axi_wstrb(5) <= \<const0>\;
m_axi_wstrb(4) <= \<const0>\;
m_axi_wstrb(3) <= \<const0>\;
m_axi_wstrb(2) <= \<const0>\;
m_axi_wstrb(1) <= \<const0>\;
m_axi_wstrb(0) <= \<const0>\;
m_axi_wuser(0) <= \<const0>\;
m_axi_wvalid <= \<const0>\;
m_axis_tdata(7) <= \<const0>\;
m_axis_tdata(6) <= \<const0>\;
m_axis_tdata(5) <= \<const0>\;
m_axis_tdata(4) <= \<const0>\;
m_axis_tdata(3) <= \<const0>\;
m_axis_tdata(2) <= \<const0>\;
m_axis_tdata(1) <= \<const0>\;
m_axis_tdata(0) <= \<const0>\;
m_axis_tdest(0) <= \<const0>\;
m_axis_tid(0) <= \<const0>\;
m_axis_tkeep(0) <= \<const0>\;
m_axis_tlast <= \<const0>\;
m_axis_tstrb(0) <= \<const0>\;
m_axis_tuser(3) <= \<const0>\;
m_axis_tuser(2) <= \<const0>\;
m_axis_tuser(1) <= \<const0>\;
m_axis_tuser(0) <= \<const0>\;
m_axis_tvalid <= \<const0>\;
overflow <= \<const0>\;
prog_empty <= \<const0>\;
rd_data_count(4) <= \<const0>\;
rd_data_count(3) <= \<const0>\;
rd_data_count(2) <= \<const0>\;
rd_data_count(1) <= \<const0>\;
rd_data_count(0) <= \<const0>\;
rd_rst_busy <= \<const0>\;
s_axi_arready <= \<const0>\;
s_axi_awready <= \<const0>\;
s_axi_bid(0) <= \<const0>\;
s_axi_bresp(1) <= \<const0>\;
s_axi_bresp(0) <= \<const0>\;
s_axi_buser(0) <= \<const0>\;
s_axi_bvalid <= \<const0>\;
s_axi_rdata(63) <= \<const0>\;
s_axi_rdata(62) <= \<const0>\;
s_axi_rdata(61) <= \<const0>\;
s_axi_rdata(60) <= \<const0>\;
s_axi_rdata(59) <= \<const0>\;
s_axi_rdata(58) <= \<const0>\;
s_axi_rdata(57) <= \<const0>\;
s_axi_rdata(56) <= \<const0>\;
s_axi_rdata(55) <= \<const0>\;
s_axi_rdata(54) <= \<const0>\;
s_axi_rdata(53) <= \<const0>\;
s_axi_rdata(52) <= \<const0>\;
s_axi_rdata(51) <= \<const0>\;
s_axi_rdata(50) <= \<const0>\;
s_axi_rdata(49) <= \<const0>\;
s_axi_rdata(48) <= \<const0>\;
s_axi_rdata(47) <= \<const0>\;
s_axi_rdata(46) <= \<const0>\;
s_axi_rdata(45) <= \<const0>\;
s_axi_rdata(44) <= \<const0>\;
s_axi_rdata(43) <= \<const0>\;
s_axi_rdata(42) <= \<const0>\;
s_axi_rdata(41) <= \<const0>\;
s_axi_rdata(40) <= \<const0>\;
s_axi_rdata(39) <= \<const0>\;
s_axi_rdata(38) <= \<const0>\;
s_axi_rdata(37) <= \<const0>\;
s_axi_rdata(36) <= \<const0>\;
s_axi_rdata(35) <= \<const0>\;
s_axi_rdata(34) <= \<const0>\;
s_axi_rdata(33) <= \<const0>\;
s_axi_rdata(32) <= \<const0>\;
s_axi_rdata(31) <= \<const0>\;
s_axi_rdata(30) <= \<const0>\;
s_axi_rdata(29) <= \<const0>\;
s_axi_rdata(28) <= \<const0>\;
s_axi_rdata(27) <= \<const0>\;
s_axi_rdata(26) <= \<const0>\;
s_axi_rdata(25) <= \<const0>\;
s_axi_rdata(24) <= \<const0>\;
s_axi_rdata(23) <= \<const0>\;
s_axi_rdata(22) <= \<const0>\;
s_axi_rdata(21) <= \<const0>\;
s_axi_rdata(20) <= \<const0>\;
s_axi_rdata(19) <= \<const0>\;
s_axi_rdata(18) <= \<const0>\;
s_axi_rdata(17) <= \<const0>\;
s_axi_rdata(16) <= \<const0>\;
s_axi_rdata(15) <= \<const0>\;
s_axi_rdata(14) <= \<const0>\;
s_axi_rdata(13) <= \<const0>\;
s_axi_rdata(12) <= \<const0>\;
s_axi_rdata(11) <= \<const0>\;
s_axi_rdata(10) <= \<const0>\;
s_axi_rdata(9) <= \<const0>\;
s_axi_rdata(8) <= \<const0>\;
s_axi_rdata(7) <= \<const0>\;
s_axi_rdata(6) <= \<const0>\;
s_axi_rdata(5) <= \<const0>\;
s_axi_rdata(4) <= \<const0>\;
s_axi_rdata(3) <= \<const0>\;
s_axi_rdata(2) <= \<const0>\;
s_axi_rdata(1) <= \<const0>\;
s_axi_rdata(0) <= \<const0>\;
s_axi_rid(0) <= \<const0>\;
s_axi_rlast <= \<const0>\;
s_axi_rresp(1) <= \<const0>\;
s_axi_rresp(0) <= \<const0>\;
s_axi_ruser(0) <= \<const0>\;
s_axi_rvalid <= \<const0>\;
s_axi_wready <= \<const0>\;
s_axis_tready <= \<const0>\;
sbiterr <= \<const0>\;
underflow <= \<const0>\;
valid <= \<const0>\;
wr_ack <= \<const0>\;
wr_data_count(4) <= \<const0>\;
wr_data_count(3) <= \<const0>\;
wr_data_count(2) <= \<const0>\;
wr_data_count(1) <= \<const0>\;
wr_data_count(0) <= \<const0>\;
wr_rst_busy <= \<const0>\;
GND: unisim.vcomponents.GND
port map (
G => \<const0>\
);
VCC: unisim.vcomponents.VCC
port map (
P => \<const1>\
);
inst_fifo_gen: entity work.fifo_async_103x32_fifo_generator_v12_0_synth
port map (
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
prog_full => prog_full,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity fifo_async_103x32 is
port (
rst : in STD_LOGIC;
wr_clk : in STD_LOGIC;
rd_clk : in STD_LOGIC;
din : in STD_LOGIC_VECTOR ( 102 downto 0 );
wr_en : in STD_LOGIC;
rd_en : in STD_LOGIC;
dout : out STD_LOGIC_VECTOR ( 102 downto 0 );
full : out STD_LOGIC;
empty : out STD_LOGIC;
prog_full : out STD_LOGIC
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of fifo_async_103x32 : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of fifo_async_103x32 : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of fifo_async_103x32 : entity is "fifo_generator_v12_0,Vivado 2014.3.1";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of fifo_async_103x32 : entity is "fifo_async_103x32,fifo_generator_v12_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of fifo_async_103x32 : entity is "fifo_async_103x32,fifo_generator_v12_0,{x_ipProduct=Vivado 2014.3.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=12.0,x_ipCoreRevision=2,x_ipLanguage=VERILOG,C_COMMON_CLOCK=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=5,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=103,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=103,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=1,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMINIT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=1,C_HAS_SRST=0,C_HAS_UNDERFLOW=0,C_HAS_VALID=0,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=2,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=2,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=512x72,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=16,C_PROG_FULL_THRESH_NEGATE_VAL=15,C_PROG_FULL_TYPE=1,C_RD_DATA_COUNT_WIDTH=5,C_RD_DEPTH=32,C_RD_FREQ=1,C_RD_PNTR_WIDTH=5,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=0,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=5,C_WR_DEPTH=32,C_WR_FREQ=1,C_WR_PNTR_WIDTH=5,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_RDCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERROR_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=32,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
end fifo_async_103x32;
architecture STRUCTURE of fifo_async_103x32 is
signal NLW_U0_almost_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_almost_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_aw_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_b_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_r_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_w_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_prog_full_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axis_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_dbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_arvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_awvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_bready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_rready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axi_wvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_m_axis_tvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_overflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_prog_empty_UNCONNECTED : STD_LOGIC;
signal NLW_U0_rd_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_arready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_awready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_bvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rlast_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_rvalid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axi_wready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_s_axis_tready_UNCONNECTED : STD_LOGIC;
signal NLW_U0_sbiterr_UNCONNECTED : STD_LOGIC;
signal NLW_U0_underflow_UNCONNECTED : STD_LOGIC;
signal NLW_U0_valid_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_ack_UNCONNECTED : STD_LOGIC;
signal NLW_U0_wr_rst_busy_UNCONNECTED : STD_LOGIC;
signal NLW_U0_axi_ar_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_ar_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_aw_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_b_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_axi_r_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_r_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axi_w_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_axis_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 10 downto 0 );
signal NLW_U0_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_m_axi_araddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_arburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_arcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_arlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_arprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_arqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_arsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awaddr_UNCONNECTED : STD_LOGIC_VECTOR ( 31 downto 0 );
signal NLW_U0_m_axi_awburst_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_m_axi_awcache_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awlen_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_awlock_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_awprot_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awqos_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awregion_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_m_axi_awsize_UNCONNECTED : STD_LOGIC_VECTOR ( 2 downto 0 );
signal NLW_U0_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axi_wstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tdata_UNCONNECTED : STD_LOGIC_VECTOR ( 7 downto 0 );
signal NLW_U0_m_axis_tdest_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tkeep_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tstrb_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_m_axis_tuser_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 );
signal NLW_U0_rd_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
signal NLW_U0_s_axi_bid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_bresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rdata_UNCONNECTED : STD_LOGIC_VECTOR ( 63 downto 0 );
signal NLW_U0_s_axi_rid_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_s_axi_rresp_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
signal NLW_U0_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 );
signal NLW_U0_wr_data_count_UNCONNECTED : STD_LOGIC_VECTOR ( 4 downto 0 );
attribute C_ADD_NGC_CONSTRAINT : integer;
attribute C_ADD_NGC_CONSTRAINT of U0 : label is 0;
attribute C_APPLICATION_TYPE_AXIS : integer;
attribute C_APPLICATION_TYPE_AXIS of U0 : label is 0;
attribute C_APPLICATION_TYPE_RACH : integer;
attribute C_APPLICATION_TYPE_RACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_RDCH : integer;
attribute C_APPLICATION_TYPE_RDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WACH : integer;
attribute C_APPLICATION_TYPE_WACH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WDCH : integer;
attribute C_APPLICATION_TYPE_WDCH of U0 : label is 0;
attribute C_APPLICATION_TYPE_WRCH : integer;
attribute C_APPLICATION_TYPE_WRCH of U0 : label is 0;
attribute C_AXIS_TDATA_WIDTH : integer;
attribute C_AXIS_TDATA_WIDTH of U0 : label is 8;
attribute C_AXIS_TDEST_WIDTH : integer;
attribute C_AXIS_TDEST_WIDTH of U0 : label is 1;
attribute C_AXIS_TID_WIDTH : integer;
attribute C_AXIS_TID_WIDTH of U0 : label is 1;
attribute C_AXIS_TKEEP_WIDTH : integer;
attribute C_AXIS_TKEEP_WIDTH of U0 : label is 1;
attribute C_AXIS_TSTRB_WIDTH : integer;
attribute C_AXIS_TSTRB_WIDTH of U0 : label is 1;
attribute C_AXIS_TUSER_WIDTH : integer;
attribute C_AXIS_TUSER_WIDTH of U0 : label is 4;
attribute C_AXIS_TYPE : integer;
attribute C_AXIS_TYPE of U0 : label is 0;
attribute C_AXI_ADDR_WIDTH : integer;
attribute C_AXI_ADDR_WIDTH of U0 : label is 32;
attribute C_AXI_ARUSER_WIDTH : integer;
attribute C_AXI_ARUSER_WIDTH of U0 : label is 1;
attribute C_AXI_AWUSER_WIDTH : integer;
attribute C_AXI_AWUSER_WIDTH of U0 : label is 1;
attribute C_AXI_BUSER_WIDTH : integer;
attribute C_AXI_BUSER_WIDTH of U0 : label is 1;
attribute C_AXI_DATA_WIDTH : integer;
attribute C_AXI_DATA_WIDTH of U0 : label is 64;
attribute C_AXI_ID_WIDTH : integer;
attribute C_AXI_ID_WIDTH of U0 : label is 1;
attribute C_AXI_LEN_WIDTH : integer;
attribute C_AXI_LEN_WIDTH of U0 : label is 8;
attribute C_AXI_LOCK_WIDTH : integer;
attribute C_AXI_LOCK_WIDTH of U0 : label is 1;
attribute C_AXI_RUSER_WIDTH : integer;
attribute C_AXI_RUSER_WIDTH of U0 : label is 1;
attribute C_AXI_TYPE : integer;
attribute C_AXI_TYPE of U0 : label is 1;
attribute C_AXI_WUSER_WIDTH : integer;
attribute C_AXI_WUSER_WIDTH of U0 : label is 1;
attribute C_COMMON_CLOCK : integer;
attribute C_COMMON_CLOCK of U0 : label is 0;
attribute C_COUNT_TYPE : integer;
attribute C_COUNT_TYPE of U0 : label is 0;
attribute C_DATA_COUNT_WIDTH : integer;
attribute C_DATA_COUNT_WIDTH of U0 : label is 5;
attribute C_DEFAULT_VALUE : string;
attribute C_DEFAULT_VALUE of U0 : label is "BlankString";
attribute C_DIN_WIDTH : integer;
attribute C_DIN_WIDTH of U0 : label is 103;
attribute C_DIN_WIDTH_AXIS : integer;
attribute C_DIN_WIDTH_AXIS of U0 : label is 1;
attribute C_DIN_WIDTH_RACH : integer;
attribute C_DIN_WIDTH_RACH of U0 : label is 32;
attribute C_DIN_WIDTH_RDCH : integer;
attribute C_DIN_WIDTH_RDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WACH : integer;
attribute C_DIN_WIDTH_WACH of U0 : label is 32;
attribute C_DIN_WIDTH_WDCH : integer;
attribute C_DIN_WIDTH_WDCH of U0 : label is 64;
attribute C_DIN_WIDTH_WRCH : integer;
attribute C_DIN_WIDTH_WRCH of U0 : label is 2;
attribute C_DOUT_RST_VAL : string;
attribute C_DOUT_RST_VAL of U0 : label is "0";
attribute C_DOUT_WIDTH : integer;
attribute C_DOUT_WIDTH of U0 : label is 103;
attribute C_ENABLE_RLOCS : integer;
attribute C_ENABLE_RLOCS of U0 : label is 0;
attribute C_ENABLE_RST_SYNC : integer;
attribute C_ENABLE_RST_SYNC of U0 : label is 1;
attribute C_ERROR_INJECTION_TYPE : integer;
attribute C_ERROR_INJECTION_TYPE of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_AXIS : integer;
attribute C_ERROR_INJECTION_TYPE_AXIS of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RACH : integer;
attribute C_ERROR_INJECTION_TYPE_RACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_RDCH : integer;
attribute C_ERROR_INJECTION_TYPE_RDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WACH : integer;
attribute C_ERROR_INJECTION_TYPE_WACH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WDCH : integer;
attribute C_ERROR_INJECTION_TYPE_WDCH of U0 : label is 0;
attribute C_ERROR_INJECTION_TYPE_WRCH : integer;
attribute C_ERROR_INJECTION_TYPE_WRCH of U0 : label is 0;
attribute C_FAMILY : string;
attribute C_FAMILY of U0 : label is "zynq";
attribute C_FULL_FLAGS_RST_VAL : integer;
attribute C_FULL_FLAGS_RST_VAL of U0 : label is 1;
attribute C_HAS_ALMOST_EMPTY : integer;
attribute C_HAS_ALMOST_EMPTY of U0 : label is 0;
attribute C_HAS_ALMOST_FULL : integer;
attribute C_HAS_ALMOST_FULL of U0 : label is 0;
attribute C_HAS_AXIS_TDATA : integer;
attribute C_HAS_AXIS_TDATA of U0 : label is 1;
attribute C_HAS_AXIS_TDEST : integer;
attribute C_HAS_AXIS_TDEST of U0 : label is 0;
attribute C_HAS_AXIS_TID : integer;
attribute C_HAS_AXIS_TID of U0 : label is 0;
attribute C_HAS_AXIS_TKEEP : integer;
attribute C_HAS_AXIS_TKEEP of U0 : label is 0;
attribute C_HAS_AXIS_TLAST : integer;
attribute C_HAS_AXIS_TLAST of U0 : label is 0;
attribute C_HAS_AXIS_TREADY : integer;
attribute C_HAS_AXIS_TREADY of U0 : label is 1;
attribute C_HAS_AXIS_TSTRB : integer;
attribute C_HAS_AXIS_TSTRB of U0 : label is 0;
attribute C_HAS_AXIS_TUSER : integer;
attribute C_HAS_AXIS_TUSER of U0 : label is 1;
attribute C_HAS_AXI_ARUSER : integer;
attribute C_HAS_AXI_ARUSER of U0 : label is 0;
attribute C_HAS_AXI_AWUSER : integer;
attribute C_HAS_AXI_AWUSER of U0 : label is 0;
attribute C_HAS_AXI_BUSER : integer;
attribute C_HAS_AXI_BUSER of U0 : label is 0;
attribute C_HAS_AXI_ID : integer;
attribute C_HAS_AXI_ID of U0 : label is 0;
attribute C_HAS_AXI_RD_CHANNEL : integer;
attribute C_HAS_AXI_RD_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_RUSER : integer;
attribute C_HAS_AXI_RUSER of U0 : label is 0;
attribute C_HAS_AXI_WR_CHANNEL : integer;
attribute C_HAS_AXI_WR_CHANNEL of U0 : label is 1;
attribute C_HAS_AXI_WUSER : integer;
attribute C_HAS_AXI_WUSER of U0 : label is 0;
attribute C_HAS_BACKUP : integer;
attribute C_HAS_BACKUP of U0 : label is 0;
attribute C_HAS_DATA_COUNT : integer;
attribute C_HAS_DATA_COUNT of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_AXIS : integer;
attribute C_HAS_DATA_COUNTS_AXIS of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RACH : integer;
attribute C_HAS_DATA_COUNTS_RACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_RDCH : integer;
attribute C_HAS_DATA_COUNTS_RDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WACH : integer;
attribute C_HAS_DATA_COUNTS_WACH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WDCH : integer;
attribute C_HAS_DATA_COUNTS_WDCH of U0 : label is 0;
attribute C_HAS_DATA_COUNTS_WRCH : integer;
attribute C_HAS_DATA_COUNTS_WRCH of U0 : label is 0;
attribute C_HAS_INT_CLK : integer;
attribute C_HAS_INT_CLK of U0 : label is 0;
attribute C_HAS_MASTER_CE : integer;
attribute C_HAS_MASTER_CE of U0 : label is 0;
attribute C_HAS_MEMINIT_FILE : integer;
attribute C_HAS_MEMINIT_FILE of U0 : label is 0;
attribute C_HAS_OVERFLOW : integer;
attribute C_HAS_OVERFLOW of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_AXIS : integer;
attribute C_HAS_PROG_FLAGS_AXIS of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RACH : integer;
attribute C_HAS_PROG_FLAGS_RACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_RDCH : integer;
attribute C_HAS_PROG_FLAGS_RDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WACH : integer;
attribute C_HAS_PROG_FLAGS_WACH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WDCH : integer;
attribute C_HAS_PROG_FLAGS_WDCH of U0 : label is 0;
attribute C_HAS_PROG_FLAGS_WRCH : integer;
attribute C_HAS_PROG_FLAGS_WRCH of U0 : label is 0;
attribute C_HAS_RD_DATA_COUNT : integer;
attribute C_HAS_RD_DATA_COUNT of U0 : label is 0;
attribute C_HAS_RD_RST : integer;
attribute C_HAS_RD_RST of U0 : label is 0;
attribute C_HAS_RST : integer;
attribute C_HAS_RST of U0 : label is 1;
attribute C_HAS_SLAVE_CE : integer;
attribute C_HAS_SLAVE_CE of U0 : label is 0;
attribute C_HAS_SRST : integer;
attribute C_HAS_SRST of U0 : label is 0;
attribute C_HAS_UNDERFLOW : integer;
attribute C_HAS_UNDERFLOW of U0 : label is 0;
attribute C_HAS_VALID : integer;
attribute C_HAS_VALID of U0 : label is 0;
attribute C_HAS_WR_ACK : integer;
attribute C_HAS_WR_ACK of U0 : label is 0;
attribute C_HAS_WR_DATA_COUNT : integer;
attribute C_HAS_WR_DATA_COUNT of U0 : label is 0;
attribute C_HAS_WR_RST : integer;
attribute C_HAS_WR_RST of U0 : label is 0;
attribute C_IMPLEMENTATION_TYPE : integer;
attribute C_IMPLEMENTATION_TYPE of U0 : label is 2;
attribute C_IMPLEMENTATION_TYPE_AXIS : integer;
attribute C_IMPLEMENTATION_TYPE_AXIS of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RACH : integer;
attribute C_IMPLEMENTATION_TYPE_RACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_RDCH : integer;
attribute C_IMPLEMENTATION_TYPE_RDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WACH : integer;
attribute C_IMPLEMENTATION_TYPE_WACH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WDCH : integer;
attribute C_IMPLEMENTATION_TYPE_WDCH of U0 : label is 1;
attribute C_IMPLEMENTATION_TYPE_WRCH : integer;
attribute C_IMPLEMENTATION_TYPE_WRCH of U0 : label is 1;
attribute C_INIT_WR_PNTR_VAL : integer;
attribute C_INIT_WR_PNTR_VAL of U0 : label is 0;
attribute C_INTERFACE_TYPE : integer;
attribute C_INTERFACE_TYPE of U0 : label is 0;
attribute C_MEMORY_TYPE : integer;
attribute C_MEMORY_TYPE of U0 : label is 2;
attribute C_MIF_FILE_NAME : string;
attribute C_MIF_FILE_NAME of U0 : label is "BlankString";
attribute C_MSGON_VAL : integer;
attribute C_MSGON_VAL of U0 : label is 1;
attribute C_OPTIMIZATION_MODE : integer;
attribute C_OPTIMIZATION_MODE of U0 : label is 0;
attribute C_OVERFLOW_LOW : integer;
attribute C_OVERFLOW_LOW of U0 : label is 0;
attribute C_POWER_SAVING_MODE : integer;
attribute C_POWER_SAVING_MODE of U0 : label is 0;
attribute C_PRELOAD_LATENCY : integer;
attribute C_PRELOAD_LATENCY of U0 : label is 0;
attribute C_PRELOAD_REGS : integer;
attribute C_PRELOAD_REGS of U0 : label is 1;
attribute C_PRIM_FIFO_TYPE : string;
attribute C_PRIM_FIFO_TYPE of U0 : label is "512x72";
attribute C_PRIM_FIFO_TYPE_AXIS : string;
attribute C_PRIM_FIFO_TYPE_AXIS of U0 : label is "1kx18";
attribute C_PRIM_FIFO_TYPE_RACH : string;
attribute C_PRIM_FIFO_TYPE_RACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_RDCH : string;
attribute C_PRIM_FIFO_TYPE_RDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WACH : string;
attribute C_PRIM_FIFO_TYPE_WACH of U0 : label is "512x36";
attribute C_PRIM_FIFO_TYPE_WDCH : string;
attribute C_PRIM_FIFO_TYPE_WDCH of U0 : label is "1kx36";
attribute C_PRIM_FIFO_TYPE_WRCH : string;
attribute C_PRIM_FIFO_TYPE_WRCH of U0 : label is "512x36";
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of U0 : label is 4;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of U0 : label is 1022;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer;
attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of U0 : label is 5;
attribute C_PROG_EMPTY_TYPE : integer;
attribute C_PROG_EMPTY_TYPE of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_AXIS : integer;
attribute C_PROG_EMPTY_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RACH : integer;
attribute C_PROG_EMPTY_TYPE_RACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_RDCH : integer;
attribute C_PROG_EMPTY_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WACH : integer;
attribute C_PROG_EMPTY_TYPE_WACH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WDCH : integer;
attribute C_PROG_EMPTY_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_EMPTY_TYPE_WRCH : integer;
attribute C_PROG_EMPTY_TYPE_WRCH of U0 : label is 0;
attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL of U0 : label is 16;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer;
attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of U0 : label is 1023;
attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer;
attribute C_PROG_FULL_THRESH_NEGATE_VAL of U0 : label is 15;
attribute C_PROG_FULL_TYPE : integer;
attribute C_PROG_FULL_TYPE of U0 : label is 1;
attribute C_PROG_FULL_TYPE_AXIS : integer;
attribute C_PROG_FULL_TYPE_AXIS of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RACH : integer;
attribute C_PROG_FULL_TYPE_RACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_RDCH : integer;
attribute C_PROG_FULL_TYPE_RDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WACH : integer;
attribute C_PROG_FULL_TYPE_WACH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WDCH : integer;
attribute C_PROG_FULL_TYPE_WDCH of U0 : label is 0;
attribute C_PROG_FULL_TYPE_WRCH : integer;
attribute C_PROG_FULL_TYPE_WRCH of U0 : label is 0;
attribute C_RACH_TYPE : integer;
attribute C_RACH_TYPE of U0 : label is 0;
attribute C_RDCH_TYPE : integer;
attribute C_RDCH_TYPE of U0 : label is 0;
attribute C_RD_DATA_COUNT_WIDTH : integer;
attribute C_RD_DATA_COUNT_WIDTH of U0 : label is 5;
attribute C_RD_DEPTH : integer;
attribute C_RD_DEPTH of U0 : label is 32;
attribute C_RD_FREQ : integer;
attribute C_RD_FREQ of U0 : label is 1;
attribute C_RD_PNTR_WIDTH : integer;
attribute C_RD_PNTR_WIDTH of U0 : label is 5;
attribute C_REG_SLICE_MODE_AXIS : integer;
attribute C_REG_SLICE_MODE_AXIS of U0 : label is 0;
attribute C_REG_SLICE_MODE_RACH : integer;
attribute C_REG_SLICE_MODE_RACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_RDCH : integer;
attribute C_REG_SLICE_MODE_RDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WACH : integer;
attribute C_REG_SLICE_MODE_WACH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WDCH : integer;
attribute C_REG_SLICE_MODE_WDCH of U0 : label is 0;
attribute C_REG_SLICE_MODE_WRCH : integer;
attribute C_REG_SLICE_MODE_WRCH of U0 : label is 0;
attribute C_SYNCHRONIZER_STAGE : integer;
attribute C_SYNCHRONIZER_STAGE of U0 : label is 2;
attribute C_UNDERFLOW_LOW : integer;
attribute C_UNDERFLOW_LOW of U0 : label is 0;
attribute C_USE_COMMON_OVERFLOW : integer;
attribute C_USE_COMMON_OVERFLOW of U0 : label is 0;
attribute C_USE_COMMON_UNDERFLOW : integer;
attribute C_USE_COMMON_UNDERFLOW of U0 : label is 0;
attribute C_USE_DEFAULT_SETTINGS : integer;
attribute C_USE_DEFAULT_SETTINGS of U0 : label is 0;
attribute C_USE_DOUT_RST : integer;
attribute C_USE_DOUT_RST of U0 : label is 1;
attribute C_USE_ECC : integer;
attribute C_USE_ECC of U0 : label is 0;
attribute C_USE_ECC_AXIS : integer;
attribute C_USE_ECC_AXIS of U0 : label is 0;
attribute C_USE_ECC_RACH : integer;
attribute C_USE_ECC_RACH of U0 : label is 0;
attribute C_USE_ECC_RDCH : integer;
attribute C_USE_ECC_RDCH of U0 : label is 0;
attribute C_USE_ECC_WACH : integer;
attribute C_USE_ECC_WACH of U0 : label is 0;
attribute C_USE_ECC_WDCH : integer;
attribute C_USE_ECC_WDCH of U0 : label is 0;
attribute C_USE_ECC_WRCH : integer;
attribute C_USE_ECC_WRCH of U0 : label is 0;
attribute C_USE_EMBEDDED_REG : integer;
attribute C_USE_EMBEDDED_REG of U0 : label is 0;
attribute C_USE_FIFO16_FLAGS : integer;
attribute C_USE_FIFO16_FLAGS of U0 : label is 0;
attribute C_USE_FWFT_DATA_COUNT : integer;
attribute C_USE_FWFT_DATA_COUNT of U0 : label is 0;
attribute C_USE_PIPELINE_REG : integer;
attribute C_USE_PIPELINE_REG of U0 : label is 0;
attribute C_VALID_LOW : integer;
attribute C_VALID_LOW of U0 : label is 0;
attribute C_WACH_TYPE : integer;
attribute C_WACH_TYPE of U0 : label is 0;
attribute C_WDCH_TYPE : integer;
attribute C_WDCH_TYPE of U0 : label is 0;
attribute C_WRCH_TYPE : integer;
attribute C_WRCH_TYPE of U0 : label is 0;
attribute C_WR_ACK_LOW : integer;
attribute C_WR_ACK_LOW of U0 : label is 0;
attribute C_WR_DATA_COUNT_WIDTH : integer;
attribute C_WR_DATA_COUNT_WIDTH of U0 : label is 5;
attribute C_WR_DEPTH : integer;
attribute C_WR_DEPTH of U0 : label is 32;
attribute C_WR_DEPTH_AXIS : integer;
attribute C_WR_DEPTH_AXIS of U0 : label is 1024;
attribute C_WR_DEPTH_RACH : integer;
attribute C_WR_DEPTH_RACH of U0 : label is 16;
attribute C_WR_DEPTH_RDCH : integer;
attribute C_WR_DEPTH_RDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WACH : integer;
attribute C_WR_DEPTH_WACH of U0 : label is 16;
attribute C_WR_DEPTH_WDCH : integer;
attribute C_WR_DEPTH_WDCH of U0 : label is 1024;
attribute C_WR_DEPTH_WRCH : integer;
attribute C_WR_DEPTH_WRCH of U0 : label is 16;
attribute C_WR_FREQ : integer;
attribute C_WR_FREQ of U0 : label is 1;
attribute C_WR_PNTR_WIDTH : integer;
attribute C_WR_PNTR_WIDTH of U0 : label is 5;
attribute C_WR_PNTR_WIDTH_AXIS : integer;
attribute C_WR_PNTR_WIDTH_AXIS of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_RACH : integer;
attribute C_WR_PNTR_WIDTH_RACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_RDCH : integer;
attribute C_WR_PNTR_WIDTH_RDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WACH : integer;
attribute C_WR_PNTR_WIDTH_WACH of U0 : label is 4;
attribute C_WR_PNTR_WIDTH_WDCH : integer;
attribute C_WR_PNTR_WIDTH_WDCH of U0 : label is 10;
attribute C_WR_PNTR_WIDTH_WRCH : integer;
attribute C_WR_PNTR_WIDTH_WRCH of U0 : label is 4;
attribute C_WR_RESPONSE_LATENCY : integer;
attribute C_WR_RESPONSE_LATENCY of U0 : label is 1;
begin
U0: entity work.\fifo_async_103x32_fifo_generator_v12_0__parameterized0\
port map (
almost_empty => NLW_U0_almost_empty_UNCONNECTED,
almost_full => NLW_U0_almost_full_UNCONNECTED,
axi_ar_data_count(4 downto 0) => NLW_U0_axi_ar_data_count_UNCONNECTED(4 downto 0),
axi_ar_dbiterr => NLW_U0_axi_ar_dbiterr_UNCONNECTED,
axi_ar_injectdbiterr => '0',
axi_ar_injectsbiterr => '0',
axi_ar_overflow => NLW_U0_axi_ar_overflow_UNCONNECTED,
axi_ar_prog_empty => NLW_U0_axi_ar_prog_empty_UNCONNECTED,
axi_ar_prog_empty_thresh(3) => '0',
axi_ar_prog_empty_thresh(2) => '0',
axi_ar_prog_empty_thresh(1) => '0',
axi_ar_prog_empty_thresh(0) => '0',
axi_ar_prog_full => NLW_U0_axi_ar_prog_full_UNCONNECTED,
axi_ar_prog_full_thresh(3) => '0',
axi_ar_prog_full_thresh(2) => '0',
axi_ar_prog_full_thresh(1) => '0',
axi_ar_prog_full_thresh(0) => '0',
axi_ar_rd_data_count(4 downto 0) => NLW_U0_axi_ar_rd_data_count_UNCONNECTED(4 downto 0),
axi_ar_sbiterr => NLW_U0_axi_ar_sbiterr_UNCONNECTED,
axi_ar_underflow => NLW_U0_axi_ar_underflow_UNCONNECTED,
axi_ar_wr_data_count(4 downto 0) => NLW_U0_axi_ar_wr_data_count_UNCONNECTED(4 downto 0),
axi_aw_data_count(4 downto 0) => NLW_U0_axi_aw_data_count_UNCONNECTED(4 downto 0),
axi_aw_dbiterr => NLW_U0_axi_aw_dbiterr_UNCONNECTED,
axi_aw_injectdbiterr => '0',
axi_aw_injectsbiterr => '0',
axi_aw_overflow => NLW_U0_axi_aw_overflow_UNCONNECTED,
axi_aw_prog_empty => NLW_U0_axi_aw_prog_empty_UNCONNECTED,
axi_aw_prog_empty_thresh(3) => '0',
axi_aw_prog_empty_thresh(2) => '0',
axi_aw_prog_empty_thresh(1) => '0',
axi_aw_prog_empty_thresh(0) => '0',
axi_aw_prog_full => NLW_U0_axi_aw_prog_full_UNCONNECTED,
axi_aw_prog_full_thresh(3) => '0',
axi_aw_prog_full_thresh(2) => '0',
axi_aw_prog_full_thresh(1) => '0',
axi_aw_prog_full_thresh(0) => '0',
axi_aw_rd_data_count(4 downto 0) => NLW_U0_axi_aw_rd_data_count_UNCONNECTED(4 downto 0),
axi_aw_sbiterr => NLW_U0_axi_aw_sbiterr_UNCONNECTED,
axi_aw_underflow => NLW_U0_axi_aw_underflow_UNCONNECTED,
axi_aw_wr_data_count(4 downto 0) => NLW_U0_axi_aw_wr_data_count_UNCONNECTED(4 downto 0),
axi_b_data_count(4 downto 0) => NLW_U0_axi_b_data_count_UNCONNECTED(4 downto 0),
axi_b_dbiterr => NLW_U0_axi_b_dbiterr_UNCONNECTED,
axi_b_injectdbiterr => '0',
axi_b_injectsbiterr => '0',
axi_b_overflow => NLW_U0_axi_b_overflow_UNCONNECTED,
axi_b_prog_empty => NLW_U0_axi_b_prog_empty_UNCONNECTED,
axi_b_prog_empty_thresh(3) => '0',
axi_b_prog_empty_thresh(2) => '0',
axi_b_prog_empty_thresh(1) => '0',
axi_b_prog_empty_thresh(0) => '0',
axi_b_prog_full => NLW_U0_axi_b_prog_full_UNCONNECTED,
axi_b_prog_full_thresh(3) => '0',
axi_b_prog_full_thresh(2) => '0',
axi_b_prog_full_thresh(1) => '0',
axi_b_prog_full_thresh(0) => '0',
axi_b_rd_data_count(4 downto 0) => NLW_U0_axi_b_rd_data_count_UNCONNECTED(4 downto 0),
axi_b_sbiterr => NLW_U0_axi_b_sbiterr_UNCONNECTED,
axi_b_underflow => NLW_U0_axi_b_underflow_UNCONNECTED,
axi_b_wr_data_count(4 downto 0) => NLW_U0_axi_b_wr_data_count_UNCONNECTED(4 downto 0),
axi_r_data_count(10 downto 0) => NLW_U0_axi_r_data_count_UNCONNECTED(10 downto 0),
axi_r_dbiterr => NLW_U0_axi_r_dbiterr_UNCONNECTED,
axi_r_injectdbiterr => '0',
axi_r_injectsbiterr => '0',
axi_r_overflow => NLW_U0_axi_r_overflow_UNCONNECTED,
axi_r_prog_empty => NLW_U0_axi_r_prog_empty_UNCONNECTED,
axi_r_prog_empty_thresh(9) => '0',
axi_r_prog_empty_thresh(8) => '0',
axi_r_prog_empty_thresh(7) => '0',
axi_r_prog_empty_thresh(6) => '0',
axi_r_prog_empty_thresh(5) => '0',
axi_r_prog_empty_thresh(4) => '0',
axi_r_prog_empty_thresh(3) => '0',
axi_r_prog_empty_thresh(2) => '0',
axi_r_prog_empty_thresh(1) => '0',
axi_r_prog_empty_thresh(0) => '0',
axi_r_prog_full => NLW_U0_axi_r_prog_full_UNCONNECTED,
axi_r_prog_full_thresh(9) => '0',
axi_r_prog_full_thresh(8) => '0',
axi_r_prog_full_thresh(7) => '0',
axi_r_prog_full_thresh(6) => '0',
axi_r_prog_full_thresh(5) => '0',
axi_r_prog_full_thresh(4) => '0',
axi_r_prog_full_thresh(3) => '0',
axi_r_prog_full_thresh(2) => '0',
axi_r_prog_full_thresh(1) => '0',
axi_r_prog_full_thresh(0) => '0',
axi_r_rd_data_count(10 downto 0) => NLW_U0_axi_r_rd_data_count_UNCONNECTED(10 downto 0),
axi_r_sbiterr => NLW_U0_axi_r_sbiterr_UNCONNECTED,
axi_r_underflow => NLW_U0_axi_r_underflow_UNCONNECTED,
axi_r_wr_data_count(10 downto 0) => NLW_U0_axi_r_wr_data_count_UNCONNECTED(10 downto 0),
axi_w_data_count(10 downto 0) => NLW_U0_axi_w_data_count_UNCONNECTED(10 downto 0),
axi_w_dbiterr => NLW_U0_axi_w_dbiterr_UNCONNECTED,
axi_w_injectdbiterr => '0',
axi_w_injectsbiterr => '0',
axi_w_overflow => NLW_U0_axi_w_overflow_UNCONNECTED,
axi_w_prog_empty => NLW_U0_axi_w_prog_empty_UNCONNECTED,
axi_w_prog_empty_thresh(9) => '0',
axi_w_prog_empty_thresh(8) => '0',
axi_w_prog_empty_thresh(7) => '0',
axi_w_prog_empty_thresh(6) => '0',
axi_w_prog_empty_thresh(5) => '0',
axi_w_prog_empty_thresh(4) => '0',
axi_w_prog_empty_thresh(3) => '0',
axi_w_prog_empty_thresh(2) => '0',
axi_w_prog_empty_thresh(1) => '0',
axi_w_prog_empty_thresh(0) => '0',
axi_w_prog_full => NLW_U0_axi_w_prog_full_UNCONNECTED,
axi_w_prog_full_thresh(9) => '0',
axi_w_prog_full_thresh(8) => '0',
axi_w_prog_full_thresh(7) => '0',
axi_w_prog_full_thresh(6) => '0',
axi_w_prog_full_thresh(5) => '0',
axi_w_prog_full_thresh(4) => '0',
axi_w_prog_full_thresh(3) => '0',
axi_w_prog_full_thresh(2) => '0',
axi_w_prog_full_thresh(1) => '0',
axi_w_prog_full_thresh(0) => '0',
axi_w_rd_data_count(10 downto 0) => NLW_U0_axi_w_rd_data_count_UNCONNECTED(10 downto 0),
axi_w_sbiterr => NLW_U0_axi_w_sbiterr_UNCONNECTED,
axi_w_underflow => NLW_U0_axi_w_underflow_UNCONNECTED,
axi_w_wr_data_count(10 downto 0) => NLW_U0_axi_w_wr_data_count_UNCONNECTED(10 downto 0),
axis_data_count(10 downto 0) => NLW_U0_axis_data_count_UNCONNECTED(10 downto 0),
axis_dbiterr => NLW_U0_axis_dbiterr_UNCONNECTED,
axis_injectdbiterr => '0',
axis_injectsbiterr => '0',
axis_overflow => NLW_U0_axis_overflow_UNCONNECTED,
axis_prog_empty => NLW_U0_axis_prog_empty_UNCONNECTED,
axis_prog_empty_thresh(9) => '0',
axis_prog_empty_thresh(8) => '0',
axis_prog_empty_thresh(7) => '0',
axis_prog_empty_thresh(6) => '0',
axis_prog_empty_thresh(5) => '0',
axis_prog_empty_thresh(4) => '0',
axis_prog_empty_thresh(3) => '0',
axis_prog_empty_thresh(2) => '0',
axis_prog_empty_thresh(1) => '0',
axis_prog_empty_thresh(0) => '0',
axis_prog_full => NLW_U0_axis_prog_full_UNCONNECTED,
axis_prog_full_thresh(9) => '0',
axis_prog_full_thresh(8) => '0',
axis_prog_full_thresh(7) => '0',
axis_prog_full_thresh(6) => '0',
axis_prog_full_thresh(5) => '0',
axis_prog_full_thresh(4) => '0',
axis_prog_full_thresh(3) => '0',
axis_prog_full_thresh(2) => '0',
axis_prog_full_thresh(1) => '0',
axis_prog_full_thresh(0) => '0',
axis_rd_data_count(10 downto 0) => NLW_U0_axis_rd_data_count_UNCONNECTED(10 downto 0),
axis_sbiterr => NLW_U0_axis_sbiterr_UNCONNECTED,
axis_underflow => NLW_U0_axis_underflow_UNCONNECTED,
axis_wr_data_count(10 downto 0) => NLW_U0_axis_wr_data_count_UNCONNECTED(10 downto 0),
backup => '0',
backup_marker => '0',
clk => '0',
data_count(4 downto 0) => NLW_U0_data_count_UNCONNECTED(4 downto 0),
dbiterr => NLW_U0_dbiterr_UNCONNECTED,
din(102 downto 0) => din(102 downto 0),
dout(102 downto 0) => dout(102 downto 0),
empty => empty,
full => full,
injectdbiterr => '0',
injectsbiterr => '0',
int_clk => '0',
m_aclk => '0',
m_aclk_en => '0',
m_axi_araddr(31 downto 0) => NLW_U0_m_axi_araddr_UNCONNECTED(31 downto 0),
m_axi_arburst(1 downto 0) => NLW_U0_m_axi_arburst_UNCONNECTED(1 downto 0),
m_axi_arcache(3 downto 0) => NLW_U0_m_axi_arcache_UNCONNECTED(3 downto 0),
m_axi_arid(0) => NLW_U0_m_axi_arid_UNCONNECTED(0),
m_axi_arlen(7 downto 0) => NLW_U0_m_axi_arlen_UNCONNECTED(7 downto 0),
m_axi_arlock(0) => NLW_U0_m_axi_arlock_UNCONNECTED(0),
m_axi_arprot(2 downto 0) => NLW_U0_m_axi_arprot_UNCONNECTED(2 downto 0),
m_axi_arqos(3 downto 0) => NLW_U0_m_axi_arqos_UNCONNECTED(3 downto 0),
m_axi_arready => '0',
m_axi_arregion(3 downto 0) => NLW_U0_m_axi_arregion_UNCONNECTED(3 downto 0),
m_axi_arsize(2 downto 0) => NLW_U0_m_axi_arsize_UNCONNECTED(2 downto 0),
m_axi_aruser(0) => NLW_U0_m_axi_aruser_UNCONNECTED(0),
m_axi_arvalid => NLW_U0_m_axi_arvalid_UNCONNECTED,
m_axi_awaddr(31 downto 0) => NLW_U0_m_axi_awaddr_UNCONNECTED(31 downto 0),
m_axi_awburst(1 downto 0) => NLW_U0_m_axi_awburst_UNCONNECTED(1 downto 0),
m_axi_awcache(3 downto 0) => NLW_U0_m_axi_awcache_UNCONNECTED(3 downto 0),
m_axi_awid(0) => NLW_U0_m_axi_awid_UNCONNECTED(0),
m_axi_awlen(7 downto 0) => NLW_U0_m_axi_awlen_UNCONNECTED(7 downto 0),
m_axi_awlock(0) => NLW_U0_m_axi_awlock_UNCONNECTED(0),
m_axi_awprot(2 downto 0) => NLW_U0_m_axi_awprot_UNCONNECTED(2 downto 0),
m_axi_awqos(3 downto 0) => NLW_U0_m_axi_awqos_UNCONNECTED(3 downto 0),
m_axi_awready => '0',
m_axi_awregion(3 downto 0) => NLW_U0_m_axi_awregion_UNCONNECTED(3 downto 0),
m_axi_awsize(2 downto 0) => NLW_U0_m_axi_awsize_UNCONNECTED(2 downto 0),
m_axi_awuser(0) => NLW_U0_m_axi_awuser_UNCONNECTED(0),
m_axi_awvalid => NLW_U0_m_axi_awvalid_UNCONNECTED,
m_axi_bid(0) => '0',
m_axi_bready => NLW_U0_m_axi_bready_UNCONNECTED,
m_axi_bresp(1) => '0',
m_axi_bresp(0) => '0',
m_axi_buser(0) => '0',
m_axi_bvalid => '0',
m_axi_rdata(63) => '0',
m_axi_rdata(62) => '0',
m_axi_rdata(61) => '0',
m_axi_rdata(60) => '0',
m_axi_rdata(59) => '0',
m_axi_rdata(58) => '0',
m_axi_rdata(57) => '0',
m_axi_rdata(56) => '0',
m_axi_rdata(55) => '0',
m_axi_rdata(54) => '0',
m_axi_rdata(53) => '0',
m_axi_rdata(52) => '0',
m_axi_rdata(51) => '0',
m_axi_rdata(50) => '0',
m_axi_rdata(49) => '0',
m_axi_rdata(48) => '0',
m_axi_rdata(47) => '0',
m_axi_rdata(46) => '0',
m_axi_rdata(45) => '0',
m_axi_rdata(44) => '0',
m_axi_rdata(43) => '0',
m_axi_rdata(42) => '0',
m_axi_rdata(41) => '0',
m_axi_rdata(40) => '0',
m_axi_rdata(39) => '0',
m_axi_rdata(38) => '0',
m_axi_rdata(37) => '0',
m_axi_rdata(36) => '0',
m_axi_rdata(35) => '0',
m_axi_rdata(34) => '0',
m_axi_rdata(33) => '0',
m_axi_rdata(32) => '0',
m_axi_rdata(31) => '0',
m_axi_rdata(30) => '0',
m_axi_rdata(29) => '0',
m_axi_rdata(28) => '0',
m_axi_rdata(27) => '0',
m_axi_rdata(26) => '0',
m_axi_rdata(25) => '0',
m_axi_rdata(24) => '0',
m_axi_rdata(23) => '0',
m_axi_rdata(22) => '0',
m_axi_rdata(21) => '0',
m_axi_rdata(20) => '0',
m_axi_rdata(19) => '0',
m_axi_rdata(18) => '0',
m_axi_rdata(17) => '0',
m_axi_rdata(16) => '0',
m_axi_rdata(15) => '0',
m_axi_rdata(14) => '0',
m_axi_rdata(13) => '0',
m_axi_rdata(12) => '0',
m_axi_rdata(11) => '0',
m_axi_rdata(10) => '0',
m_axi_rdata(9) => '0',
m_axi_rdata(8) => '0',
m_axi_rdata(7) => '0',
m_axi_rdata(6) => '0',
m_axi_rdata(5) => '0',
m_axi_rdata(4) => '0',
m_axi_rdata(3) => '0',
m_axi_rdata(2) => '0',
m_axi_rdata(1) => '0',
m_axi_rdata(0) => '0',
m_axi_rid(0) => '0',
m_axi_rlast => '0',
m_axi_rready => NLW_U0_m_axi_rready_UNCONNECTED,
m_axi_rresp(1) => '0',
m_axi_rresp(0) => '0',
m_axi_ruser(0) => '0',
m_axi_rvalid => '0',
m_axi_wdata(63 downto 0) => NLW_U0_m_axi_wdata_UNCONNECTED(63 downto 0),
m_axi_wid(0) => NLW_U0_m_axi_wid_UNCONNECTED(0),
m_axi_wlast => NLW_U0_m_axi_wlast_UNCONNECTED,
m_axi_wready => '0',
m_axi_wstrb(7 downto 0) => NLW_U0_m_axi_wstrb_UNCONNECTED(7 downto 0),
m_axi_wuser(0) => NLW_U0_m_axi_wuser_UNCONNECTED(0),
m_axi_wvalid => NLW_U0_m_axi_wvalid_UNCONNECTED,
m_axis_tdata(7 downto 0) => NLW_U0_m_axis_tdata_UNCONNECTED(7 downto 0),
m_axis_tdest(0) => NLW_U0_m_axis_tdest_UNCONNECTED(0),
m_axis_tid(0) => NLW_U0_m_axis_tid_UNCONNECTED(0),
m_axis_tkeep(0) => NLW_U0_m_axis_tkeep_UNCONNECTED(0),
m_axis_tlast => NLW_U0_m_axis_tlast_UNCONNECTED,
m_axis_tready => '0',
m_axis_tstrb(0) => NLW_U0_m_axis_tstrb_UNCONNECTED(0),
m_axis_tuser(3 downto 0) => NLW_U0_m_axis_tuser_UNCONNECTED(3 downto 0),
m_axis_tvalid => NLW_U0_m_axis_tvalid_UNCONNECTED,
overflow => NLW_U0_overflow_UNCONNECTED,
prog_empty => NLW_U0_prog_empty_UNCONNECTED,
prog_empty_thresh(4) => '0',
prog_empty_thresh(3) => '0',
prog_empty_thresh(2) => '0',
prog_empty_thresh(1) => '0',
prog_empty_thresh(0) => '0',
prog_empty_thresh_assert(4) => '0',
prog_empty_thresh_assert(3) => '0',
prog_empty_thresh_assert(2) => '0',
prog_empty_thresh_assert(1) => '0',
prog_empty_thresh_assert(0) => '0',
prog_empty_thresh_negate(4) => '0',
prog_empty_thresh_negate(3) => '0',
prog_empty_thresh_negate(2) => '0',
prog_empty_thresh_negate(1) => '0',
prog_empty_thresh_negate(0) => '0',
prog_full => prog_full,
prog_full_thresh(4) => '0',
prog_full_thresh(3) => '0',
prog_full_thresh(2) => '0',
prog_full_thresh(1) => '0',
prog_full_thresh(0) => '0',
prog_full_thresh_assert(4) => '0',
prog_full_thresh_assert(3) => '0',
prog_full_thresh_assert(2) => '0',
prog_full_thresh_assert(1) => '0',
prog_full_thresh_assert(0) => '0',
prog_full_thresh_negate(4) => '0',
prog_full_thresh_negate(3) => '0',
prog_full_thresh_negate(2) => '0',
prog_full_thresh_negate(1) => '0',
prog_full_thresh_negate(0) => '0',
rd_clk => rd_clk,
rd_data_count(4 downto 0) => NLW_U0_rd_data_count_UNCONNECTED(4 downto 0),
rd_en => rd_en,
rd_rst => '0',
rd_rst_busy => NLW_U0_rd_rst_busy_UNCONNECTED,
rst => rst,
s_aclk => '0',
s_aclk_en => '0',
s_aresetn => '0',
s_axi_araddr(31) => '0',
s_axi_araddr(30) => '0',
s_axi_araddr(29) => '0',
s_axi_araddr(28) => '0',
s_axi_araddr(27) => '0',
s_axi_araddr(26) => '0',
s_axi_araddr(25) => '0',
s_axi_araddr(24) => '0',
s_axi_araddr(23) => '0',
s_axi_araddr(22) => '0',
s_axi_araddr(21) => '0',
s_axi_araddr(20) => '0',
s_axi_araddr(19) => '0',
s_axi_araddr(18) => '0',
s_axi_araddr(17) => '0',
s_axi_araddr(16) => '0',
s_axi_araddr(15) => '0',
s_axi_araddr(14) => '0',
s_axi_araddr(13) => '0',
s_axi_araddr(12) => '0',
s_axi_araddr(11) => '0',
s_axi_araddr(10) => '0',
s_axi_araddr(9) => '0',
s_axi_araddr(8) => '0',
s_axi_araddr(7) => '0',
s_axi_araddr(6) => '0',
s_axi_araddr(5) => '0',
s_axi_araddr(4) => '0',
s_axi_araddr(3) => '0',
s_axi_araddr(2) => '0',
s_axi_araddr(1) => '0',
s_axi_araddr(0) => '0',
s_axi_arburst(1) => '0',
s_axi_arburst(0) => '0',
s_axi_arcache(3) => '0',
s_axi_arcache(2) => '0',
s_axi_arcache(1) => '0',
s_axi_arcache(0) => '0',
s_axi_arid(0) => '0',
s_axi_arlen(7) => '0',
s_axi_arlen(6) => '0',
s_axi_arlen(5) => '0',
s_axi_arlen(4) => '0',
s_axi_arlen(3) => '0',
s_axi_arlen(2) => '0',
s_axi_arlen(1) => '0',
s_axi_arlen(0) => '0',
s_axi_arlock(0) => '0',
s_axi_arprot(2) => '0',
s_axi_arprot(1) => '0',
s_axi_arprot(0) => '0',
s_axi_arqos(3) => '0',
s_axi_arqos(2) => '0',
s_axi_arqos(1) => '0',
s_axi_arqos(0) => '0',
s_axi_arready => NLW_U0_s_axi_arready_UNCONNECTED,
s_axi_arregion(3) => '0',
s_axi_arregion(2) => '0',
s_axi_arregion(1) => '0',
s_axi_arregion(0) => '0',
s_axi_arsize(2) => '0',
s_axi_arsize(1) => '0',
s_axi_arsize(0) => '0',
s_axi_aruser(0) => '0',
s_axi_arvalid => '0',
s_axi_awaddr(31) => '0',
s_axi_awaddr(30) => '0',
s_axi_awaddr(29) => '0',
s_axi_awaddr(28) => '0',
s_axi_awaddr(27) => '0',
s_axi_awaddr(26) => '0',
s_axi_awaddr(25) => '0',
s_axi_awaddr(24) => '0',
s_axi_awaddr(23) => '0',
s_axi_awaddr(22) => '0',
s_axi_awaddr(21) => '0',
s_axi_awaddr(20) => '0',
s_axi_awaddr(19) => '0',
s_axi_awaddr(18) => '0',
s_axi_awaddr(17) => '0',
s_axi_awaddr(16) => '0',
s_axi_awaddr(15) => '0',
s_axi_awaddr(14) => '0',
s_axi_awaddr(13) => '0',
s_axi_awaddr(12) => '0',
s_axi_awaddr(11) => '0',
s_axi_awaddr(10) => '0',
s_axi_awaddr(9) => '0',
s_axi_awaddr(8) => '0',
s_axi_awaddr(7) => '0',
s_axi_awaddr(6) => '0',
s_axi_awaddr(5) => '0',
s_axi_awaddr(4) => '0',
s_axi_awaddr(3) => '0',
s_axi_awaddr(2) => '0',
s_axi_awaddr(1) => '0',
s_axi_awaddr(0) => '0',
s_axi_awburst(1) => '0',
s_axi_awburst(0) => '0',
s_axi_awcache(3) => '0',
s_axi_awcache(2) => '0',
s_axi_awcache(1) => '0',
s_axi_awcache(0) => '0',
s_axi_awid(0) => '0',
s_axi_awlen(7) => '0',
s_axi_awlen(6) => '0',
s_axi_awlen(5) => '0',
s_axi_awlen(4) => '0',
s_axi_awlen(3) => '0',
s_axi_awlen(2) => '0',
s_axi_awlen(1) => '0',
s_axi_awlen(0) => '0',
s_axi_awlock(0) => '0',
s_axi_awprot(2) => '0',
s_axi_awprot(1) => '0',
s_axi_awprot(0) => '0',
s_axi_awqos(3) => '0',
s_axi_awqos(2) => '0',
s_axi_awqos(1) => '0',
s_axi_awqos(0) => '0',
s_axi_awready => NLW_U0_s_axi_awready_UNCONNECTED,
s_axi_awregion(3) => '0',
s_axi_awregion(2) => '0',
s_axi_awregion(1) => '0',
s_axi_awregion(0) => '0',
s_axi_awsize(2) => '0',
s_axi_awsize(1) => '0',
s_axi_awsize(0) => '0',
s_axi_awuser(0) => '0',
s_axi_awvalid => '0',
s_axi_bid(0) => NLW_U0_s_axi_bid_UNCONNECTED(0),
s_axi_bready => '0',
s_axi_bresp(1 downto 0) => NLW_U0_s_axi_bresp_UNCONNECTED(1 downto 0),
s_axi_buser(0) => NLW_U0_s_axi_buser_UNCONNECTED(0),
s_axi_bvalid => NLW_U0_s_axi_bvalid_UNCONNECTED,
s_axi_rdata(63 downto 0) => NLW_U0_s_axi_rdata_UNCONNECTED(63 downto 0),
s_axi_rid(0) => NLW_U0_s_axi_rid_UNCONNECTED(0),
s_axi_rlast => NLW_U0_s_axi_rlast_UNCONNECTED,
s_axi_rready => '0',
s_axi_rresp(1 downto 0) => NLW_U0_s_axi_rresp_UNCONNECTED(1 downto 0),
s_axi_ruser(0) => NLW_U0_s_axi_ruser_UNCONNECTED(0),
s_axi_rvalid => NLW_U0_s_axi_rvalid_UNCONNECTED,
s_axi_wdata(63) => '0',
s_axi_wdata(62) => '0',
s_axi_wdata(61) => '0',
s_axi_wdata(60) => '0',
s_axi_wdata(59) => '0',
s_axi_wdata(58) => '0',
s_axi_wdata(57) => '0',
s_axi_wdata(56) => '0',
s_axi_wdata(55) => '0',
s_axi_wdata(54) => '0',
s_axi_wdata(53) => '0',
s_axi_wdata(52) => '0',
s_axi_wdata(51) => '0',
s_axi_wdata(50) => '0',
s_axi_wdata(49) => '0',
s_axi_wdata(48) => '0',
s_axi_wdata(47) => '0',
s_axi_wdata(46) => '0',
s_axi_wdata(45) => '0',
s_axi_wdata(44) => '0',
s_axi_wdata(43) => '0',
s_axi_wdata(42) => '0',
s_axi_wdata(41) => '0',
s_axi_wdata(40) => '0',
s_axi_wdata(39) => '0',
s_axi_wdata(38) => '0',
s_axi_wdata(37) => '0',
s_axi_wdata(36) => '0',
s_axi_wdata(35) => '0',
s_axi_wdata(34) => '0',
s_axi_wdata(33) => '0',
s_axi_wdata(32) => '0',
s_axi_wdata(31) => '0',
s_axi_wdata(30) => '0',
s_axi_wdata(29) => '0',
s_axi_wdata(28) => '0',
s_axi_wdata(27) => '0',
s_axi_wdata(26) => '0',
s_axi_wdata(25) => '0',
s_axi_wdata(24) => '0',
s_axi_wdata(23) => '0',
s_axi_wdata(22) => '0',
s_axi_wdata(21) => '0',
s_axi_wdata(20) => '0',
s_axi_wdata(19) => '0',
s_axi_wdata(18) => '0',
s_axi_wdata(17) => '0',
s_axi_wdata(16) => '0',
s_axi_wdata(15) => '0',
s_axi_wdata(14) => '0',
s_axi_wdata(13) => '0',
s_axi_wdata(12) => '0',
s_axi_wdata(11) => '0',
s_axi_wdata(10) => '0',
s_axi_wdata(9) => '0',
s_axi_wdata(8) => '0',
s_axi_wdata(7) => '0',
s_axi_wdata(6) => '0',
s_axi_wdata(5) => '0',
s_axi_wdata(4) => '0',
s_axi_wdata(3) => '0',
s_axi_wdata(2) => '0',
s_axi_wdata(1) => '0',
s_axi_wdata(0) => '0',
s_axi_wid(0) => '0',
s_axi_wlast => '0',
s_axi_wready => NLW_U0_s_axi_wready_UNCONNECTED,
s_axi_wstrb(7) => '0',
s_axi_wstrb(6) => '0',
s_axi_wstrb(5) => '0',
s_axi_wstrb(4) => '0',
s_axi_wstrb(3) => '0',
s_axi_wstrb(2) => '0',
s_axi_wstrb(1) => '0',
s_axi_wstrb(0) => '0',
s_axi_wuser(0) => '0',
s_axi_wvalid => '0',
s_axis_tdata(7) => '0',
s_axis_tdata(6) => '0',
s_axis_tdata(5) => '0',
s_axis_tdata(4) => '0',
s_axis_tdata(3) => '0',
s_axis_tdata(2) => '0',
s_axis_tdata(1) => '0',
s_axis_tdata(0) => '0',
s_axis_tdest(0) => '0',
s_axis_tid(0) => '0',
s_axis_tkeep(0) => '0',
s_axis_tlast => '0',
s_axis_tready => NLW_U0_s_axis_tready_UNCONNECTED,
s_axis_tstrb(0) => '0',
s_axis_tuser(3) => '0',
s_axis_tuser(2) => '0',
s_axis_tuser(1) => '0',
s_axis_tuser(0) => '0',
s_axis_tvalid => '0',
sbiterr => NLW_U0_sbiterr_UNCONNECTED,
sleep => '0',
srst => '0',
underflow => NLW_U0_underflow_UNCONNECTED,
valid => NLW_U0_valid_UNCONNECTED,
wr_ack => NLW_U0_wr_ack_UNCONNECTED,
wr_clk => wr_clk,
wr_data_count(4 downto 0) => NLW_U0_wr_data_count_UNCONNECTED(4 downto 0),
wr_en => wr_en,
wr_rst => '0',
wr_rst_busy => NLW_U0_wr_rst_busy_UNCONNECTED
);
end STRUCTURE;
| gpl-3.0 | f4b6350bbaadd13ff4a45db71765ee51 | 0.571871 | 2.749735 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/rc_counter.vhd | 3 | 1,352 | --------------------------------------------------------------------------
-- Autor original: Antony Nelson.
-- Modificaciones de esta versión: Jorge Márquez
--
-- Esta rutina contiene las modificaciones indicadas en la sección de
-- Anexos del informe de trabajo de grado PROCESAMIENTO DE IMÁGENES DE
-- ANGIOGRAFÍA BIPLANA USANDO UNA TARJETA DE DESARROLLO SPARTAN-3E
--
-- UNIVERSIDAD DE LOS ANDES
-- FACULTAD DE INGENIERÍA
-- ESCUELA DE INGENIERÍA ELÉCTRICA
--
-- Mérida, Septiembre, 2008
--
------------------------------------------- --------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity rc_counter is
generic (
num_cols: integer:=512;
num_rows: integer:=512
);
port (
Clk : in std_logic;
RSTn : in std_logic;
En : in std_logic;
ColPos : out integer;
RowPos : out integer
);
end rc_counter;
architecture rc_counter of rc_counter is
begin
process(RSTn,Clk,En)
variable ColPos_var: integer:=0;
variable RowPos_var: integer:=0;
begin
if RSTn = '0' then
ColPos_var := -1;
ColPos <= 0;
RowPos_var := 0;
RowPos <= 0;
elsif rising_edge(Clk) then
if En = '1' then
ColPos_var := ColPos_var +1;
if ColPos_var = num_cols then
RowPos_var := RowPos_var +1;
ColPos_var := 0;
if RowPos_var = num_rows then
RowPos_var := 0;
end if;
end if;
ColPos <= ColPos_var;
RowPos <= RowPos_var;
end if;
end if;
end process;
end rc_counter;
| gpl-3.0 | f230207b0d329f7854079aa726ae8213 | 0.636095 | 2.888889 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/auk_dspip_avalon_streaming_sink_hpfir.vhd | 2 | 21,225 | -- (C) 2001-2013 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
-------------------------------------------------------------------------
-------------------------------------------------------------------------
--
-- Revision Control Information
--
-- $Revision: #1 $
-- $Date: 2009/07/29 $
-- Author : Boon Hong Oh
--
-- Project : Atlantic II Sink Interface with ready_latency=0
--
-- Description :
--
-- This interface is capable of handling single or multi channel streams as
-- well as blocks of data. The at_sink_sop and at_sink_eop must be fed as
-- described in the Atlantic II specification. The at_sink_error input is a 2-
-- bit signal that complies with the PFC error format (by Kent Orthner). The
-- error checking is extensively done, however the resulting information is
-- still mapped on the available 3 error states as shown below.
-- 00: no error
-- 01: missing sop
-- 10: missing eop
-- 11: unexpected eop
-- other types of errors also marked as 11.
--
-- ALTERA Confidential and Proprietary
-- Copyright 2006 (c) Altera Corporation
-- All rights reserved
--
-------------------------------------------------------------------------
-------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
use work.auk_dspip_lib_pkg_hpfir.all;
use work.auk_dspip_math_pkg_hpfir.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
entity auk_dspip_avalon_streaming_sink_hpfir is
generic(
WIDTH_g : integer := 24; -- DATA_PORT_COUNT * DATA_WIDTH
DATA_WIDTH : integer := 8;
DATA_PORT_COUNT : integer := 3;
PACKET_SIZE_g : natural := 2
--FIFO_DEPTH_g : natural := 8 --if PFC mode is selected, this generic
--is used for passing the poly_factor.
--MIN_DATA_COUNT_g : natural := 2;
--PFC_MODE_g : boolean := false;
--SOP_EOP_CALC_g : boolean := false; -- calculate sop and eop rather than
-- reading value from fifo
--FAMILY_g : string := "Stratix II";
--MEM_TYPE_g : string := "Auto"
);
port(
clk : in std_logic;
reset_n : in std_logic;
----------------- DESIGN SIDE SIGNALS
data : out std_logic_vector(WIDTH_g-1 downto 0);
data_valid : out std_logic_vector(0 downto 0);
sink_ready_ctrl : in std_logic; --the controller will tell
--the interface whether
--new input can be accepted.
--sink_stall : out std_logic; --needs to stall the design
--if no new data is coming
packet_error : out std_logic_vector (1 downto 0); --this is for SOP and EOP check only.
--when any of these doesn't behave as
--expected, the error is flagged.
--send_sop : out std_logic; -- transmit SOP signal to the design.
-- It only transmits the legal SOP.
--send_eop : out std_logic; -- transmit EOP signal to the design.
-- It only transmits the legal EOP.
----------------- ATLANTIC SIDE SIGNALS
at_sink_ready : out std_logic; --it will be '1' whenever the
--sink_ready_ctrl signal is high.
at_sink_valid : in std_logic;
at_sink_data : in std_logic_vector(WIDTH_g-1 downto 0);
at_sink_sop : in std_logic := '0';
at_sink_eop : in std_logic := '0';
at_sink_error : in std_logic_vector(1 downto 0) := "00" --it indicates
--that there is an error in the packet.
);
end auk_dspip_avalon_streaming_sink_hpfir;
-- hds interface_end
architecture rtl of auk_dspip_avalon_streaming_sink_hpfir is
type STATE_TYPE_t is (start, stall, run1, st_err, end1); -- stall,run_once,wait1,
type OUT_STATE_TYPE_t is (normal, empty_and_not_ready, empty_and_ready);
constant LOG2PACKET_SIZE_c : natural := log2_ceil_one(PACKET_SIZE_g);
signal sink_state : STATE_TYPE_t;
signal sink_next_state : STATE_TYPE_t;
signal reset_count : std_logic;
signal count_enable : std_logic;
signal count : unsigned(LOG2PACKET_SIZE_c -1 downto 0);
signal count_finished : boolean;
signal at_sink_error_int : std_logic;
signal packet_error_int : std_logic_vector (1 downto 0);
signal packet_error_s : std_logic_vector(1 downto 0);
signal at_sink_ready_s : std_logic;
--signal reset : std_logic;
signal max_reached : boolean; -- flag to show counter has reached max value
-- component altera_avalon_sc_fifo is
-- generic(
-- SYMBOLS_PER_BEAT : integer := 1;
-- BITS_PER_SYMBOL : integer := 8;
-- FIFO_DEPTH : integer := 16;
-- CHANNEL_WIDTH : integer := 0;
-- ERROR_WIDTH : integer := 0;
-- USE_PACKETS : integer := 0
-- );
-- port (
-- -- inputs:
-- signal clk : IN STD_LOGIC;
-- signal in_data : IN STD_LOGIC_VECTOR (DATA_WIDTH*DATA_PORT_COUNT-1 DOWNTO 0);
-- signal in_valid : IN STD_LOGIC;
-- signal in_startofpacket : IN STD_LOGIC;
-- signal in_endofpacket : IN STD_LOGIC;
-- signal out_ready : IN STD_LOGIC;
-- signal reset : IN STD_LOGIC;
--
-- signal in_empty : IN STD_LOGIC_VECTOR (log2_ceil_one(DATA_PORT_COUNT)-1 DOWNTO 0);
-- signal in_error : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
-- signal in_channel : IN STD_LOGIC_VECTOR (0 DOWNTO 0);
--
-- signal csr_address : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
-- signal csr_write : IN STD_LOGIC;
-- signal csr_read : IN STD_LOGIC;
-- signal csr_writedata : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
--
-- -- outputs:
-- signal in_ready : OUT STD_LOGIC;
-- signal out_data : OUT STD_LOGIC_VECTOR (DATA_WIDTH*DATA_PORT_COUNT-1 DOWNTO 0);
-- signal out_valid : OUT STD_LOGIC;
--
-- signal out_empty : OUT STD_LOGIC_VECTOR (log2_ceil_one(DATA_PORT_COUNT)-1 DOWNTO 0);
-- signal out_error : OUT STD_LOGIC_VECTOR (0 DOWNTO 0);
-- signal out_channel : OUT STD_LOGIC_VECTOR (0 DOWNTO 0)
-- );
-- end component altera_avalon_sc_fifo;
begin
valid_generate_single : if PACKET_SIZE_g = 1 generate
signal packet_error0 : std_logic;
begin
at_sink_error_int <= at_sink_error(0) when at_sink_valid = '1' else
'0';
packet_error_int <= '0' & packet_error0;
packet_error0 <= '0' when at_sink_error_int = '0' and sink_next_state /= st_err else
'1';
sink_comb_update_1 : process (sink_state, at_sink_valid, at_sink_error_int, at_sink_ready_s)
begin -- process sink_comb_update_1
case sink_state is
when start =>
--fifo_wrreq <= '0';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
else
if at_sink_ready_s = '0' and at_sink_valid = '0' then
sink_next_state <= start;
elsif at_sink_ready_s = '0' and at_sink_valid = '1' then
sink_next_state <= start;
elsif at_sink_ready_s = '1' and at_sink_valid = '0' then
sink_next_state <= stall;
elsif at_sink_ready_s = '1' and at_sink_valid = '1' then
sink_next_state <= run1;
else
sink_next_state <= st_err;
end if;
end if;
when stall =>
--fifo_wrreq <= '0';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
else
if at_sink_ready_s = '0' and at_sink_valid = '0' then
sink_next_state <= start;
elsif at_sink_ready_s = '0' and at_sink_valid = '1' then
sink_next_state <= start;
elsif at_sink_ready_s = '1' and at_sink_valid = '0' then
sink_next_state <= stall;
elsif at_sink_ready_s = '1' and at_sink_valid = '1' then
sink_next_state <= run1;
else
sink_next_state <= st_err;
end if;
end if;
when run1 =>
--fifo_wrreq <= '1';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
else
if at_sink_ready_s = '0' and at_sink_valid = '0' then
sink_next_state <= start;
elsif at_sink_ready_s = '0' and at_sink_valid = '1' then
sink_next_state <= start;
elsif at_sink_ready_s = '1' and at_sink_valid = '0' then
sink_next_state <= stall;
elsif at_sink_ready_s = '1' and at_sink_valid = '1' then
sink_next_state <= run1;
else
sink_next_state <= st_err;
end if;
end if;
when st_err =>
--fifo_wrreq <= '0';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
else
if at_sink_ready_s = '0' and at_sink_valid = '0' then
sink_next_state <= start;
elsif at_sink_ready_s = '0' and at_sink_valid = '1' then
sink_next_state <= start;
elsif at_sink_ready_s = '1' and at_sink_valid = '0' then
sink_next_state <= stall;
elsif at_sink_ready_s = '1' and at_sink_valid = '1' then
sink_next_state <= run1;
else
sink_next_state <= st_err;
end if;
end if;
when others =>
sink_next_state <= st_err;
--fifo_wrreq <= '0';
end case;
end process sink_comb_update_1;
end generate valid_generate_single;
valid_generate_mult : if PACKET_SIZE_g > 1 generate
at_sink_error_int <= at_sink_error(1) or at_sink_error(0) when at_sink_valid = '1' else
'0';
count_enable <= '1' when (sink_next_state = run1 or sink_next_state = end1) else --
--or sink_next_state = run_once) else
'0';
reset_count <= '1' when sink_next_state = st_err else
'0';
sink_comb_update_2 : process (sink_state, at_sink_ready_s, at_sink_valid,
at_sink_error, at_sink_error_int, at_sink_sop,
at_sink_eop, count, count_finished)
begin -- process sink_comb_update_2
case sink_state is
when start =>
--fifo_wrreq <= '0';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
packet_error_int <= at_sink_error;
else
if at_sink_ready_s = '1' and at_sink_valid = '1' and at_sink_sop = '1' then
sink_next_state <= run1;
packet_error_int <= "00";
elsif (at_sink_ready_s = '1' and at_sink_valid = '1' and at_sink_sop = '0') then
sink_next_state <= st_err;
packet_error_int <= "01";
else
sink_next_state <= start;
packet_error_int <= "00";
end if;
end if;
when run1 =>
--fifo_wrreq <= '1';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
packet_error_int <= at_sink_error;
elsif at_sink_sop = '1' and at_sink_valid = '1' then
sink_next_state <= st_err;
packet_error_int <= "01";
elsif (count_finished = false and at_sink_eop = '1' and at_sink_valid = '1') then
sink_next_state <= st_err;
packet_error_int <= "11";
else
if at_sink_eop = '0' and count_finished = false and at_sink_valid = '1' and at_sink_ready_s = '1' then
sink_next_state <= run1;
packet_error_int <= "00";
elsif at_sink_eop = '1' and count_finished = true and at_sink_valid = '1' and at_sink_ready_s = '1' then
sink_next_state <= end1;
packet_error_int <= "00";
elsif (count_finished = true and at_sink_valid = '0' and at_sink_ready_s = '1') or
(at_sink_valid = '0' and at_sink_ready_s = '1') then
sink_next_state <= stall;
packet_error_int <= "00";
elsif (count_finished = true and at_sink_ready_s = '0') or (at_sink_eop = '0' and at_sink_ready_s = '0') then
sink_next_state <= stall; --wait1;
packet_error_int <= "00";
elsif (count_finished = true and at_sink_eop = '0' and at_sink_valid = '1' and at_sink_ready_s = '1') then
sink_next_state <= st_err;
packet_error_int <= "10";
else
sink_next_state <= st_err;
packet_error_int <= "11";
end if;
end if;
when stall =>
--fifo_wrreq <= '0';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
packet_error_int <= at_sink_error;
elsif at_sink_sop = '1' and at_sink_valid = '1' then
sink_next_state <= st_err;
packet_error_int <= "01";
elsif (count_finished = false and at_sink_eop = '1' and at_sink_valid = '1') then
sink_next_state <= st_err;
packet_error_int <= "11";
else
if at_sink_eop = '0' and count_finished = false and at_sink_valid = '1' and at_sink_ready_s = '1' then --and at_sink_ready_int = '1' then
sink_next_state <= run1;
packet_error_int <= "00";
elsif at_sink_eop = '1' and count_finished = true and at_sink_valid = '1' and at_sink_ready_s = '1' then
sink_next_state <= end1;
packet_error_int <= "00";
elsif (count_finished = true and at_sink_valid = '0') or -- and at_sink_ready_s = '1') or
(at_sink_valid = '0' and at_sink_ready_s = '1') then
sink_next_state <= stall;
packet_error_int <= "00";
elsif (count_finished = true and at_sink_ready_s = '0') or (at_sink_eop = '0' and at_sink_ready_s = '0') then
sink_next_state <= stall; --wait1;
packet_error_int <= "00";
elsif (count_finished = true and at_sink_eop = '0' and at_sink_valid = '1' and at_sink_ready_s = '1') then
sink_next_state <= st_err;
packet_error_int <= "10";
else
sink_next_state <= st_err;
packet_error_int <= "11";
end if;
end if;
when end1 =>
--fifo_wrreq <= '1';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
packet_error_int <= at_sink_error;
else
if at_sink_ready_s = '1' and at_sink_valid = '1' and at_sink_sop = '1' then
sink_next_state <= run1;
packet_error_int <= "00";
elsif (at_sink_valid = '1' and at_sink_sop = '0') then
sink_next_state <= st_err;
packet_error_int <= "01";
else
sink_next_state <= start;
packet_error_int <= "00";
end if;
end if;
when st_err =>
--fifo_wrreq <= '0';
if at_sink_error_int = '1' then
sink_next_state <= st_err;
packet_error_int <= at_sink_error;
else
if at_sink_ready_s = '1' and at_sink_valid = '1' and at_sink_sop = '1' then
sink_next_state <= run1;
packet_error_int <= "00";
elsif (at_sink_ready_s = '1' and at_sink_valid = '1' and at_sink_sop = '0') then
sink_next_state <= st_err;
packet_error_int <= "01";
else
sink_next_state <= start;
packet_error_int <= "00";
end if;
end if;
when others => null;
end case;
end process sink_comb_update_2;
counter : process (clk, reset_n)
begin -- process counter
if reset_n = '0' then
count <= (others => '0');
max_reached <= false;
elsif clk'event and clk = '1' then -- rising clock edge
if reset_count = '1' then
count <= (others => '0');
else
if count_enable = '1' then
if count = PACKET_SIZE_g-2 then
max_reached <= true;
else
max_reached <= false;
end if;
if max_reached = false then
count <= count + 1;
else
count <= (others => '0');
end if;
end if;
end if;
end if;
end process counter;
count_finished <= max_reached;
end generate valid_generate_mult;
sink_input_update : process (clk, reset_n)
begin -- process
if reset_n = '0' then
sink_state <= start;
elsif clk'event and clk = '1' then
sink_state <= sink_next_state;
end if;
end process sink_input_update;
-- sink_output_update : process (clk, reset_n)
-- begin -- process
-- if reset_n = '0' then
-- sink_out_state <= normal;
-- elsif clk'event and clk = '1' then
-- sink_out_state <= sink_out_next_state;
-- end if;
-- end process sink_output_update;
error_register : process (clk, reset_n)
begin -- process
if reset_n = '0' then
packet_error_s <= "00";
elsif clk'event and clk = '1' then
packet_error_s <= packet_error_int;
end if;
end process;
packet_error <= packet_error_s;
-----------------------------------------------------------------------------
-- This was included because the vho simulations of fifo produce 'X' in
-- reset whcih means that for the FFT, alll outputs go to X when sop = X
-----------------------------------------------------------------------------
--gen_calc_sop: if SOP_EOP_CALC_g = true generate
--
-- -- generate sop and eop separate
-- out_cnt_p : process (clk, reset)
-- begin -- process out_cnt_p
-- if reset = '1' then
-- fifo_rdreq_d <= '0';
-- out_cnt <= 0;
-- elsif rising_edge(clk) then
-- fifo_rdreq_d <= fifo_rdreq;
-- if fifo_rdreq = '1' then
-- if out_cnt < PACKET_SIZE_g - 1 then
-- out_cnt <= out_cnt + 1;
-- else
-- out_cnt <= 0;
-- end if;
-- end if;
-- end if;
-- end process out_cnt_p;
--
-- send_sop_eop_p : process (clk, reset)
-- begin -- process send_sop_eop_p
-- if reset = '1' then
-- send_sop_s <= '0';
-- send_eop_s <= '0';
-- elsif rising_edge(clk) then
-- if fifo_rdreq = '1' and sink_ready_ctrl = '1' then
-- send_sop_s <= '0';
-- send_eop_s <= '0';
-- if out_cnt = 0 then
-- send_sop_s <= '1';
-- end if;
-- if out_cnt = PACKET_SIZE_g - 1 then
-- send_eop_s <= '1';
-- end if;
-- end if;
-- end if;
-- end process send_sop_eop_p;
--
-- end generate gen_calc_sop;
--reset <= not reset_n;
at_sink_ready <= at_sink_ready_s;
at_sink_ready_s <= sink_ready_ctrl;
data <= at_sink_data;
data_valid(0) <= at_sink_valid;
-- sink_scfifo : altera_avalon_sc_fifo
-- generic map (
-- SYMBOLS_PER_BEAT => DATA_PORT_COUNT,
-- BITS_PER_SYMBOL => DATA_WIDTH,
-- FIFO_DEPTH => FIFO_DEPTH_g,
-- CHANNEL_WIDTH => 0,
-- ERROR_WIDTH => 0,
-- USE_PACKETS => 0)
-- port map (
-- clk => clk,
-- reset => reset,
-- in_ready => at_sink_ready_s,
-- in_data => at_sink_data,
-- in_valid => at_sink_valid,
-- in_startofpacket => '0',
-- in_endofpacket => '0',
-- out_ready => sink_ready_ctrl,
-- out_data => data,
-- out_valid => data_valid(0),
-- in_empty => (others => '0'),
-- in_error => (others => '0'),
-- in_channel => (others => '0'),
-- csr_address => (others => '0'),
-- csr_write => '0',
-- csr_read => '0',
-- csr_writedata => (others => '0'),
-- out_empty => open,
-- out_error => open,
-- out_channel => open);
end rtl;
| gpl-2.0 | 2b6bcf79db2e6fe47ed12ed2dea4a563 | 0.509305 | 3.519317 | false | false | false | false |
steveEECSrubin/usc_projects | ABB/DSP_RX_FSM.vhd | 1 | 7,116 | -- ***************************************************************************
-- File Name: DSP_RX_FSM.vhd
-- File Description:
-- This module receives the packet from DSP connected to RocketIO module.
-- The reason why dsp_tx and dsp_rx are different processess is because ideally
-- rocketio incoming data would be coming at slightly different phase/freq clock than onboard clock.
-- Therefore the received data must be read using rx recovered clock.
-- The clock to this module should be eventually be the MGT rx recovered clock.
-- As long as the RX K-Char is high nothing happens. The moment k-Char goes low it indicates
-- that the packet is being received. Ideally the K-char should be low for the entire lenght of
-- received packet. But in this case the DSP is unable send packet in such format instead the K-char
-- goes high and low many time during the length of packet.
-- The incoming packet bytes are immediately stored in BRAM2 one by one every clk cycle
-- Since the lenght of packet is known a timer is used to decide when to stop writing in BRAM2.
-- After that timer the k-char should be always high untill the next packet arrives. Also this avoids
-- interprocess handshake sigals which are suspected to be one of the possible reasons for data corruption.
-- ***************************************************************************
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.NUMERIC_STD.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
entity DSP_RX_FSM is
port
(
--%%%%%%%%%%%%%%%%%%%%% INPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%%%%%%%
USER_CLK : in std_logic;
MASTER : in std_logic;
START_OPERATION : in std_logic;
RECEIVER_READY : in std_logic;
RX1_CHAR_IS_K : in std_logic;
HMB : in std_logic_vector(1 downto 0);
RX1_DATA : in std_logic_vector(7 downto 0);
BRAM2_DOA : in std_logic_vector(7 downto 0);
--%%%%%%%%%%%%%%%%%%%%% OUTPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%%%%%%%
PACKET_RECEIVED : out std_logic;
BRAM2_ENA : out std_logic;
BRAM2_WEA : out std_logic;
BRAM2_DIA : out std_logic_vector(7 downto 0);
BRAM2_ADDRA : out std_logic_vector(11 downto 0);
CHIPSCOPE_DEBUG : out std_logic_vector(9 downto 0)
);
attribute X_CORE_INFO : string;
attribute X_CORE_INFO of DSP_RX_FSM : entity is "v4fx_mgtwizard_v1_7, Coregen v12.1";
end DSP_RX_FSM;
architecture RTL of DSP_RX_FSM is
----*********************************Signal Declarations********************************
signal master_i : std_logic := '0';
signal start_operation_i : std_logic := '0';
signal packet_error_i : std_logic := '0';
signal rx1_char_is_k_i : std_logic := '0';
signal rx1_k_i : std_logic := '0';
signal packet_received_i : std_logic := '0';
signal receiver_ready_i : std_logic := '0';
signal bram2_ena_i : std_logic := '0';
signal bram2_wea_i : std_logic := '0';
signal command_available_i : std_logic := '0';
signal command_checked_i : std_logic := '0';
signal hmb_i : std_logic_vector(1 downto 0) := "00";
signal RX_STATE : std_logic_vector(3 downto 0) := x"0";
signal temp_rx : std_logic_vector(7 downto 0) := x"00";
signal rx1_data_i : std_logic_vector(7 downto 0) := x"00";
signal bram2_doa_i : std_logic_vector(7 downto 0) := x"00";
signal bram2_dia_i : std_logic_vector(7 downto 0) := x"00";
signal bram2_addra_i : std_logic_vector(11 downto 0) := x"000";
signal chipscope_debug_i : std_logic_vector(9 downto 0) := "0000000000";
signal TOKEN_TIMER : integer range 0 to 1023 := 0;
--*********************************Main Body of Code**********************************
------------------------------------------------------
begin
--%%%%%%%%%%% signal connections for INPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%
master_i <= MASTER;
start_operation_i <= START_OPERATION;
rx1_char_is_k_i <= RX1_CHAR_IS_K;
hmb_i <= HMB;
rx1_data_i <= RX1_DATA;
bram2_doa_i <= BRAM2_DOA;
--%%%%%%%%%%% signal connections for OUTPUT PORTS %%%%%%%%%%%%%%%%%%%%%%%
BRAM2_ENA <= bram2_ena_i;
BRAM2_WEA <= bram2_wea_i;
BRAM2_DIA <= bram2_dia_i;
BRAM2_ADDRA <= bram2_addra_i;
CHIPSCOPE_DEBUG <= chipscope_debug_i;
process(USER_CLK)
begin
if (rising_edge(USER_CLK)) then
chipscope_debug_i(0) <= RX_STATE(0);
temp_rx <= rx1_data_i;
rx1_k_i <= rx1_char_is_k_i;
case RX_STATE is
when x"0" => -- state 0
bram2_ena_i <= '0';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= x"000";
TOKEN_TIMER <= 0;
-- during power ON this state would wait for start operation but to go high.
-- the initial power on time delay is defined in MMC_top_level module using 3 cascaded counters.
if(start_operation_i = '0')then
RX_STATE <= x"0";
elsif(start_operation_i = '1' and master_i = '0')then
RX_STATE <= x"0";
else -- only go to state 1 if the board is master(meaning connected to DSP)
RX_STATE <= x"1";
end if;
when others => -- state 1
RX_STATE <= x"1";
--/*----------------------------------------------------------------
-- when k-char goes low start timer and enable BRAM2 write and increament address every clk.
if(rx1_k_i = '0' and TOKEN_TIMER < 300)then
TOKEN_TIMER <= TOKEN_TIMER+1;
bram2_ena_i <= '1';
bram2_wea_i <= '1';
bram2_dia_i <= temp_rx;
bram2_addra_i <= bram2_addra_i + x"001";
-- when k_char goes high before timer expires meaning that byte is framing character inserted
-- by DSP and not actual data.therefore disable BRAM2 write for that particular clk cycle.
elsif(rx1_k_i = '1' and (TOKEN_TIMER > 0 and TOKEN_TIMER < 300))then
TOKEN_TIMER <= TOKEN_TIMER+1;
bram2_ena_i <= '1';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= bram2_addra_i;
-- The received packet lenght is about 300byte or clk cycles
-- after 300 cycles wait(no operation) until clk cycle 800.
-- because during that time dsp_tx module would be using BRAM2 and therefore to prevent
-- any overwriting on BRAM2(because of false triggering on receiving section) it would be better
-- to wait untill BRAM2 is avaiablable again.
-- BRAM2 is available when dsp_tx finishes transmitting packet to DSP
elsif(TOKEN_TIMER >= 300 and TOKEN_TIMER < 800)then
TOKEN_TIMER <= TOKEN_TIMER+1;
bram2_ena_i <= '0';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= x"000";
else -- reset to default in any other condition.
TOKEN_TIMER <= 0;
bram2_ena_i <= '0';
bram2_wea_i <= '0';
bram2_dia_i <= x"00";
bram2_addra_i <= x"000";
end if;
end case;
end if;
end process;
--
end RTL;
| mit | a027acef12448eb5593ced2793f4d58f | 0.569983 | 3.193896 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/RS232/RS232 RefComp/SourceFiles/RS232RefComp.vhd | 2 | 11,024 | ------------------------------------------------------------------------
-- RS232RefCom.vhd
------------------------------------------------------------------------
-- Author: Dan Pederson
-- Copyright 2004 Digilent, Inc.
------------------------------------------------------------------------
-- Description: This file defines a UART which tranfers data from
-- serial form to parallel form and vice versa.
------------------------------------------------------------------------
-- Revision History:
-- 07/15/04 (Created) DanP
-- 02/25/08 (Created) ClaudiaG: made use of the baudDivide constant
-- in the Clock Dividing Processes
------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following lines to use the declarations that are
-- provided for instantiating Xilinx primitive components.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Rs232RefComp is
Port (
TXD : out std_logic := '1';
RXD : in std_logic;
CLK : in std_logic; --Master Clock
DBIN : in std_logic_vector (7 downto 0); --Data Bus in
DBOUT : out std_logic_vector (7 downto 0); --Data Bus out
RDA : inout std_logic; --Read Data Available
TBE : inout std_logic := '1'; --Transfer Bus Empty
RD : in std_logic; --Read Strobe
WR : in std_logic; --Write Strobe
PE : out std_logic; --Parity Error Flag
FE : out std_logic; --Frame Error Flag
OE : out std_logic; --Overwrite Error Flag
RST : in std_logic := '0'); --Master Reset
end Rs232RefComp;
architecture Behavioral of Rs232RefComp is
------------------------------------------------------------------------
-- Component Declarations
------------------------------------------------------------------------
------------------------------------------------------------------------
-- Local Type Declarations
------------------------------------------------------------------------
--Receive state machine
type rstate is (
strIdle, --Idle state
strEightDelay, --Delays for 8 clock cycles
strGetData, --Shifts in the 8 data bits, and checks parity
strCheckStop --Sets framing error flag if Stop bit is wrong
);
type tstate is (
sttIdle, --Idle state
sttTransfer, --Move data into shift register
sttShift --Shift out data
);
type TBEstate is (
stbeIdle,
stbeSetTBE,
stbeWaitLoad,
stbeWaitWrite
);
------------------------------------------------------------------------
-- Signal Declarations
------------------------------------------------------------------------
constant baudDivide : std_logic_vector(7 downto 0) := "10100011"; --Baud Rate dividor, set now for a rate of 9600.
--Found by dividing 50MHz by 9600 and 16.
signal rdReg : std_logic_vector(7 downto 0) := "00000000"; --Receive holding register
signal rdSReg : std_logic_vector(9 downto 0) := "1111111111"; --Receive shift register
signal tfReg : std_logic_vector(7 downto 0); --Transfer holding register
signal tfSReg : std_logic_vector(10 downto 0) := "11111111111"; --Transfer shift register
signal clkDiv : std_logic_vector(8 downto 0) := "000000000"; --used for rClk
signal rClkDiv : std_logic_vector(3 downto 0) := "0000"; --used for tClk
signal ctr : std_logic_vector(3 downto 0) := "0000"; --used for delay times
signal tfCtr : std_logic_vector(3 downto 0) := "0000"; --used to delay in transfer
signal rClk : std_logic := '0'; --Receiving Clock
signal tClk : std_logic; --Transfering Clock
signal dataCtr : std_logic_vector(3 downto 0) := "0000"; --Counts the number of read data bits
signal parError: std_logic; --Parity error bit
signal frameError: std_logic; --Frame error bit
signal CE : std_logic; --Clock enable for the latch
signal ctRst : std_logic := '0';
signal load : std_logic := '0';
signal shift : std_logic := '0';
signal par : std_logic;
signal tClkRST : std_logic := '0';
signal rShift : std_logic := '0';
signal dataRST : std_logic := '0';
signal dataIncr: std_logic := '0';
signal strCur : rstate := strIdle; --Current state in the Receive state machine
signal strNext : rstate; --Next state in the Receive state machine
signal sttCur : tstate := sttIdle; --Current state in the Transfer state machine
signal sttNext : tstate; --Next state in the Transfer staet machine
signal stbeCur : TBEstate := stbeIdle;
signal stbeNext: TBEstate;
------------------------------------------------------------------------
-- Module Implementation
------------------------------------------------------------------------
begin
frameError <= not rdSReg(9);
parError <= not ( rdSReg(8) xor (((rdSReg(0) xor rdSReg(1)) xor (rdSReg(2) xor rdSReg(3))) xor ((rdSReg(4) xor rdSReg(5)) xor (rdSReg(6) xor rdSReg(7)))) );
DBOUT <= rdReg;
tfReg <= DBIN;
par <= not ( ((tfReg(0) xor tfReg(1)) xor (tfReg(2) xor tfReg(3))) xor ((tfReg(4) xor tfReg(5)) xor (tfReg(6) xor tfReg(7))) );
--Clock Dividing Functions--
process (CLK, clkDiv) --set up clock divide for rClk
begin
if (Clk = '1' and Clk'event) then
if (clkDiv = baudDivide) then
clkDiv <= "000000000";
else
clkDiv <= clkDiv +1;
end if;
end if;
end process;
process (clkDiv, rClk, CLK) --Define rClk
begin
if CLK = '1' and CLK'Event then
if clkDiv = baudDivide then
rClk <= not rClk;
else
rClk <= rClk;
end if;
end if;
end process;
process (rClk) --set up clock divide for tClk
begin
if (rClk = '1' and rClk'event) then
rClkDiv <= rClkDiv +1;
end if;
end process;
tClk <= rClkDiv(3); --define tClk
process (rClk, ctRst) --set up a counter based on rClk
begin
if rClk = '1' and rClk'Event then
if ctRst = '1' then
ctr <= "0000";
else
ctr <= ctr +1;
end if;
end if;
end process;
process (tClk, tClkRST) --set up a counter based on tClk
begin
if (tClk = '1' and tClk'event) then
if tClkRST = '1' then
tfCtr <= "0000";
else
tfCtr <= tfCtr +1;
end if;
end if;
end process;
--This process controls the error flags--
process (rClk, RST, RD, CE)
begin
if RD = '1' or RST = '1' then
FE <= '0';
OE <= '0';
RDA <= '0';
PE <= '0';
elsif rClk = '1' and rClk'event then
if CE = '1' then
FE <= frameError;
OE <= RDA;
RDA <= '1';
PE <= parError;
rdReg(7 downto 0) <= rdSReg (7 downto 0);
end if;
end if;
end process;
--This process controls the receiving shift register--
process (rClk, rShift)
begin
if rClk = '1' and rClk'Event then
if rShift = '1' then
rdSReg <= (RXD & rdSReg(9 downto 1));
end if;
end if;
end process;
--This process controls the dataCtr to keep track of shifted values--
process (rClk, dataRST)
begin
if (rClk = '1' and rClk'event) then
if dataRST = '1' then
dataCtr <= "0000";
elsif dataIncr = '1' then
dataCtr <= dataCtr +1;
end if;
end if;
end process;
--Receiving State Machine--
process (rClk, RST)
begin
if rClk = '1' and rClk'Event then
if RST = '1' then
strCur <= strIdle;
else
strCur <= strNext;
end if;
end if;
end process;
--This process generates the sequence of steps needed receive the data
process (strCur, ctr, RXD, dataCtr, rdSReg, rdReg, RDA)
begin
case strCur is
when strIdle =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
CE <= '0';
if RXD = '0' then
ctRst <= '1';
strNext <= strEightDelay;
else
ctRst <= '0';
strNext <= strIdle;
end if;
when strEightDelay =>
dataIncr <= '0';
rShift <= '0';
CE <= '0';
if ctr(2 downto 0) = "111" then
ctRst <= '1';
dataRST <= '1';
strNext <= strGetData;
else
ctRst <= '0';
dataRST <= '0';
strNext <= strEightDelay;
end if;
when strGetData =>
CE <= '0';
dataRst <= '0';
if ctr(3 downto 0) = "1111" then
ctRst <= '1';
dataIncr <= '1';
rShift <= '1';
else
ctRst <= '0';
dataIncr <= '0';
rShift <= '0';
end if;
if dataCtr = "1010" then
strNext <= strCheckStop;
else
strNext <= strGetData;
end if;
when strCheckStop =>
dataIncr <= '0';
rShift <= '0';
dataRst <= '0';
ctRst <= '0';
CE <= '1';
strNext <= strIdle;
end case;
end process;
--TBE State Machine--
process (CLK, RST)
begin
if CLK = '1' and CLK'Event then
if RST = '1' then
stbeCur <= stbeIdle;
else
stbeCur <= stbeNext;
end if;
end if;
end process;
--This process gererates the sequence of events needed to control the TBE flag--
process (stbeCur, CLK, WR, DBIN, load)
begin
case stbeCur is
when stbeIdle =>
TBE <= '1';
if WR = '1' then
stbeNext <= stbeSetTBE;
else
stbeNext <= stbeIdle;
end if;
when stbeSetTBE =>
TBE <= '0';
if load = '1' then
stbeNext <= stbeWaitLoad;
else
stbeNext <= stbeSetTBE;
end if;
when stbeWaitLoad =>
if load = '0' then
stbeNext <= stbeWaitWrite;
else
stbeNext <= stbeWaitLoad;
end if;
when stbeWaitWrite =>
if WR = '0' then
stbeNext <= stbeIdle;
else
stbeNext <= stbeWaitWrite;
end if;
end case;
end process;
--This process loads and shifts out the transfer shift register--
process (load, shift, tClk, tfSReg)
begin
TXD <= tfsReg(0);
if tClk = '1' and tClk'Event then
if load = '1' then
tfSReg (10 downto 0) <= ('1' & par & tfReg(7 downto 0) &'0');
end if;
if shift = '1' then
tfSReg (10 downto 0) <= ('1' & tfSReg(10 downto 1));
end if;
end if;
end process;
-- Transfer State Machine--
process (tClk, RST)
begin
if (tClk = '1' and tClk'Event) then
if RST = '1' then
sttCur <= sttIdle;
else
sttCur <= sttNext;
end if;
end if;
end process;
-- This process generates the sequence of steps needed transfer the data--
process (sttCur, tfCtr, tfReg, TBE, tclk)
begin
case sttCur is
when sttIdle =>
tClkRST <= '0';
shift <= '0';
load <= '0';
if TBE = '1' then
sttNext <= sttIdle;
else
sttNext <= sttTransfer;
end if;
when sttTransfer =>
shift <= '0';
load <= '1';
tClkRST <= '1';
sttNext <= sttShift;
when sttShift =>
shift <= '1';
load <= '0';
tClkRST <= '0';
if tfCtr = "1100" then
sttNext <= sttIdle;
else
sttNext <= sttShift;
end if;
end case;
end process;
end Behavioral; | gpl-3.0 | 0bb14e7754056ca14f5b66f3fa5b6490 | 0.53438 | 3.146119 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/shft_wrapper.vhd | 6 | 13,889 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8544)
`protect data_block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`protect end_protected
| gpl-3.0 | 6cf849e0ba4617fc56d5b60ed9fedf2b | 0.935561 | 1.877399 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/auditoría_imagen_16x16/tope_TestBench.vhd | 1 | 6,658 | --------------------------------------------------------------------------
--Autor: Jorge Márquez
--fecha: julio 2008
---------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity tope_tb is
-- generic(
-- vwidth : INTEGER := 8;
-- order : INTEGER := 5;
-- num_cols : INTEGER := 512;
-- num_rows : INTEGER := 512 );
end tope_tb;
architecture TB_ARCHITECTURE of tope_tb is
component tope_rof512_uart --componente
-- generic( --componente
-- vwidth : INTEGER := 8; --componente
-- order : INTEGER := 5; --componente
-- num_cols : INTEGER := 512; --componente
-- num_rows : INTEGER := 512 ); --componente
Port ( tx_female : out std_logic;
rx_female : in std_logic;
slides_SW : in std_logic_vector(3 downto 0);
LED : out std_logic_vector(7 downto 0);
RSTn : in std_logic;
clk : in std_logic); --componente
end component; --componente
signal slides_SW : std_logic_vector(3 downto 0);
signal tx_female : std_logic:= '0'; --decl señales
signal rx_female : std_logic:= '0'; --decl señales
signal LED : std_logic_vector(7 downto 0) := "00000000"; --decl señales
signal RSTn : std_logic:= '0'; --decl señales
signal clk : std_logic:= '0'; --decl señales
signal TT : std_logic:= '0';
signal byteindata: std_logic_vector(7 downto 0) := "00000000";
begin
UUT : tope_rof512_uart
port map --portmap
(clk => clk, --portmap
RSTn => RSTn, --portmap
LED => LED, --portmap
slides_SW => slides_SW,
rx_female => rx_female, --portmap
tx_female => tx_female ); --portmap
rx_female <= byteindata(0);
read_from_file: process(TT) --read_from_file
variable indata_line: line; --read_from_file
variable indata: integer; --read_from_file
file input_data_file: text open read_mode is "C:\MATLAB701\work\lenasyp16x16.ser"; --read_from_file
begin --read_from_file
if rising_edge(TT) or falling_edge(TT) then --read_from_file
readline(input_data_file,indata_line); --read_from_file
read(indata_line,indata); --read_from_file
byteindata <= conv_std_logic_vector(indata,8); --original: D <= conv_std_logic_vector(indata,8);
-- rx_female <= byteindata(0);
if endfile(input_data_file) then --read_from_file
report "end of file -- looping back to start of file"; --read_from_file
file_close(input_data_file); --read_from_file
file_open(input_data_file,"C:\MATLAB701\work\lenasyp16x16.ser"); --read_from_file
end if; --read_from_file
end if; --read_from_file
end process; --read_from_file
-- write_to_file: process(Clk) --write_to_file
-- variable outdata_line: line; --write_to_file
-- variable outdata: integer:=0; --write_to_file
-- file output_data_file: text open write_mode is "D:\JORGETESIS\proc_HW1lena512_syp.ser"; --write_to_file
-- begin --write_to_file
-- if rising_edge(Clk) then --write_to_file
-- outdata := CONV_INTEGER(tx_female); --write_to_file --original: outdata := CONV_INTEGER(unsigned(Dout));
-- -- if DV = '1' then --write_to_file
-- write(outdata_line,outdata); --write_to_file
-- writeline(output_data_file,outdata_line); --write_to_file
-- -- end if; --write_to_file
-- end if; --write_to_file
-- end process; --write_to_file
clock_gen: process --reloj
begin --reloj
Clk <= '0'; --reloj
wait for 10 ns; --reloj
Clk <= '1'; --reloj
wait for 10 ns; --reloj
end process; --reloj
TT_gen: process --patron de transmisión (8680=~1/115200)
begin --patron de transmisión (8680=~1/115200)
TT <= '0'; --patron de transmisión (8680=~1/115200)
wait for 8680 ns; --patron de transmisión (8680=~1/115200)
TT <= '1'; --patron de transmisión (8680=~1/115200)
wait for 8680 ns; --patron de transmisión (8680=~1/115200)
end process;
reset_gen: process --reset
begin --reset
RSTn <= '0'; --reset
wait for 20 ns; --reset
RSTn <= '1'; --reset
wait; --reset
end process; --reset
slides_SW(0)<= '1';
slides_SW(1)<= '1';
slides_SW(2)<= '0';
slides_SW(3)<= '1';
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_tope_rof512_uart of tope_tb is
for TB_ARCHITECTURE
for UUT : tope_rof512_uart
use entity work.tope_rof512_uart(comportamiento);
end for;
end for;
end TESTBENCH_FOR_tope_rof512_uart;
| gpl-3.0 | 5697360537317b5689308d94b2cd4a5d | 0.408081 | 4.145704 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/rd_status_flags_as.vhd | 6 | 15,251 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552)
`protect data_block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`protect end_protected
| gpl-3.0 | 280597e2acb1fc0c0ba52df26b06bdfa | 0.934365 | 1.865338 | false | false | false | false |
SebastianCallh/copter-modern | cpu.vhd | 1 | 31,000 | --CPU
-- library declaration
library IEEE;
use IEEE.STD_LOGIC_1164.ALL; -- basic IEEE library
use IEEE.NUMERIC_STD.ALL; -- IEEE library for the unsigned type
entity CPU is
port ( clk : in std_logic; -- Systen clock
collision : in std_logic;
reset : in std_logic;
player_x : out integer;
player_y : out integer;
input : in std_logic;
new_column : in std_logic;
gap : out integer := 60;
height : out integer := 0;
terrain_change : in std_logic;
speed : out integer;
score : out std_logic_vector(15 downto 0));
end CPU;
architecture Behavioral of CPU is
-- Signals that connect to the bus (and the bus itself)
signal data_bus : std_logic_vector(15 downto 0);
signal pc : std_logic_vector(15 downto 0) := x"0012";
signal asr : std_logic_vector(15 downto 0);
signal alu_input : signed(15 downto 0);
signal alu_res : std_logic_vector(15 downto 0);
signal res : std_logic_vector(15 downto 0);
signal ir : std_logic_vector(31 downto 0);
signal pmem_asr : std_logic_vector(15 downto 0);
signal pmem_res : std_logic_vector(15 downto 0);
-- Registers
signal reg1 : std_logic_vector(15 downto 0) := "0000000000000011";
signal reg2 : std_logic_vector(15 downto 0) := "0000000000000001";
signal reg3 : std_logic_vector(15 downto 0);
signal reg4 : std_logic_vector(15 downto 0);
-- Micro
signal micro_instr : std_logic_vector(23 downto 0);
signal micro_pc : std_logic_vector(7 downto 0) := "00000000";
-- Interrupt alerts
signal terrain_prev : std_logic;
signal terrain_alert : std_logic;
signal input_prev : std_logic;
signal press_alert : std_logic;
signal release_alert : std_logic;
signal collision_prev : std_logic;
signal collision_alert : std_logic;
signal reset_prev : std_logic;
signal reset_alert : std_logic;
signal input_release : std_logic;
-- Move player signals
signal player_upd_alert : std_logic;
signal player_upd_counter : integer := 0;
-- Interrupt states saved
signal intr_pc : std_logic_vector(15 downto 0);
signal intr_res : std_logic_vector(15 downto 0);
signal intr_alu_res : std_logic_vector(15 downto 0);
signal intr_z : std_logic;
signal intr_c : std_logic;
signal intr_n : std_logic;
signal intr_o : std_logic;
signal intr_enable : std_logic := '0';
-- ALU signals
signal alu_add : std_logic_vector(16 downto 0);
signal alu_sub : std_logic_vector(16 downto 0);
signal alu_not : std_logic_vector(15 downto 0);
signal alu_and : std_logic_vector(15 downto 0);
signal alu_or : std_logic_vector(15 downto 0);
signal alu_xor : std_logic_vector(15 downto 0);
--ran_gen signals
signal ran_nr : std_logic_vector(31 downto 0) := (others => '0');
signal ran_bit : std_logic;
-- Initial value for new_ran is seed
signal new_ran : std_logic_vector(31 downto 0) := "10101010001010110010110001010010";
-- Flags
signal n_flag : std_logic;
signal z_flag : std_logic;
signal o_flag : std_logic;
signal c_flag : std_logic;
-- Constants (Variables)
signal x_pos : std_logic_vector(15 downto 0) := x"0004";
signal y_pos : std_logic_vector(15 downto 0) := x"0005";
signal height_pos : std_logic_vector(15 downto 0) := x"0007";
signal gap_pos : std_logic_vector(15 downto 0) := x"0008";
signal player_upd : std_logic_vector(15 downto 0) := x"000C";
signal press_pos : std_logic_vector(15 downto 0) := x"000D";
signal release_pos : std_logic_vector(15 downto 0) := x"000E";
signal speed_pos : std_logic_vector(15 downto 0) := x"0011";
signal speed_internal : integer := 1000;
signal player_speed : integer;
-- Progress signals
signal progress : unsigned(15 downto 0) := (others => '0');
signal progress_counter : integer := 0; -- updates progress every second
signal PROGRESS_LATENCY : integer := 10000000; -- 1/10th second (if clock at 100MHz)
-- Score signals
signal score_counter : integer := 0;
signal SCORE_LATENCY : integer := 10000000; -- 1/10th second (if clock at 100MHz)
-- Score counters
signal ones : unsigned(3 downto 0) := (others => '0');
signal tens : unsigned(3 downto 0) := (others => '0');
signal hundreds : unsigned(3 downto 0) := (others => '0');
signal thousands : unsigned(3 downto 0) := (others => '0');
-- Alias
alias TO_BUS : std_logic_vector(3 downto 0) is micro_instr(23 downto 20); -- to bus
alias FROM_BUS : std_logic_vector(3 downto 0) is micro_instr(19 downto 16); -- from bus
alias P_BIT : std_logic is micro_instr(15); -- p bit
alias ALU_OP : std_logic_vector(2 downto 0) is micro_instr(14 downto 12); -- alu_op
alias SEQ : std_logic_vector(3 downto 0) is micro_instr(11 downto 8); -- seq
alias MICRO_ADR : std_logic_vector(7 downto 0) is micro_instr(7 downto 0); -- micro address
alias FETCH_NEXT : std_logic is ir(21);
alias OP_CODE : std_logic_vector(7 downto 0) is ir(31 downto 24);
-- Interrupt vectors
constant COLLISION_INTERRUPT_VECTOR : std_logic_vector(15 downto 0) := x"0000";
constant TERRAIN_CHANGE_INTERRUPT_VECTOR : std_logic_vector(15 downto 0) := x"0001";
constant RESET_INTERRUPT_VECTOR : std_logic_vector(15 downto 0) := x"0002";
-- Player update frequency
constant PLAYER_UPDATE_LATENCY : integer := 1400000; -- same as offset for now
constant ZERO : std_logic_vector(15 downto 0) := x"0000";
constant ONE : std_logic_vector(15 downto 0) := x"0001";
-- PMEM (Max is 65535 for 16 bit addresses)
type ram_t is array (0 to 4096) of std_logic_vector(15 downto 0);
signal pmem : ram_t := (
-- The processed assembly code is pasted here
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"0000",
x"3420",
x"0000",
x"1620",
x"010d",
x"3420",
x"0001",
x"1620",
x"00f5",
x"3420",
x"0002",
x"1620",
x"010d",
x"3420",
x"0004",
x"1620",
x"0096",
x"3420",
x"0005",
x"1620",
x"00c8",
x"3420",
x"0007",
x"1620",
x"000f",
x"3420",
x"0008",
x"1620",
x"001e",
x"3420",
x"0006",
x"1620",
x"0001",
x"3420",
x"0010",
x"1620",
x"0000",
x"3420",
x"0011",
x"1620",
x"01f4",
x"4500",
x"4000",
x"3420",
x"000c",
x"3620",
x"0001",
x"1F20",
x"0070",
x"3D20",
x"000a",
x"1F20",
x"004a",
x"3320",
x"003e",
x"4420",
x"0000",
x"3420",
x"0013",
x"1720",
x"0001",
x"3420",
x"0011",
x"3620",
x"012c",
x"2320",
x"005a",
x"3420",
x"0011",
x"1B20",
x"000a",
x"3420",
x"0013",
x"3620",
x"000a",
x"2120",
x"003e",
x"3420",
x"0013",
x"1620",
x"0000",
x"3420",
x"0008",
x"3620",
x"0010",
x"2320",
x"003e",
x"3420",
x"0008",
x"1B20",
x"0001",
x"3320",
x"003e",
x"3420",
x"000c",
x"1620",
x"0000",
x"3420",
x"000d",
x"3620",
x"0000",
x"1F20",
x"008a",
x"3420",
x"000e",
x"3620",
x"0000",
x"1F20",
x"00a6",
x"3420",
x"000d",
x"1620",
x"0000",
x"3420",
x"000e",
x"1620",
x"0000",
x"3320",
x"003e",
x"3420",
x"0005",
x"3620",
x"01c2",
x"3920",
x"003e",
x"3420",
x"0010",
x"3620",
x"0005",
x"2120",
x"00c2",
x"3420",
x"0010",
x"1620",
x"0000",
x"3420",
x"0006",
x"3620",
x"0003",
x"1F20",
x"00c2",
x"3420",
x"0006",
x"1720",
x"0001",
x"3320",
x"00c2",
x"3420",
x"0005",
x"3620",
x"0003",
x"2320",
x"003e",
x"3420",
x"0010",
x"3620",
x"0005",
x"2120",
x"00c2",
x"3420",
x"0010",
x"1620",
x"0000",
x"3420",
x"0006",
x"3620",
x"fffd",
x"1F20",
x"00c2",
x"3420",
x"0006",
x"1B20",
x"0001",
x"3320",
x"00c2",
x"3420",
x"0010",
x"1720",
x"0001",
x"3420",
x"0005",
x"1760",
x"0006",
x"3420",
x"000c",
x"1620",
x"0000",
x"4500",
x"3320",
x"003e",
x"3420",
x"0007",
x"3620",
x"0001",
x"1F20",
x"0147",
x"3420",
x"0007",
x"1B20",
x"0001",
x"4500",
x"3B00",
x"3320",
x"003e",
x"3420",
x"0009",
x"1660",
x"0007",
x"3420",
x"0009",
x"1760",
x"0008",
x"3420",
x"0009",
x"3620",
x"003a",
x"3920",
x"014c",
x"3420",
x"0007",
x"1720",
x"0001",
x"4500",
x"3B00",
x"3320",
x"003e",
x"3520",
x"000a",
x"3420",
x"000a",
x"2720",
x"0003",
x"3420",
x"000a",
x"1760",
x"0012",
x"3420",
x"000a",
x"3620",
x"0002",
x"2320",
x"00d1",
x"3420",
x"000a",
x"3620",
x"0003",
x"3920",
x"00df",
x"3320",
x"0146",
x"3420",
x"0003",
x"1620",
x"0001",
x"3420",
x"0007",
x"1620",
x"0000",
x"3420",
x"0008",
x"1620",
x"0041",
x"4500",
x"3420",
x"000a",
x"1620",
x"ffff",
x"3420",
x"000a",
x"3620",
x"0000",
x"1F20",
x"012a",
x"3420",
x"000a",
x"1B20",
x"0001",
x"3320",
x"011e",
x"3420",
x"0008",
x"1620",
x"001e",
x"3420",
x"0007",
x"1620",
x"000f",
x"3420",
x"0005",
x"1620",
x"0014",
x"3420",
x"0011",
x"1620",
x"01f4",
x"4500",
x"3B00",
x"3320",
x"003e",
x"3420",
x"0003",
x"1620",
x"0001",
x"3420",
x"0005",
x"1620",
x"00c8",
x"3B00",
x"3420",
x"0012",
x"1620",
x"0001",
x"3B00",
x"3420",
x"0012",
x"1620",
x"0000",
x"3B00",
x"3320",
x"003e",
x"FF00",
others => "0000000000000000");
-- micro-MEM (Max is 255 for 8 bit addresses)
type micro_mem_t is array (0 to 255) of std_logic_vector(23 downto 0);
signal micro_mem : micro_mem_t := (
-- Here are all the micro programs
"000000000000111101000001", -- check for interrupts, ASR <= PC
"000100100000000000000000", -- asr <= pc
"001100000000000000000000", -- fetch instruction (only 16 bits)
"001101100000000000000000", -- and check for 32 bit instruction
"000000001000100000010100", -- if 32 bit fetch next 16, else goto OP
"000100101000000000000000", -- asr <= pc, pc++
"001100000000000000000000", -- fetch pmem(asr)
"001101110000000000000000", -- ir(15 downto 0) <= pmem(asr)
"000000000000001000000000", -- 08:check adress mod
"001100000000000000000000", -- 09:ABSOLUTE fetch pmem(asr)
"001100100000000100000000", -- asr <= pmem(asr)
"001100000000000000000000", -- 0B:DIRECT fetch pmem(asr)
"001100100000000000000000", -- asr <= pmem(asr)
"001100000000000000000000", -- fetch pmem(asr)
"001100100000000100000000", -- asr <= pmem(asr)
"001100000000000000000000", -- 0F:INDIRECT fetch pmem(asr)
"001100100000000000000000", -- asr <= pmem(asr)
"001100000000000000000000", -- fetch pmem(asr)
"001100100000000000000000", -- asr <= pmem(asr)
"001100000000000000000000", -- fetch pmem(asr)
"001100100000000100000000", -- asr <= pmem(asr)
"000000000000000100000000", -- 15:OP micro_pc <= OP
"001011000000001100000000", -- 16:mv pmem(res) <= asr
"110000000000000000000000", -- 17:add fetch pmem(res)
"110001000000000000000000", -- alu_res <= pmem(res)
"001000000001000000000000", -- alu_res += asr
"010011000000001100000000", -- pmem(res) <= alu_res
"110000000000000000000000", -- 1B:sub fetch pmem(res)
"110001000000000000000000", -- alu_res <= pmem(res)
"001000000010000000000000", -- alu_res -= asr
"010011000000001100000000", -- pmem(res) <= alu_res
"000000000000010100000000", -- 1F:beq if z = 0: u_pc <= 0
"001000010000001100000000", -- PC <= asr
"000000000000011100000000", -- 21:bne if z = 1: u_pc <= 0
"001000010000001100000000", -- PC <= asr
"000000000000100100000000", -- 23:bn if n = 0: u_pc <= 0
"001000010000001100000000", -- PC <= asr
"001000000011000000000000", -- 25:not alu_res <= not asr
"010011000000001100000000", -- pmem(res) <= alu_res
"110000000000000000000000", -- 27:and fetch pmem(res)
"110001000000000000000000", -- alu_res <= pmem(res)
"001000000100000000000000", -- alu_res <= alu_res and asr
"010011000000001100000000", -- pmem(res) <= alu_res
"110000000000000000000000", -- 2B:or fetch pmem(res)
"110001000000000000000000", -- alu_res <= pmem(res)
"001000000101000000000000", -- alu_res <= alu_res or asr
"010011000000001100000000", -- pmem(res) <= alu_res
"110000000000000000000000", -- 2F:xor fetch pmem(res)
"110001000000000000000000", -- alu_res <= pmem(res)
"001000000110000000000000", -- alu_res = alu_res xor asr
"010011000000001100000000", -- pmem(res) <= alu_res
"001000010000001100000000", -- 33:jmp PC <= asr
"001001010000001100000000", -- 34:res res <= asr (load res)
"110100110000001100000000", -- 35:ran pmem(asr) <= rand_nr
"110000000000000000000000", -- 36:cmp fetch pmem(res)
"110001000000000000000000", -- alu_res <= pmem(res)
"001000000010001100000000", -- alu_res <= alu_res - asr
"000000000000011000000000", -- 39:bp if n = 1: u_pc <= 0
"001000010000001100000000", -- PC <= asr
"000000000000101000000000", -- 3B:rfi (return from interrupt)
"000000000000001100000000", -- micro_pc <= 0
"111000000000000000000000", -- 3D:pcmp fetch progress
"111001000000000000000000", -- alu_res <= progress
"001000000010001100000000", -- alu_res <= alu_res - asr
"000000000000110000000000", -- 40:eint enable interrupts
"000100100000000000000000", -- 41:intr asr <= pc
"001100000000000000000000", -- fetch pmem(asr)
"001100010000010000000001", -- pc <= pmem(asr), micro_pc <= 1
"001011100000000000000000", -- 44:lprg progress <= asr
-- NOTE: place all new micro programs above upd, in case update needs to...update
"000000000000000000000001", -- 45:upd player_x <= pmem(x_pos)
"000000000000000000000010", -- player_y <= pmem(y_pos)
"000000000000000000000011", -- height <= pmem(height_pos)
"000000000000000000000100", -- gap <= pmem(gap_pos)
"000000000000000000000101", -- speed <= pmem(speed_pos)
"000000000000001100000000", -- micro_pc <= 0
others => "000000000000000000000000");
-- ROM (mod) (Includes all 4 mods, need to be updated with correct micro-addresses)
type mod_rom_t is array (0 to 3) of std_logic_vector(7 downto 0);
constant mod_rom : mod_rom_t := (x"09", x"0B", x"0F", x"00");
begin -- Behavioral
-- fetching micro_instr
micro_instr <= micro_mem(to_integer(unsigned(micro_pc)));
-- Speed
speed <= speed_internal;
-- Score
score(15 downto 12) <= std_logic_vector(thousands);
score(11 downto 8) <= std_logic_vector(hundreds);
score(7 downto 4) <= std_logic_vector(tens);
score(3 downto 0) <= std_logic_vector(ones);
-- Update
process(clk)
begin
if rising_edge(clk) then
-- Put the information from pmem on the correct signals
-- (this makes sure vga_motor and pic_mem has the correct information
-- for drawing on the screen)
if micro_instr = "000000000000000000000001" then
player_x <= to_integer(unsigned(pmem(to_integer(unsigned(x_pos)))));
elsif micro_instr = "000000000000000000000010" then
player_y <= to_integer(unsigned(pmem(to_integer(unsigned(y_pos)))));
elsif micro_instr = "000000000000000000000011" then
height <= to_integer(unsigned(pmem(to_integer(unsigned(height_pos)))));
elsif micro_instr = "000000000000000000000100" then
gap <= to_integer(unsigned(pmem(to_integer(unsigned(gap_pos)))));
elsif micro_instr = "000000000000000000000101" then
speed_internal <= to_integer(unsigned(pmem(to_integer(unsigned(speed_pos)))));
end if;
end if;
end process;
-- pc
process(clk)
begin
if rising_edge(clk) then
-- pc to bus
if FROM_BUS = "0001" then
pc <= data_bus;
-- pc++
elsif P_BIT = '1' then
pc <= std_logic_vector(unsigned(pc) + 1);
-- Handle interrupts
elsif SEQ = "1111" and intr_enable = '1' then
-- Store important information to be returned after the interrupt
intr_pc <= pc;
intr_res <= res;
intr_alu_res <= alu_res;
intr_z <= z_flag;
intr_n <= n_flag;
intr_o <= o_flag;
intr_c <= c_flag;
-- Set pc to the correct interrupt vector and disables interrupts
-- (interrupts are enabled after the specific interrupt code has been run)
if reset_alert = '1' then
intr_enable <= '0';
reset_alert <= '0';
pc <= RESET_INTERRUPT_VECTOR;
elsif collision_alert = '1' then
intr_enable <= '0';
collision_alert <= '0';
pc <= COLLISION_INTERRUPT_VECTOR;
elsif terrain_alert = '1' then
intr_enable <= '0';
terrain_alert <= '0';
pc <= TERRAIN_CHANGE_INTERRUPT_VECTOR;
end if;
-- Return from interrupt: enable interrupts and restore pc
elsif SEQ = "1010" then
intr_enable <= '1';
pc <= intr_pc;
-- Enable interrupts
elsif SEQ = "1100" then
intr_enable <= '1';
end if;
-- Check if the terrain needs to update
if terrain_change = '1' and terrain_prev = '0' then
terrain_alert <= '1';
end if;
-- Check if there has been a collision
if collision = '1' and collision_prev = '0' then
collision_alert <= '1';
end if;
-- Check if the reset button has been pressed
if reset = '1' and reset_prev = '0' then
reset_alert <= '1';
end if;
-- Keep track of previous
terrain_prev <= terrain_change;
collision_prev <= collision;
reset_prev <= reset;
end if;
end process;
-- asr
process(clk)
begin
if rising_edge(clk) then
-- from bus to asr
if FROM_BUS = "0010" then
asr <= data_bus;
end if;
end if;
end process;
-- pmem
process(clk)
begin
if rising_edge(clk) then
-- from bus to pmem(asr)
if FROM_BUS = "0011" then
pmem(to_integer(unsigned(asr))) <= data_bus;
-- from bus to pmem(res)
elsif FROM_BUS = "1100" then
pmem(to_integer(unsigned(res))) <= data_bus;
-- from pmem(asr) to pmem_asr (can be put on bus next clock cycle)
elsif TO_BUS = "0011" then
pmem_asr <= pmem(to_integer(unsigned(asr)));
-- from pmem(res) to pmem_res (can be put on bus next clock cycle)
elsif TO_BUS = "1100" then
pmem_res <= pmem(to_integer(unsigned(res)));
-- Write to memory if the player position needs to update
elsif player_upd_alert = '1' then
player_upd_alert <= '0';
pmem(to_integer(unsigned(player_upd))) <= ONE;
-- Write to memory if the spacebar has been released
elsif release_alert = '1' then
release_alert <= '0';
pmem(to_integer(unsigned(release_pos))) <= ONE;
-- Write to memory if the spacebar has been pressed
elsif press_alert = '1' then
press_alert <= '0';
pmem(to_integer(unsigned(press_pos))) <= ONE;
end if;
-- Creates a delay (based on speed) which decides when the player position
-- should update
if player_upd_counter >= player_speed then
player_upd_alert <= '1';
player_upd_counter <= 0;
else
player_upd_counter <= player_upd_counter + 1;
end if;
-- Check if the spacebar has been pressed
if input = '1' and input_prev = '0' then
press_alert <= '1';
end if;
-- Check if the spacebar has been released
if input = '0' and input_prev = '1' then
release_alert <= '1';
end if;
input_prev <= input;
end if;
end process;
-- Makes sure that the player speed gets faster as speed increases,
-- but not as fast as the terrain speed increases
player_speed <= (speed_internal*1000) + ((1000-speed_internal)*900);
-- progress
process(clk)
begin
if rising_edge(clk) then
-- bus to progress, reset progress_counter
if FROM_BUS = "1110" then
progress <= unsigned(data_bus);
progress_counter <= 0;
-- Increases progress every second (on a 100MHz clock)
elsif progress_counter = PROGRESS_LATENCY then
progress <= progress + 1;
progress_counter <= 0;
else
progress_counter <= progress_counter + 1;
end if;
end if;
end process;
-- score
process(clk)
begin
if rising_edge(clk) then
-- Reset score if there is a collision or if the game is reset
if reset = '1' or collision = '1' then
thousands <= (others => '0');
hundreds <= (others => '0');
tens <= (others => '0');
ones <= (others => '0');
score_counter <= 0;
-- Keep counting score up every 1/10th of a second
elsif score_counter = SCORE_LATENCY then
score_counter <= 0;
if ones = "1001" then
if tens = "1001" then
if hundreds = "1001" then
-- resets score if score is 9999
if thousands = "1001" then
thousands <= (others => '0');
hundreds <= (others => '0');
tens <= (others => '0');
ones <= (others => '0');
else
thousands <= thousands + 1;
hundreds <= (others => '0');
tens <= (others => '0');
ones <= (others => '0');
end if;
else
hundreds <= hundreds + 1;
tens <= (others => '0');
ones <= (others => '0');
end if;
else
tens <= tens + 1;
ones <= (others => '0');
end if;
else
ones <= ones + 1;
end if;
else
score_counter <= score_counter + 1;
end if;
end if;
end process;
-- res
process(clk)
begin
if rising_edge(clk) then
-- from bus to res
if FROM_BUS = "0101" then
res <= data_bus;
-- Return from interrupt: restore res
elsif SEQ = "1010" then
res <= intr_res;
end if;
end if;
end process;
-- from bus to ir
process(clk)
begin
if rising_edge(clk) then
-- from bus to ir(31->16)
if FROM_BUS = "0110" then
ir(31 downto 16) <= data_bus;
-- from bus to ir(15->0)
elsif FROM_BUS = "0111" then
ir(15 downto 0) <= data_bus;
end if;
end if;
end process;
-- from bus to reg1
process(clk)
begin
if rising_edge(clk) then
if FROM_BUS = "1000" then
reg1 <= data_bus;
end if;
end if;
end process;
-- from bus to reg2
process(clk)
begin
if rising_edge(clk) then
if FROM_BUS = "1001" then
reg2 <= data_bus;
end if;
end if;
end process;
-- from bus to reg3
process(clk)
begin
if rising_edge(clk) then
if FROM_BUS = "1010" then
reg3 <= data_bus;
end if;
end if;
end process;
-- from bus to reg4
process(clk)
begin
if rising_edge(clk) then
if FROM_BUS = "1011" then
reg4 <= data_bus;
end if;
end if;
end process;
-- Moving data TO the bus
with TO_BUS select
data_bus <= pc when "0001",
asr when "0010",
pmem_asr when "0011",
alu_res when "0100",
res when "0101",
ir(31 downto 16) when "0110",
ir(15 downto 0) when "0111",
reg1 when "1000",
reg2 when "1001",
reg3 when "1010",
reg4 when "1011",
pmem_res when "1100",
ran_nr(31 downto 16) when "1101",
std_logic_vector(progress) when "1110",
data_bus when others;
-- micro_pc
process(clk)
begin
if rising_edge(clk) then
if SEQ = "0000" then -- micro_pc += 1
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
elsif SEQ = "0001" then -- micro_pc = op
micro_pc <= ir(31 downto 24);
elsif SEQ = "0010" then --micro_pc = mod
micro_pc <= mod_rom(to_integer(unsigned(ir(23 downto 22))));
elsif SEQ = "0011" then --micro_pc = 0
micro_pc <= "00000000";
elsif SEQ = "0100" then -- jmp
micro_pc <= MICRO_ADR;
elsif SEQ = "0101" then --jmp if Z = 1 --BEQ--
if z_flag = '0' then
micro_pc <= MICRO_ADR;
else
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
end if;
elsif SEQ = "0110" then --jmp if N = 0 --BP--
if n_flag = '1' then
micro_pc <= MICRO_ADR;
else
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
end if;
elsif SEQ = "0111" then --jmp if Z = 0 --BNE--
if z_flag = '1' then
micro_pc <= MICRO_ADR;
else
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
end if;
elsif SEQ = "1000" then --check for 16 bit inst
if FETCH_NEXT = '0' then
micro_pc <= MICRO_ADR;
else
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
end if;
elsif SEQ = "1001" then --jmp if N = 1 --BN--
if n_flag = '0' then
micro_pc <= MICRO_ADR;
else
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
end if;
-- Jump to the interrupt micro program if there's an interrupt and
-- interrupts are enabled
elsif SEQ = "1111" then
if intr_enable = '1' then
if (reset_alert = '1') or (collision_alert = '1') or (terrain_alert = '1') then
micro_pc <= MICRO_ADR;
else
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
end if;
else
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
end if;
-- Fetch new instruction when returning from interrupt
elsif SEQ = "1100" then
micro_pc <= MICRO_ADR; -- MICRO_ADR will be 0
elsif SEQ = "1010" then
micro_pc <= std_logic_vector(unsigned(micro_pc) + 1);
else
micro_pc <= micro_pc;
end if;
end if;
end process;
-- alu combinatorics
alu_add <= std_logic_vector(signed(alu_res(15) & alu_res) + signed(data_bus(15) & data_bus));
alu_sub <= std_logic_vector(signed(alu_res(15) & alu_res) - signed(data_bus(15) & data_bus));
alu_not <= not data_bus;
alu_and <= alu_res and data_bus;
alu_or <= alu_res or data_bus;
alu_xor <= alu_res xor data_bus;
-- alu_res
process(clk)
begin
if rising_edge(clk) then
case ALU_OP is
when "001" => -- ADD
alu_res <= alu_add(15 downto 0);
if alu_add = "00000000000000000" then -- z_flag
z_flag <= '1';
else
z_flag <= '0';
end if;
n_flag <= alu_add(15); -- n_flag
c_flag <= alu_add(16); -- c_flag
if alu_res(15) = data_bus(15) then -- o_flag
if alu_res(15) = '0' and data_bus(15) = '0' and alu_add(15) = '1' then
o_flag <= '1';
elsif alu_res(15) = '1' and data_bus(15) = '1' and alu_add(15) = '0' then
o_flag <= '1';
else
o_flag <= '0';
end if;
else
o_flag <= '0';
end if;
when "010" => -- SUB
alu_res <= alu_sub(15 downto 0);
if alu_sub = "00000000000000000" then -- z_flag
z_flag <= '1';
else
z_flag <= '0';
end if;
n_flag <= alu_sub(15); -- n_flag
c_flag <= '0'; -- c_flag (no meaning when subtracting)
if alu_res(15) /= data_bus(15) then -- o_flag
if (alu_res(15) = '0' and data_bus(15) = '1' and alu_sub(15) = '1') then
o_flag <= '1';
elsif alu_res(15) = '1' and data_bus(15) = '0' and alu_sub(15) = '0' then
o_flag <= '1';
else
o_flag <= '0';
end if;
else
o_flag <= '0';
end if;
when "011" =>
alu_res <= alu_not; --NOT
if alu_not = "0000000000000000" then -- z_flag
z_flag <= '1';
else
z_flag <= '0';
end if;
when "100" =>
alu_res <= alu_and; --AND
if alu_and = "0000000000000000" then -- z_flag
z_flag <= '1';
else
z_flag <= '0';
end if;
n_flag <= alu_and(15);
o_flag <= '0';
c_flag <= '0';
when "101" =>
alu_res <= alu_or; --OR
if alu_or = "0000000000000000" then -- z_flag
z_flag <= '1';
else
z_flag <= '0';
end if;
n_flag <= alu_or(15);
o_flag <= '0';
c_flag <= '0';
when "110" =>
alu_res <= alu_xor; --XOR
if alu_xor = "0000000000000000" then -- z_flag
z_flag <= '1';
else
z_flag <= '0';
end if;
n_flag <= alu_xor(15);
o_flag <= '0';
c_flag <= '0';
when others =>
if FROM_BUS = "0100" then
alu_res <= data_bus;
-- Return from interrupt: restore all flags and alu_res
elsif SEQ = "1010" then
alu_res <= intr_alu_res;
z_flag <= intr_z;
n_flag <= intr_n;
o_flag <= intr_o;
c_flag <= intr_c;
else
alu_res <= alu_res;
end if;
n_flag <= n_flag;
o_flag <= o_flag;
c_flag <= c_flag;
z_flag <= z_flag;
end case;
end if;
end process;
--ran_gen
ran_bit <= new_ran(31) xor new_ran(29) xor new_ran(25) xor new_ran(24);
ran_nr <= new_ran;
process(clk)
begin
if rising_edge(clk) then
new_ran(31 downto 1) <= new_ran(30 downto 0);
new_ran(0) <= ran_bit;
end if;
end process;
end Behavioral;
| mit | 1f5f9d8f83ff49f29c1e3289cdb3c3b4 | 0.539161 | 3.392799 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/loopback/tope_rof512_uart.vhd | 1 | 8,195 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity tope_rof512_uart is --Entidad
Port ( tx_female : out std_logic; --Entidad
LED : out std_logic_vector(7 downto 0);
rx_female : in std_logic; --Entidad
RSTn : in std_logic; --Entidad
clk : in std_logic); --Entidad
end tope_rof512_uart; --Entidad
architecture Comportamiento of tope_rof512_uart is
component uart_tx_plus --comp uart_tx_plus
Port ( data_in : in std_logic_vector(7 downto 0);--comp uart_tx_plus
write_buffer : in std_logic; --comp uart_tx_plus
reset_buffer : in std_logic; --comp uart_tx_plus
en_16_x_baud : in std_logic; --comp uart_tx_plus
serial_out : out std_logic; --comp uart_tx_plus
buffer_data_present : out std_logic; --comp uart_tx_plus
buffer_full : out std_logic; --comp uart_tx_plus
buffer_half_full : out std_logic; --comp uart_tx_plus
clk : in std_logic); --comp uart_tx_plus
end component;
component uart_rx --comp uart_rx
Port ( serial_in : in std_logic; --comp uart_rx
data_out : out std_logic_vector(7 downto 0); --comp uart_rx
read_buffer : in std_logic; --comp uart_rx
reset_buffer : in std_logic; --comp uart_rx
en_16_x_baud : in std_logic; --comp uart_rx
buffer_data_present : out std_logic; --comp uart_rx
buffer_full : out std_logic; --comp uart_rx
buffer_half_full : out std_logic; --comp uart_rx
clk : in std_logic); --comp uart_rx
end component; --comp uart_rx
signal cambio : std_logic :='0';
signal Dfilt : std_logic_vector(7 downto 0);
signal interrupt : std_logic :='0'; --señales
signal interrupt_ack : std_logic; --señales
--señales
--señales
signal baud_count : integer range 0 to 26 :=0; --señales
signal en_16_x_baud : std_logic; --señales
signal write_to_uart : std_logic; --señales
signal tx_data_present : std_logic; --señales
signal tx_full : std_logic; --señales
signal tx_half_full : std_logic; --señales
signal read_from_uart : std_logic :='0'; --señales
signal rx_data : std_logic_vector(7 downto 0); --señales
signal rx_data_present : std_logic; --señales
signal rx_full : std_logic; --señales
signal rx_half_full : std_logic; --señales
--señales
signal previous_rx_half_full : std_logic; --señales
signal rx_half_full_event : std_logic; --señales
begin --------------------------------------------- Comienzo de procesos arquitectura y portmaps
interrupt_control: process(clk) --Control de transmisión
begin --Control de transmisión
if clk'event and clk='1' then --Control de transmisión
--Control de transmisión
-- detect change in state of the 'rx_half_full' flag. --Control de transmisión
previous_rx_half_full <= rx_half_full; --Control de transmisión
rx_half_full_event <= previous_rx_half_full xor rx_half_full;--Control de transmisión
--Control de transmisión
-- processor interrupt waits for an acknowledgement --Control de transmisión
if interrupt_ack='1' then --Control de transmisión
interrupt <= '0'; --Control de transmisión
elsif rx_half_full_event='1' then --Control de transmisión
interrupt <= '1'; --Control de transmisión
else --Control de transmisión
interrupt <= interrupt; --Control de transmisión
end if; --Control de transmisión
--Control de transmisión
end if; --Control de transmisión
end process interrupt_control; --Control de transmisión
transmit: uart_tx_plus
port map ( data_in => rx_data,
write_buffer => rx_data_present,
reset_buffer => '0',
en_16_x_baud => en_16_x_baud,
serial_out => tx_female,
buffer_data_present => tx_data_present,--Pruebo: desconectado
buffer_full => tx_full, --Pruebo: desconectado
buffer_half_full => tx_half_full, --Pruebo: desconectado
clk => clk );
receive: uart_rx
port map ( serial_in => rx_female,
data_out => rx_data,
read_buffer => read_from_uart, -- Atención:fijar read_from_uart (indica lectura en el macro)
reset_buffer => '0',
en_16_x_baud => en_16_x_baud,
buffer_data_present => rx_data_present,--Pruebo: desconectado
buffer_full => rx_full, --Pruebo: desconectado
buffer_half_full => rx_half_full, --Pruebo: desconectado
clk => clk );
LED(7) <= cambio;
LED(6) <= rx_data(6);
LED(5) <= rx_data(5);
LED(4) <= rx_data(4);
LED(3) <= rx_data(3);
LED(2) <= rx_data(2);
LED(1) <= rx_data(1);
LED(0) <= rx_data(0);
toggle: process(rx_data_present)
begin
if rx_data_present'event and rx_data_present='1' then
if cambio='0' then
cambio <= '1';
else
cambio <= '0';
end if;
else
end if;
end process toggle;
baud_timer: process(clk) --Generación de en_16_x_baud
begin --Generación de en_16_x_baud
if clk'event and clk='1' then --Generación de en_16_x_baud
if baud_count=26 then --Generación de en_16_x_baud
baud_count <= 0; --Generación de en_16_x_baud
en_16_x_baud <= '1'; --Generación de en_16_x_baud
else --Generación de en_16_x_baud
baud_count <= baud_count + 1; --Generación de en_16_x_baud
en_16_x_baud <= '0'; --Generación de en_16_x_baud
end if; --Generación de en_16_x_baud
end if; --Generación de en_16_x_baud
end process baud_timer; --Generación de en_16_x_baud
inicio: process(rx_female)
begin
if rx_female'event and rx_female='0' then
read_from_uart <= '1';
else
end if;
end process inicio;
end Comportamiento;
| gpl-3.0 | 4acf7106b088bc76a86013e99376fc59 | 0.437584 | 4.380011 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/compare.vhd | 6 | 11,879 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7056)
`protect data_block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`protect end_protected
| gpl-3.0 | f5cf052711a52a7edec353593afd6c34 | 0.931392 | 1.897604 | false | false | false | false |
rflamino/StellaBlue | core/A2601/src/A2601Flash.vhd | 1 | 12,157 | -- A2601 Top Level Entity (Rev B Board with Flash Memory)
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
--
-- This top level entity supports many bankswitching schemes and multiple
-- game ROMs stored in on-board Flash memory. ROM properties are stored in
-- FPGA built-in SRAM (see CartTable entity). To generate the CartTable, use
-- multirom.py in util directory.,
--
-- This top level entity accepts user input from a MegaDrive/Genesis Joypad.
-- Pin names starting with p_ designate joypad input/outputs.
--
-- For more information, see the A2601 Rev B Board Schematics and project
-- website at <http://retromaster.wordpress.org/a2601>.
library std;
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity A2601Flash is
port (clk: in std_logic;
d: in std_logic_vector(7 downto 0);
a: out std_logic_vector(18 downto 0);
oe: out std_logic;
we: out std_logic;
cv: out std_logic_vector(7 downto 0);
au: out std_logic_vector(4 downto 0);
p_l: in std_logic;
p_r: in std_logic;
p_a: in std_logic;
p_u: in std_logic;
p_d: in std_logic;
p_s: in std_logic;
p_bs: out std_logic);
end A2601Flash;
architecture arch of A2601Flash is
component a2601_dcm is
port(clkin_in: in std_logic;
rst_in: in std_logic;
clkfx_out: out std_logic;
clkin_ibufg_out: out std_logic);
end component;
component A2601 is
port(vid_clk: in std_logic;
rst: in std_logic;
d: inout std_logic_vector(7 downto 0);
a: out std_logic_vector(12 downto 0);
r: out std_logic;
pa: inout std_logic_vector(7 downto 0);
pb: inout std_logic_vector(7 downto 0);
inpt4: in std_logic;
inpt5: in std_logic;
colu: out std_logic_vector(6 downto 0);
csyn: out std_logic;
vsyn: out std_logic;
hsyn: out std_logic;
cv: out std_logic_vector(7 downto 0);
au0: out std_logic;
au1: out std_logic;
av0: out std_logic_vector(3 downto 0);
av1: out std_logic_vector(3 downto 0);
ph0_out: out std_logic;
ph1_out: out std_logic);
end component;
component ram128x8 is
port(clk: in std_logic;
r: in std_logic;
d_in: in std_logic_vector(7 downto 0);
d_out: out std_logic_vector(7 downto 0);
a: in std_logic_vector(6 downto 0));
end component;
component CartTable is
port(clk: in std_logic;
d: out std_logic_vector(10 downto 0);
c: out std_logic_vector(6 downto 0);
a: in std_logic_vector(6 downto 0));
end component;
signal vid_clk: std_logic;
signal pa: std_logic_vector(7 downto 0) := "11111111";
signal pb: std_logic_vector(7 downto 0) := "11111111";
signal inpt4: std_logic := '1';
signal inpt5: std_logic := '1';
signal colu: std_logic_vector(6 downto 0);
signal csyn: std_logic;
signal vsyn: std_logic;
signal hsyn: std_logic;
signal au0: std_logic;
signal au1: std_logic;
signal av0: std_logic_vector(3 downto 0);
signal av1: std_logic_vector(3 downto 0);
signal auv0: unsigned(4 downto 0);
signal auv1: unsigned(4 downto 0);
signal rst: std_logic := '1';
signal rst_cntr: unsigned(7 downto 0) := "00000000";
signal ph0: std_logic;
signal ph1: std_logic;
signal sc_clk: std_logic;
signal sc_r: std_logic;
signal sc_d_in: std_logic_vector(7 downto 0);
signal sc_d_out: std_logic_vector(7 downto 0);
signal sc_a: std_logic_vector(6 downto 0);
subtype bss_type is std_logic_vector(2 downto 0);
constant BANK00: bss_type := "000";
constant BANKF8: bss_type := "001";
constant BANKF6: bss_type := "010";
constant BANKFE: bss_type := "011";
constant BANKE0: bss_type := "100";
constant BANK3F: bss_type := "101";
signal bank: std_logic_vector(3 downto 0) := "0000";
signal tf_bank: std_logic_vector(1 downto 0);
signal e0_bank: std_logic_vector(2 downto 0);
signal e0_bank0: std_logic_vector(2 downto 0) := "000";
signal e0_bank1: std_logic_vector(2 downto 0) := "000";
signal e0_bank2: std_logic_vector(2 downto 0) := "000";
signal bss: bss_type;
signal sc: std_logic;
signal cpu_a: std_logic_vector(12 downto 0);
signal cpu_d: std_logic_vector(7 downto 0);
signal cpu_r: std_logic;
signal cart_info: std_logic_vector(10 downto 0) := "00000000000";
signal cart_cntr: unsigned(6 downto 0) := "0000000";
signal cart_max: std_logic_vector(6 downto 0);
signal cart_vect: std_logic_vector(6 downto 0) := "0000000";
signal cart_next: std_logic;
signal cart_prev: std_logic;
signal cart_swch: std_logic := '0';
signal cart_next_l: std_logic;
signal cart_prev_l: std_logic;
signal gsel: std_logic;
signal p_fn: std_logic;
signal res: std_logic;
signal sel: std_logic;
signal ctrl_cntr: unsigned(3 downto 0);
begin
brd_a2601_dcm: a2601_dcm
port map(clk, '0', vid_clk, open);
brd_A2601: A2601
port map(vid_clk, rst, cpu_d, cpu_a, cpu_r, pa, pb, inpt4, inpt5, colu, csyn, vsyn, hsyn, cv, au0, au1, av0, av1, ph0, ph1);
brd_CartTable: CartTable
port map(ph0, cart_info, cart_max, std_logic_vector(cart_cntr));
auv0 <= ("0" & unsigned(av0)) when (au0 = '1') else "00000";
auv1 <= ("0" & unsigned(av1)) when (au1 = '1') else "00000";
au <= std_logic_vector(auv0 + auv1);
process(ph0)
begin
if (ph0'event and ph0 = '1') then
rst_cntr <= rst_cntr + 1;
if (rst_cntr = "11111111") then
if (cart_next_l = '0') and (cart_next = '1') then
if (cart_cntr = unsigned(cart_max)) then
cart_cntr <= "0000000";
else
cart_cntr <= cart_cntr + 1;
end if;
rst <= '1';
cart_next_l <= '1';
cart_prev_l <= '1';
elsif (cart_prev_l = '0') and (cart_prev = '1') then
if (cart_cntr = "0000000") then
cart_cntr <= unsigned(cart_max);
else
cart_cntr <= cart_cntr - 1;
end if;
rst <= '1';
cart_next_l <= '1';
cart_prev_l <= '1';
else
cart_next_l <= cart_next;
cart_prev_l <= cart_prev;
end if;
elsif (rst_cntr = "10000000") then
rst <= '0';
end if;
end if;
end process;
oe <= '0';
we <= '1';
-- Controller inputs sampling
p_bs <= ctrl_cntr(3);
-- Only one controller port supported.
pa(3 downto 0) <= "1111";
inpt5 <= '1';
process(ph0)
begin
if (ph0'event and ph0 = '1') then
ctrl_cntr <= ctrl_cntr + 1;
if (ctrl_cntr = "1111") then -- p_bs
p_fn <= p_a;
pb(0) <= p_s;
elsif (ctrl_cntr = "0111") then
pa(7 downto 4) <= p_r & p_l & p_d & p_u;
inpt4 <= p_a;
gsel <= p_s;
end if;
pb(7) <= pa(7) or p_fn;
pb(6) <= pa(6) or p_fn;
pb(1) <= pa(4) or p_fn;
pb(3) <= pa(5) or p_fn;
end if;
end process;
pb(5) <= '1';
pb(4) <= '1';
pb(2) <= '1';
sc_ram128x8: ram128x8
port map(sc_clk, sc_r, sc_d_in, sc_d_out, sc_a);
-- This clock is phase shifted so that we can use Xilinx synchronous block RAM.
sc_clk <= not ph1;
sc_r <= '0' when cpu_a(12 downto 7) = "100000" else '1';
sc_d_in <= cpu_d;
sc_a <= cpu_a(6 downto 0);
-- ROM and SC output
process(cpu_a, d, sc_d_out, sc)
begin
if (cpu_a(12 downto 7) = "100001" and sc = '1') then
cpu_d <= sc_d_out;
elsif (cpu_a(12 downto 7) = "100000" and sc = '1') then
cpu_d <= "ZZZZZZZZ";
elsif (cpu_a(12) = '1') then
cpu_d <= d;
else
cpu_d <= "ZZZZZZZZ";
end if;
end process;
with cpu_a(11 downto 10) select e0_bank <=
e0_bank0 when "00",
e0_bank1 when "01",
e0_bank2 when "10",
"111" when "11",
"---" when others;
tf_bank <= bank(1 downto 0) when (cpu_a(11) = '0') else "11";
with bss select a <=
cart_vect & cpu_a(11 downto 0) when BANK00,
cart_vect(6 downto 1) & bank(0) & cpu_a(11 downto 0) when BANKF8,
cart_vect(6 downto 2) & bank(1 downto 0) & cpu_a(11 downto 0) when BANKF6,
cart_vect(6 downto 1) & bank(0) & cpu_a(11 downto 0) when BANKFE,
cart_vect(6 downto 1) & e0_bank & cpu_a(9 downto 0) when BANKE0,
cart_vect(6 downto 1) & tf_bank & cpu_a(10 downto 0) when BANK3F,
"-------------------" when others;
bankswch: process(ph0)
begin
if (ph0'event and ph0 = '1') then
if (rst = '1') then
bank <= "0000";
e0_bank0 <= "000";
e0_bank1 <= "000";
e0_bank2 <= "000";
else
case bss is
when BANKF8 =>
if (cpu_a = "1" & X"FF8") then
bank <= "0000";
elsif (cpu_a = "1" & X"FF9") then
bank <= "0001";
end if;
when BANKF6 =>
if (cpu_a = "1" & X"FF6") then
bank <= "0000";
elsif (cpu_a = "1" & X"FF7") then
bank <= "0001";
elsif (cpu_a = "1" & X"FF8") then
bank <= "0010";
elsif (cpu_a = "1" & X"FF9") then
bank <= "0011";
end if;
when BANKFE =>
if (cpu_a = "0" & X"1FE") then
bank <= "0000";
elsif (cpu_a = "1" & X"1FE") then
bank <= "0001";
end if;
when BANKE0 =>
if (cpu_a(12 downto 4) = "1" & X"FE" and cpu_a(3) = '0') then
e0_bank0 <= cpu_a(2 downto 0);
elsif (cpu_a(12 downto 4) = "1" & X"FE" and cpu_a(3) = '1') then
e0_bank1 <= cpu_a(2 downto 0);
elsif (cpu_a(12 downto 4) = "1" & X"FF" and cpu_a(3) = '0') then
e0_bank2 <= cpu_a(2 downto 0);
end if;
when BANK3F =>
--if (cpu_a(12 downto 6) = "0000000") then
if (cpu_a = "0" & X"03F") then
bank(1 downto 0) <= cpu_d(1 downto 0);
end if;
when others =>
null;
end case;
end if;
end if;
end process;
bss <= cart_info(3 downto 1);
sc <= cart_info(0);
cart_vect <= cart_info(10 downto 4);
cart_next <= (not pa(7)) and (not gsel);
cart_prev <= (not pa(6)) and (not gsel);
end arch;
| mit | 5a24768c13b49624eb106ee557f35187 | 0.505799 | 3.384465 | false | false | false | false |
rflamino/StellaBlue | core/A2601/src/altpll0.vhd | 1 | 14,834 | -- megafunction wizard: %ALTPLL%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: altpll
-- ============================================================
-- File Name: altpll0.vhd
-- Megafunction Name(s):
-- altpll
--
-- Simulation Library Files(s):
-- altera_mf
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2013 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY altera_mf;
USE altera_mf.all;
ENTITY altpll0 IS
PORT
(
areset : IN STD_LOGIC := '0';
inclk0 : IN STD_LOGIC := '0';
c0 : OUT STD_LOGIC
);
END altpll0;
ARCHITECTURE SYN OF altpll0 IS
SIGNAL sub_wire0 : STD_LOGIC_VECTOR (5 DOWNTO 0);
SIGNAL sub_wire1 : STD_LOGIC ;
SIGNAL sub_wire2 : STD_LOGIC ;
SIGNAL sub_wire3 : STD_LOGIC_VECTOR (1 DOWNTO 0);
SIGNAL sub_wire4_bv : BIT_VECTOR (0 DOWNTO 0);
SIGNAL sub_wire4 : STD_LOGIC_VECTOR (0 DOWNTO 0);
COMPONENT altpll
GENERIC (
clk0_divide_by : NATURAL;
clk0_duty_cycle : NATURAL;
clk0_multiply_by : NATURAL;
clk0_phase_shift : STRING;
compensate_clock : STRING;
inclk0_input_frequency : NATURAL;
intended_device_family : STRING;
lpm_hint : STRING;
lpm_type : STRING;
operation_mode : STRING;
port_activeclock : STRING;
port_areset : STRING;
port_clkbad0 : STRING;
port_clkbad1 : STRING;
port_clkloss : STRING;
port_clkswitch : STRING;
port_configupdate : STRING;
port_fbin : STRING;
port_inclk0 : STRING;
port_inclk1 : STRING;
port_locked : STRING;
port_pfdena : STRING;
port_phasecounterselect : STRING;
port_phasedone : STRING;
port_phasestep : STRING;
port_phaseupdown : STRING;
port_pllena : STRING;
port_scanaclr : STRING;
port_scanclk : STRING;
port_scanclkena : STRING;
port_scandata : STRING;
port_scandataout : STRING;
port_scandone : STRING;
port_scanread : STRING;
port_scanwrite : STRING;
port_clk0 : STRING;
port_clk1 : STRING;
port_clk2 : STRING;
port_clk3 : STRING;
port_clk4 : STRING;
port_clk5 : STRING;
port_clkena0 : STRING;
port_clkena1 : STRING;
port_clkena2 : STRING;
port_clkena3 : STRING;
port_clkena4 : STRING;
port_clkena5 : STRING;
port_extclk0 : STRING;
port_extclk1 : STRING;
port_extclk2 : STRING;
port_extclk3 : STRING
);
PORT (
areset : IN STD_LOGIC ;
clk : OUT STD_LOGIC_VECTOR (5 DOWNTO 0);
inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0)
);
END COMPONENT;
BEGIN
sub_wire4_bv(0 DOWNTO 0) <= "0";
sub_wire4 <= To_stdlogicvector(sub_wire4_bv);
sub_wire1 <= sub_wire0(0);
c0 <= sub_wire1;
sub_wire2 <= inclk0;
sub_wire3 <= sub_wire4(0 DOWNTO 0) & sub_wire2;
altpll_component : altpll
GENERIC MAP (
clk0_divide_by => 1,
clk0_duty_cycle => 50,
clk0_multiply_by => 4,
clk0_phase_shift => "0",
compensate_clock => "CLK0",
inclk0_input_frequency => 69842,
intended_device_family => "Cyclone II",
lpm_hint => "CBX_MODULE_PREFIX=altpll0",
lpm_type => "altpll",
operation_mode => "NORMAL",
port_activeclock => "PORT_UNUSED",
port_areset => "PORT_USED",
port_clkbad0 => "PORT_UNUSED",
port_clkbad1 => "PORT_UNUSED",
port_clkloss => "PORT_UNUSED",
port_clkswitch => "PORT_UNUSED",
port_configupdate => "PORT_UNUSED",
port_fbin => "PORT_UNUSED",
port_inclk0 => "PORT_USED",
port_inclk1 => "PORT_UNUSED",
port_locked => "PORT_UNUSED",
port_pfdena => "PORT_UNUSED",
port_phasecounterselect => "PORT_UNUSED",
port_phasedone => "PORT_UNUSED",
port_phasestep => "PORT_UNUSED",
port_phaseupdown => "PORT_UNUSED",
port_pllena => "PORT_UNUSED",
port_scanaclr => "PORT_UNUSED",
port_scanclk => "PORT_UNUSED",
port_scanclkena => "PORT_UNUSED",
port_scandata => "PORT_UNUSED",
port_scandataout => "PORT_UNUSED",
port_scandone => "PORT_UNUSED",
port_scanread => "PORT_UNUSED",
port_scanwrite => "PORT_UNUSED",
port_clk0 => "PORT_USED",
port_clk1 => "PORT_UNUSED",
port_clk2 => "PORT_UNUSED",
port_clk3 => "PORT_UNUSED",
port_clk4 => "PORT_UNUSED",
port_clk5 => "PORT_UNUSED",
port_clkena0 => "PORT_UNUSED",
port_clkena1 => "PORT_UNUSED",
port_clkena2 => "PORT_UNUSED",
port_clkena3 => "PORT_UNUSED",
port_clkena4 => "PORT_UNUSED",
port_clkena5 => "PORT_UNUSED",
port_extclk0 => "PORT_UNUSED",
port_extclk1 => "PORT_UNUSED",
port_extclk2 => "PORT_UNUSED",
port_extclk3 => "PORT_UNUSED"
)
PORT MAP (
areset => areset,
inclk => sub_wire3,
clk => sub_wire0
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
-- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
-- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
-- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
-- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
-- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1"
-- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
-- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
-- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
-- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
-- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0"
-- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "Any"
-- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "57.271999"
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
-- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
-- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
-- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
-- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
-- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "14.318"
-- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
-- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
-- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
-- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available"
-- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
-- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any"
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "4"
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
-- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "57.27200000"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
-- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
-- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0"
-- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
-- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1"
-- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
-- Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
-- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0"
-- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
-- Retrieval info: PRIVATE: RECONFIG_FILE STRING "altpll0.mif"
-- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
-- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0"
-- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
-- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
-- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
-- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
-- Retrieval info: PRIVATE: SPREAD_USE STRING "0"
-- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
-- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
-- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
-- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: USE_CLK0 STRING "1"
-- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
-- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0"
-- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
-- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "4"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
-- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "69842"
-- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
-- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
-- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED"
-- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED"
-- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED"
-- Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]"
-- Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]"
-- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]"
-- Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset"
-- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0"
-- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0"
-- Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0
-- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
-- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
-- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.ppf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0.bsf TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL altpll0_inst.vhd FALSE
-- Retrieval info: LIB_FILE: altera_mf
-- Retrieval info: CBX_MODULE_PREFIX: ON
| mit | d85cfc0715ff96873e46f246faf75116 | 0.700081 | 3.366773 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/wr_logic_pkt_fifo.vhd | 6 | 31,831 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824)
`protect data_block
PKlpisMKFINH4hoELw81Ae+vpIr0xr/BIQZISQh02QmAYRngfWchi+A+2gXJ0ErM+PWm3fbvLHaf
UADT/opvnHMCrmwuOuQX48J/a1y0sztlHgsA7XTu3se9+qgRV127Gr/cdb+LDyldoH6Wo5xriI3U
1+hwWLCYsfqH8Q7s4G93APHsbimtTQJr3lxrxOjQDwKnHXVOcw5u4oA59BCABgJGYUQ0E2oZImXC
+TtNt2F6xpHCXYeiJso8FtNESWzgJGRqTJssYBlVmDF7g2jAlb2oA7xJ12RaI2DJZ8iEiPIYMXG5
4KLgR3wRAV4Qzd1x0+wggnozV4ouoRNZta48iL0A1iSiqOx7g1rtHzUG3Bkou8l89WeTbGi1W8NT
3RC5Dha5dUjVuZJFd5XfSfHlpVvgVdVBAOk4WXTDyhqNvUthb7WPQokbYXfI7UjhyOqbxrIIvKM8
zikyGwUHqemCHM3zXjYg6a791DUp5oDZMmmfc/+Q4o/x0ZhU3sxBg+QZt5iDB+702C/xbt6wDVYF
CK1HuPSk2++Nh9+RMjTOeB5qCOUCf0mskLvnJiqGg0JvB4vZh5YbG74Ozfx23yCg+YVlyLf35Qba
zEBzj33Tvu72eS2Hk7wj6DSDPJy045YLEv8kKy0t+98fq1sDNnfHZq57AwEvOJit3ek0B8VhGVRt
PG3Blehn5BrwooxilvOBdVQq8yUTO+vqyVuK4Z2M8Mkusd/hrPe38tqOUANYaKEqZivBhkxEZptV
+lP5egi3ebs2fwmmpyk6/ozaWPSMjOMahUDTleM2uybV8IRbbLGgo4CR2PN68Z6krdG0vhvvjCvA
WaVUfNqpIb5kgDXLSSBYg3DExVnyKErxhmyvPDiJ9Q3OknTmHrRunc150ekWDEh9jG3mG1J1dIjz
JD0CykyT+HzRwDJab8AkLMJAuQJIADus+UYFD5/vrM1x1TfkZ3jI3ccvoqz2Wl2E4bu/rw0oAZb2
IHiibRMAtYqFrvjeRqacUSojyBEUlvaQqCQa2Nc2jmkC4PyFk8eVux8dfikF1fKshRo5Q2S0TMId
OcipSFYi2JWEf27kv/Op8See/BLKT815EufKoUIX/3JuOPwdv/RzJeSVSNFJAMkp12YrU7S/uKbi
ty1it6joKmGojn7R+utPQbyZUCpy5MDEF/sCt8xWQs0B0haLWeHeL6t+u8mqw8Ydku25Dqjr75Ii
pnMgbWudrEbrtAIsCokueIARIIbyNM1AqHCoEMqDOW2KswQ+SSmHzfQo0YcxN4x5xhShi2kVKJcC
rluvF2tvy3N/PxP5IpvEJbdL03l5NiKiqNFLj+HiPMG6vdcCTS9Lklc676A70bw6+W/dh42wTKXJ
VEwBFfj5vuqHdvvxib6xcfGmXSa8oy2jw5SN3HyhuwpYPLTuVRmbVwA8lhejNHaJGQlrNTTb7aWb
unmz8gTVgZrWLvmaQnvahnvPpfDwVoJ1hzpuQBNOymcswblryjZExaY0d1mUWH5BYx3D2hAcP8XJ
ggqXnQzL+tB33uaCtoAtRGUCHoZKVnHuuxm6w9Ac6GaMC+DowkDOmxqoI5BUs1qDO/dR9RqbD3U6
zhtyySpmCENTEB77Q1ojZwR5AOF2V9/Ja69euCUiQHNJjTaPpCrYD6hO15FqaYapSqB2eih32AqR
57e4qxC1fM45xFMlrmiN27j4YABu4Q9w+Qske6N4dHFUJIDyH15zw9LKI0kSsLNv9J3kILi56jGa
7wmRWNcYKUL6Eba6hW363kDUh203nMSdRjs994lHYq/dyln/LNnO8fy10NOTYNnYV8UUKFGYS8ez
tGP1AwdVEStMHQfAYcP2ssu6OZdl6bxZRIKfKUwkoldBexMZ++B21JVSxRlagsf5JaXkJJTR121d
rQiuPCCFwM4f9uQmIGNQmZrI2q5JVJVNriaTspTQF321TmtrHV6zj49+1VQPtPUZBw5Q3L2LfliN
n1jjFbSMrIX+tWlCWVbKNCT+X4TStwJ22S7uUuqNGlOM0XFTpsZ15QQ1N38jy044SzJCxeaHj56H
oDm0jK/B6mQJz3Re0NjdDQPAlu0gTTzpXtMJVnW0iO+MNSwLRT3e3O6mSr2WCLmXB69Obz8fvQqR
Fr7AouDp23pN0y/RMYKQ2xeU46Q9rD7DF6K3U9TNzIQIPlVzuFQAkIwGQdTiVwRL2tjgVsH6/fzZ
NKvEE29/gs35Dm/CBULC9SnbApPZ5w87y4KkafxKRRxK9DZNYJ48zed7nu/4pjzrOPz7JO1UJPfr
GN5VIL7s9coJrJj5JbxoMJCxWERcOpnh76uOYkr71nkkHjw7zk8t/eSnakNl5LlWNzlgpnoTz9su
cMPb+87h9ImQ1JhzHp8loLB85jSnA/hGGSWPfyY+dTOPE8vtBLiUKPUtZa9gUFKBDik5lhWltuHe
T4eI6gbzaac2JIfyjYsRyh8OTkYclnF0FLlLo4wigV1EDYC6SC5qvIYWAvKjQkJytEa0X76ukT8v
EOOpgsU2F8Ym4KOsN0C286tT0UIt5FW16UvuwemTycRQeuc+URaI2kl3V8BZiDZQbcNhWphDmLfN
WG0Bzkq8ii8J+KWcw9YwFQY6jqhe01C9Ure9HW3YPnBWf/OfgBDFAGLdXgCy93IR1t49WArzZUSc
d15yCw63tIi1OyChxcRFMZ+2N+pZbtT8Wq/71yHXf+WpwtBShyxq6m8sy7+XOwImOILDST5lat87
o8nnR9f9R0Wj0DNuV2HG41Ir60uPky89pIDlyUGeiSlVsjpJ2ZcNamdwT3TA4wEMPNOYpz+fLHlB
ZwLJLzGesQoCmhZ7pX731EcdLJgSk2oToSxADD8Ip48Yw0Lr5l1pWGnrf32gkF0yQwNGJQ9fy38P
NpvQFd6guOZjJYk0dfCM7ehPHwu8GvdcBN4TfrAhfUa67Z1mRTBlL+knepCI+QkzAYEkGdp4B6Kc
EDbTVufyoiR/8nq1DEJdzicDdcrr4jIU9ViplYEVNdkOmEk79Ca/owOc1E4OZ1hXi4Al9sgSnRje
s9iZ78QljuR3MkD0rPjruZ6MetifNWoOFoCUMpPPeorhT9LOIYcXx1ZDrdW4R7Slt8FaCgxKUNvN
681qVkmowrls5uMY4TUtbpEuPNEngO8F4sJZ8tkF3RKBwKG3pCBydbQRj+3SOT1MIpPHAe/UKGh4
qqAbDpw5tBQzuNqyFEhJxBxa0rkAVu10ywKC4hVRS6xpZPlvLRcdEveuK2MwHIqXazPfkicGivMU
PXDbKysOjU6lEo61MImsXO2Ho8cLseqmvXq+IXR0M9OYAUJHqyes0j+39E9PZAM+Yctyg5tMaAaG
ex5v2FYoDeHxnqaBTqTk2ij1bgUH5RGAVKTMXwKsK7yCLywjUXR8mofYmCBxNGLwlkVu52DpUp6K
eKG8lkRY+n8P6M3hiBv03oC1y+XS5QiifWwG8zmN1seMJLwERRb/aymor0Ur6e/EShGof2n2N1GR
B38RxL6xlccq76LvLbx8yg4lSq/cT0MlD5UxQAJCO2SqFgSs5D5gyeSJYIYi06/b/gwIhWzrGCMS
QodmsoeYmv/druxLMQeSZqQF38u0LPBypySWATIRMYYqyclCVBPcUmNIXEAOZuvuzE+zNlFuiyFQ
PBF86F+jrsmEDjrBgKy2CjTztQz+M62vqxWTSJeCnGMxPmbPoaqUK9gS7DV6+6kVGMU6E47EBuWb
k3k70X0X7yGDNa9UVGkJ4l/lg7v9ukeQp6BOKSAQaJcbqQVZnJxVZEzMPrhghSD5emqrX/s5+dQ1
WaeXIpsZKICwXsguyZrDD6aCUUosFYv9awxVryVvy+hDpztBgxVYmv6tbLeJoobX8c0gnKgowOWZ
avsIcMwCFeJ3VQMc1OjjNTq71tVgEYA7JYkXpjGbb7qNZQkoIvzh1Ion8GdPkXrFvNAdB85qFxSC
wfrw5JvJk+feZB1v+zpwbSoiSky+186//DGRFBYrRjnZW7vynT1sAG1JpSVUDrf4bpPvPagxzlv3
kxKLMzVVplrsrisnCCs7QVqOrSMAh1r0nRhU4kj0VqJgYxB74H0xWUuii7gBU1Mx6eYpTlgnv1mW
ZCBfsw4ASZkntiEmqtTzNChVnJbxzPqPqfoElw45kh1hiNsMzUB97G3M+KRPCuvQqLpqE79O5UWa
VX/TzygsHN22oCv9WVxPP1PjCh+MHHT9WYOPChCLMwVXtmhLV2pWGi5f4v/dZPA4Q9FFyOHlrmPO
5vAkFlZGaEREbLHUeglxU8JvcwnFGBBphpcMkxajXJjLY71c0BKbcc1Q0esPKhGWeINn54yf4chl
RGxK7TZF+cR+0FVkctvfMPxb8a5wYNDyB0xj6U5BYjH1eFUXry6b+blQ+YQQy+ThknmVK1oDGUkz
EvDbuJNFM6KbIGMTQ9YKoqcNzO+JntR6mhHm7ZWSoAJ3vr5gAKNjzot0919ytt9AdsdDayco5g1q
DrRED+HFT7QFPGZnKwFfaZ7w6Spv1O/UYS4xUrZZFIZDINdDghnG3x9/k43sQSgNbhCyccI//P0x
X79agWHEB6lq9Pk4zq2sDZixpu+puws+B6in9xcFRrtSrgoKMp3/jNDqXq8rq4jJk1CXTouYFCW4
G5nPDZ9QdzLuAI2fH+GUCdAM5FM6dkUOnwGvwlcRA9BNoD8D6upsPL5i+PNH6k+UYBb0PbeXUw0J
eqLnOOevlIXr8GvgQuHL3JekKmrOmL6mNrAYO/7n2ye4Qir0pjC/2S8lnja8xCyzMCEiEfpmrQcA
+hdKmUQ4HB+jfc9cumRDEp+NTVI+bjgn48jV/Wv95hJvMK5K4aVTgpH6nB6EWhj7+yOVZ+1wN/ba
JCNzzWIA5vGT7W1Ll02oLiEqmxSz+3o42UTkQ7kTFNw3j8fQht7MQxT7bDT5Qrn90O5TFtrtcTUm
hWjD25jrX530KqtKkCKa0vG2XU+D70zw+w58NkC5U3zSbjgG6uRGLgBWNQ8LCsnrab7yPTEzsp/0
hH/Zi831yaMYema2y6W+hPjjM++LIE/6hI5oZs9ULE22oYOsV6o/ioKT/pTr6kBGVStHnXG9H7qC
vp6rn6CpYJjaWrnTChyB4inp6kEdUMUKESFpPXluZ2MNcUvjVCnlBtQpPZiKge8T6OYmihLp+hzY
hyWG847yyxqlmu/1PnV/0zAIiH0a46ICtxQIq5NjsXRuFOX+bScMO7ULlTHUkcuVD0+KmpjX1Lpm
F+KTE1s1i541VzDGtQgH7tisWB2doFIupWxRvM5YZ3SW+gMB/pxtGHO39qnr19DKH0akd/oAYBMf
haRjwy9dZh9PsLKnPcgRwg7ZIF2ca3NJsUkWYBlB8fKGUj+0eBzIZ5hNUv415k1wz8Qx8gtfOkzA
7/Auh7ClIuf3f0ksNuw6Q3HZe3+puirCpjigRz80vc8d8sRi2unYRBM4ukdl5ZEuf9qtE7dy+D2m
EQ+TMI5xaNIwv3tkxnYBAJln9wIfOSiNs6nYzVc1mWIN5i+Uggrx31jJToDNciwfhhIGX/PLw6t1
ll7WZFlhcGmN9zAncWpTLLts3ruIbpI8jQ/IL7nC9NhVdLt48ZpiwyZX72XLY9BOwogXOhdQX55K
Zig3pOguoy5Mz8mayBaZ+I+t/YyqUstJbXbMpTQnyY8tfPui2b9KqCvx9Fky1+Wif0GxGWWhZOmf
WaEDaGk0ALKmBX/ldI18D9tr6ap2ilvEreBgPjoBXQP61j9QmwTUubndPJ+UyRMqQwejxNgNZqNU
VoUzg3rscQRlRZbtboKIyrcDNWnhg/zGX0PncVfC8v4m5ePF9TvwtS+g98pdaMxIBadutzC5+FiX
JIVMcGNcjfDsoWChZwmAA7y2+nIo44jyhQeAWZwmeTh1mCis6+Fo4MizLOAyTaykzlOu+xdMng6i
TiF6f7wGWRqedgu5O3ufbUP45dYYKYcFE+SK6VrKYlf/AKqydVdh14DOvtx7VVYH+eLB2+a3wpHn
mB6OKWFSUKLJIIJ9TzXPE7yFnj/Om2ZFkL6tHNwllROZCHRhl176Gj6KYyEchmmaQtNy93Jw4nR4
U4yoSU9jtDervM2gP2kElF/N4AMqXXMk+KaOR7BZ/AOIhmOS37Dw4tUb2nG164XBEQKqDPrQq5Ff
amy5NpTtobqpClVIzKNPPhIg02ypA7tkpLjwokCnK4qOxckA7ei61AZhiSjdjyFfrYndxB3SxsoN
hHCHR7FbdezBLbsHZGF2FMFIIRuTRtCpfqHhl8I9ElUoTr9X62QUuoNavjfHFJNQH2kpwGRAfiMo
VkD3xN4LUPyKDNdVc3hp7ENVQQzFVJcCKwY7BT9ujpaU7ZacpSBMYx2MMW4Rmul2O4j2TiBtRTHp
6WUN+mb6sk4cv84mNSXU71xTA444eQymI3yGYoebMpyWQFXsU20UPVpSL6KPQosY3B0IpXXg/edm
rx3IT0qtvfRXwJ53ob6KmCXAz3C/+Oy31Grfl8l/fzhGgC8G5M5lJ4fIxZm3QEurd/K9w9E0yY9A
leV+EM/CYn3QpNpg6oeHm6DGI8UGf0VlDmuW9JeShF/aKrLPP58TPGPjC4CKovtc9DK/jDAmZlue
vjMqjh6DsJHURazgG3qKcryBhBY0u9A0aT3NtpiawDoOFjwTYTB6s7xGrwt1jWd00Jz/fbOZ6HOW
gjCh6JTr3ERmvqV+tsGOwPLe7pq0f/8YkmfbVLU37ERbuEiBFEjEmz85NG9Nw2nn7gcLZoze5Aq+
nR1GPb/MpYWpClI18Y3mXeghLPIEC7PpjStrDSavUmPWvmWjCOtITFIIozuy+1cEXeTRaFD9kPjo
UOCUW6EjV0/EAD/qoy/0/LP35mQ6utGon85CGLqH3XiqHgysyeKnOBLEP3DjLjALgxYbRBD9PJ0m
8fHlSBj01WJkc+KEELe5UfGw1wL9Ij84aZ2yR6f38WrC5+fqvBAURXhSOLbnca78r77wqhrzw9/r
1XRyNCN8DQ1xGUsB93znBcwGNl9vxa9a/rZ64RnlwypyL7nghufDxvZov5QClSohyvGpGrZqJCTr
kkS6sxPdQtCMDdLdAfBTV2YGOCkR/PzfQgCIQcdbBFfsUKGaa5ZVM6SEiFWedbha+gDPpWJhq66Z
loc5/jS1YH47ND06JYabg0rckByOWwJ9zNr0FF7BYA85mLUg6PTsJ9nuVfzjYIpjMaZb3CmPwX7v
zOopWMhjOG6u7s4VrsJ7KGXKLNtHE2U/1Maw56fjAO2Unswy3xnqMlYiWOB7FRGkhJzh0asAeuyB
H8KWqzGrvBr9SK9mX0mEXNBcKv62akdgSrBQwbwjeIlESI7lNCNpmF4qxH2kkR6LQnvUIgjflErm
x8yUk8dNNvH8IEjzQX5tdiSqaG71ifU+1gAC1awcw+AUmhVksAomTHG4j0Qpk+2bXIDEpXvs/qJm
ojOls1WnENYYi1HDvfW0U5WmCrBHEjdn3MLWADIAJ7N5FQY8eoDVxJJsH6vFQLH3F20QRmXupFeH
vfBxZ0M5HwJsjnr+z+gP3d9HgVc6+lFGjK09nyLNfuVlKNAqR1AvqSNlbwPLLQenFUXcjaIZ3QZn
Lv4M3pjkCvmYjFNpX2Hd/DNbn2+Bqc3kX/QMiPitM41JptgYLDR0f/UWP58fYyn/tj8oIghqNAMk
hWt35IpPsRE2RQUM+ageX1LZBeFSYCvCeHoZAQBwoGz+ImKphszCuNkd+T7vO7pUv4Wk291S9RY/
6CROlGT4YBQet3EHuraCtmuEEQhblDOo+fDfLDr3qK2+O/nKKms1VhJOesv/Oco3g15/FeovOtZn
aBdZuE0SLTPwE5U8+JZPNa4x+sUCrByBZyYaS3PfrW0qDzDX+aY2iLnsaW8tVXuCYEiVM37U2Fhv
XREkkrElgNyh1kjxF/7xBTdq/LDTitBe/UVKOqSIxUSHEiUfBYCi9aS/cCVw4M9VH87mswK3hj8R
uubGsRmBuYSuqX+FmmCsv5jtlSsxtegQSKZ1znGct3bBS3tJyPIqGn+XmvhsSqo4NbLbBj21Zw4D
RVElVRGJL2e7xb5s59R9qW2qCt95QkNWm9AdHEgL1gjkOBP7+Sf/8HkMQld/3jOhd0bBfpq2pmoP
mz/otbivgxkk9+UrspPHHQeLzuL3bqyG0cQs/bPt9CpZ/s5XBowq7BnuPKIcrIURKyQkBmWCo7Zn
943nWHZLldSvPSjf1wtF15FhhWwF8wp3cjNoMYtG/g+nMpexAtVGaGGU3F6pHr3zwgPtEg9i2nqJ
mQJf2DYzteZM5fZjft3mhuS4nOsaF47i0GrGncZlVWi2i5uN583w8M7k24Gsh6RxgrXu3nxtMy8s
rOf8paj5K0rDJCAq8juE7vaElsJk2VIoq+YUALG+3cAeaAjenPHdZ4iv6o+t7vJRdov/xjKtb1WU
QjRpuO/tnyuHPXREvnGstxNpoqbS/a9FfF05NuV2C0FNCww/YECtMay+tNO3+wedjV3rOzdCgihO
+tTBJ22/zHP9v7I5pGih+InoFbPUKZWk8u7/XYR0mnjC41CO+4GDtwOG6QDPzcyzmmpgEAASo8zG
xJSHxgN4P+OL2XSEOmQBlEVYlK7v0rO2m52xWS7qwHkjf8kg9AkMfxa3m3rgt2Bxy4wsptMTUsDb
RlW3/yp9wSYTWl1VhRlruwmZNVgvQBN1ryROrfKJkootdYVz7cq4Tn6ARv8L1DyI4ZmdSlXbJT0x
bXdexLzbRnrn94oF7tLjk7Uhq1DFOw61DVjuUR+uL3+n2cYwua3qZi+qoPxioscIlRomR/xWXOU2
GCFLUojX8CshoWKhOpz6F+p73SXbZPWeyoJ+tSQEJCUbwl0ZC59dow3WqAYyjDEf/kuxPGuul1R1
uFSAJPVjWGSh4AKV28WvghR0Q+/37WPFmI8dUXmprJsk10bwesyVrEAzW2mfVgjh2ezo4BKjXQy1
mIck507dntQF/SfzYkbunyN/RnT5QNQst6nOJDCp5Nz0oaWkwVWoDe/CSaFTZN8fx35r+MArM7Jw
/MZZ1RmTYkWIxpOi6ZF1s1sPqChfw6geFXHqguoMpM1jsznQdUjZxrWZUiGjbwqmTj7m0e99p4Bc
gtuARAlGs/YWVSj+Duh4FzoZRnOIZ8TJUqVg+I7Btmixnvbm7Im19HmN1irnhQ7+XY9T8eBBRjnA
JBQokZGbnUE0VQOq8WlFhVvmjM3A3afp+i2q8VW9BS5c7Nu3ZwJviFAS2X71sQwwiLY7QphES0Lv
wQ1T/uxeb56PugTBt67JQjXMfxQfP7tPR9pNAfK8/n6Cqf0i/96+fqoaZXYOwYG2HsrnUslXuWja
Grm2KSWzqZAPC0C7ym8trf7Xawv1SfCur4ubrQ8KmzF6Hr//Z44GfiHzkUjM/hTlOKOv2JLSkN8G
WiUdwls+80+VrpGBSe2vjPWRE0VVk5JS82vP+7XtT8/vxmtPBvRfD2QRuD81W5oPSGHItFjUXya/
kRMK4czl5xBtIwsvBYL2KfQeADLqyELkBEEzzKATNZGDbvJJ44HIQmG+IN3Gfejk9OdzE8p5Cj8u
kzZhHowcHZsMK1Jt1UFzZWpDLp4l62oIG7mX+hErvODz6bfpHyHBzLK+gCXwRCElWzfzHBinSsUP
1BRIo0BYPiK1+3c8AFwYc0tcXLKSdDV/KNqc1KCksdidIHQFxIpJBoCkLD7hX5ccHwSn8PojUrwT
86jJXaVGdH6jJdrDl7VADjevAo1Se4chlUI++eFlMP8AMx4MGGcfqswBHDcwkl+6iey8B8xYTnhj
3FH/UMCmelJcZgBYtxI1Eq4NU15or1iyrT47sF8rTgdpvoyb/qALvle0pVbRCPAO80AR5n4Mrkkw
4JJ/g40U+ePKERc9P/suIqi6Ccy2n1NNmZpFbzMOdkSEjB4sz4J60AQ4YIGH8Fg2F7DpRBOhMOTS
lEGysvNnN4uu0fBYFsNaX6ImlHM65jdZ3IU6wl0lm/j5nZpqbd5c0s0mFbFrsTww77/BHHAWYFuo
LCRsKBiexJokzKSGJRFJctA1qB5NObpKC71HEA2rNrGuAHDrxuke7oqqEo3iygRvjjyaIKwArzlR
AVn6DzI+T54bqMwxagFa2nPdkRrKyIPXh4ynu7cdUZAVbJidAkW9HxDv2jXEJ2t+6VizJqinWXbW
yqyniUWNbw/kzeOn70MyM93v7QeZUISEke6v9vBZ2A2RD5itQ+JAZZCPuJkVfqcETOb/niLVsahe
mSGLQHnX2HnmwhVk0E9kT8cNHP2bBIwQErdb2Wsecl0FAuYxDfNxecx48tUp0mii5mtlUrpHvlkr
HVfN3bYk1OnJ/P1gt8mboadcRdbKasYsNJ2qEvpjP2A5yP5YLrGys1lrvKV0BYKrqOUjweejIWEN
Nq1LQk9ULFMyNjlNBtHM5G0TjB53DA5Xb1HseIuWnvn4KRCR9SqhaPTw3++Lo3SlV9MPs4oZ5AAL
5+2NOZMz9ZAI80B/ZowG4GjLUqjnBxQZtcpgXobQe0+utfvoTMF9n4z1KBvdlfSWioI4YaqiUoj6
i16qK1TVPfVVOAEWFMRVMoTUBNvDe5tAHxUZcILoEw72vTiVarzoz81ZxbuRwlHj2lagItxYHwyL
2q8FaHjs2C6WUN+h7YnJOapyVkGXO/CeDGQqYnN26ALFOFEt8uIJmMHyUp7PEEJ65FKVl5Mg43pO
KvSYbmmsEPSIJl/o1fCkni9U0JuH5aR5owvf19DbZ/OnnLk2XJOq4FLY8BLzskc4PM8X3+Ww4S5q
IXo3tcche/xLNA6aUfuV/RYyet0F77XDVIf7EW1LXbuQugJ6qrensYSuYWEfn4Z/Wz9YZX7/NK9e
FgJg459C7bRapy/v/G65PxK2iJCmVJZEb+kR+ROVUN9YdxU8At5wlPAKZVw7a8eMlfiYL6OH0g13
2YVKrSpjBOIe8do9kLCGvvqZtL6s7d1bVrEceFlkuFh6+/uWKbc4gURihzBQGtpvwXe7aPFv66zI
/mZWgyREQFUbc10KknT6qWJ1ntI19t1n+rnjawJL4fbAgsfsZ4Ah9Hqo8ZSAYwWvfsxgnYD3tWEd
ntvARWM+uKQAAP+jEacFUmYbtCRKmndGfltYX3QdUg5j7AJhMIkgnCUh1rT5QSv3hJBf7vnKHjKA
u8Wn+3ptoDnojmvq0Y3ddSCIA9+S+E33mUx/18TrcqVXNs8mk/2oSI3IEmAZt/J3LkZu0ybvGwj/
DnEeC/rwBOoBr5TiZeucfZiLO87zGITg7BqlQcIqAN/2hP+zO+aFl+QlMlHjBWBB6RKJefDCmFQp
HCAcxPxHG0WKS6yztsYTUB98sFliOrJOoQ1KsgFOcmZZ+hHPZyhpxhQHl0QX6oLeLxAW+d92SNMS
pD8q7h1THFqDtZqEGOv3qC7ok5DtkpH9X10b+Ez6I6u61vObjZcQxiG73ZM8EUxGMszfM5Lkv0Z5
AJflR9EnNWefxkat1U9i59sGJWsDGI3TMJ3r1TjtDZsBKjhyzZCFo7jrjKrdseKV1zdtjEaFLK31
3r9C396/aegeSHDpJeV/lPEEnUkmMw9ERkR9OC4mXZOAgfoexSsTt/j/ojzXj7qixaTxRaLBWb2O
ZtR/sYv/XR2PPvC91nm2gvZmQ0dfAOFiA7WqUz5F9N6LV3fsqV5zwSO6Wdm8w1/2OU4SGoafqQyB
ZCLqk+gAjxI5BOpZZz8QhvYYy4U9mGW6ZPS2VlUNfGPoyxnc7VySm25xoijlFC0qLtmy4cjmMikq
BnFeCeTr7FsmAO7ntlOcAXkM81H5DOL+BPjK1+qfxRYPZ9WZuA6UQZfhaabbKOx0nqYFAufWFs2Q
FPxTl8v3x+yaHDKaMdmcf03Y6IyJUuA71Rqq821/r/RbMFfCplM3ZQKZxxojSsQsEz6Ebv3HGTWG
i2HPmjZcJKqQuHwcZfDDmdzN4ymMbKIeC/wnCVibXhI2VvC/OXkK93LL1nDY7yZJfn9PZCIJ4ESL
cQ3o7gmuoo4Tu5nTOXlukiowhioXD1kT8t3ebMAqadIYvOOWGKY9n20HE7aQ3cJdDLQSgY5kSYt1
O76H9hGVgmfGwV4voodNT+HNj6rgNFbP/oVNSZFOKPKceaFxSaWSVIXfWFtnBvXTSQ0ctiv8uCa2
5U1GQ8ufQNtQencZ6CO90suaPypac9n73f6ZRM5jgRnco6SKK6ck0QHRzx8XyRGCYrzcKQFP6vx3
p1i+60lux1AvNz6auhW9QaPothOAcmWFoFUFuQ9eCkLsdrXrLczaTR/iVx5HG5OOf82EsiD3Z3sr
FSziYQZUvCwmBD82p3ki8ZUn8lV60L9k6St7AmR2aDTU1y/S166WJ8ofQrmsLhhZfCuVVGI+g3kN
GJtsZnV7PNbJfEVA1AF8jaEg2zQkDDq+DHDhkvl/nNskKdTrbDM2mHtDbpSiazHuSivtgYnwoc/Q
ofD96PcIWvuICqHoe0ucPyizmjDvRABVJMzl4Y8PHf+nEz/tuX2t6ngTXKdd02lbREXMT2IFmar6
ywdnmaj9Wpg0idkv1EW7c+jFamrz0m4EV+kF2kRx1OwFvIr8aZatyDCn6k7q5LjmcedyOmDAucHo
OuvuZhtnRa9ErrHiq/dquz+dGAbEDFN0P+io5EyFbIZ/6XFUfCl3Ay8MdRmYq9elNRPPXSRAldav
a2JPZ44tkRGUdycz+O1NxQjFX/e2sHrKo/b5yRT20yuMScl92hH9hU9F1goO69jMu88apmRWbpTN
J7j5v9NgppMY/hWDzlYP+7b4WHkndKdRKtPz9xQO9+3r9/uMal8vEgzCXWFgh0Y0noh3F3FOencI
vsL4stKMqmXPij/PWHZM5+IlpHybBWLKHYB/Nd2tnLUWWuXx9n/GwHFzFzxp2qFQ4rHSw5GbXlch
sXrSTy8BfgIE5m/XVls9GDm+nQc1HsT1RArZRNcZqNylxUGXv6yyY2NTAxf0eZdK//SVYk9hLy4e
z8aAwNXfdZnBPMUEW0qM9JHK7hdPvhDqhl8LMkws66/UBW6cDigw+TuR0ewGKV28A3m+jvalbHCR
/YeekqKzTxHsPRFgg+YYD8wZ3DOus3v19WCNwV8u8tSbKZs7X+FMHB4mF7JjMw1ThvKqB0FDJtoC
soBI8M++nUTCFeUzLY+GefXyd1sEKR4Qiskk+M1femBK9tajNoaDdqHUAzD9h5kyOOqKGH7+F55g
CKgcC6YIJG7Dks/0v9GfMT46kYb+cOXOIEvGReCUmwr/Gsu4LJK6XGyq/MTumVuM1/i5TVlYuXOm
E+excmluCg62AZmBdK8wgHfKjfXBuW85LnmVXig8aImUl/9r7sYrpWmVuz7AtyLEvFZ5KdRIIv+m
UBTr8JX8sMSP5JZu4MSVzkw08uw4jsrTm6zoMg0MHCPOfEuGBfiwVeubEXF05g/A4trhRiUEP5Yu
NHB6VfOrOs2WeMakcVCy+kXYZnsE6fYTnUNIFiURd5zL+LmseInteaa95XBiIfuyH1MwboQEGFBj
6ZNDUBC9M+0OB795B/tpW7EHFWght+0uHqQxDFXmGYtxdT7W6pZFPqhI3jfVh2k45VqmXba+bjIL
UIAFT570Y09+Iw5+Hd1dPCp6hk3eTSi8h6aHctxJtWCTfuktLJzUhT6zcTE4PovQsqAt26os1mKp
9rQWQ2R35ya5rWjOydapzoTyUT5tcIXSnknbjosO/TTdhBy0tX0QkMez+iy5eDG6iHhKwsnXLgoO
3fDqw7hnuwAikUuXbKQcf5hcXKu7HutcV99Ym6r+sz8U+6vF/VhvI71s/NBN9WhOYI+XPopsAufh
Gnf/Cd+ehNfIPK6ljcCipvqpDJYdKovV6CQLt23gDT5fGKPBJKthHqH86bhCHDDYhTCyTytxR8S/
DFOgyGTh8w2mzd4Csev91FGfhXuDNtBOzcSuUIP+wVYC/jR+iIge5KqJ3J6nS9ej0gAyIbCq0kmZ
QIYmgXFQrOAkoMhyklBD0sj5nL+pWkNaRZzo8roD+f7R8ljnyLMbfsIJKc/qz8sKLcdMpJw5SFtD
JmZKUq+bJVMvL+bXnvq7thBTlEmbP0l7rRtxcZLTVzBYiblzh3e/hFjXwyIaIzFxtyBv/XkyEqpi
RR/wH4WNDgkx/AJWoW+lhW3ZJwC1y4OhORysGBwQHuCU39odbksP2xrInr5nQdhiruyuI+1ZWx7S
Wh2rzNUAPJxy1FV7iua/vmTBYElu/yn9cSo0dO+ykTKLSAN00eRdVaFsPyyEP+1wCk0zybJmSN7v
7nwYOHEa8xKJUZl66vbU36ioM+0WLfocj/wQH8yp0YjNUaS7N0zJro5HvPhmzFX3qP8k5Ba3sxMU
A7AWeUJFj0SMqggQ5RBZqA6He53gX72C5YVIvJr72jApGxlLFejBy/UG23AQzVYlO7VLGg1E/Yi3
OWT+aTyfroT4l9k2RpPuyY1o6eNUwJrb2GIZLJeuLB3P9+phHvGSiUaLufdfG2OPwKR4FOiLqEo1
QGgFfZP95e3uBHL6y6xRZyxhTmtr3RqSy57x7Bxm1zV+556gxv7v1uRF9kNQHuF+fjGf09zrkwe9
+aZKNTgGA9pSj6XJAV0N3j271miGBeEzq3GT6NTNezOqZtM6SVy4hEj4UGYf2ycT80PkhFe/ChnS
i07UH8cpkn6JpczQpzJGIvNjJPVw+jP5f/YpzfFRFfpZ8vWZ5tGa05PAf5A/gYd4d5v98IwaNQID
bE6kPcs1EL5d/06+mNY3PygcyHepyHjGVkSFRZGdH+8FX3bi4db0WPbY9096d8muDIXivkCaa3Ke
0cxZL3e49v5vowtdWnD4BrjsZtny4mZebp0cgIYIuq6R9l5bCiORV3p+/MKTV4a/R+4jCcTGeehb
aswEMhO38uU4a1iN9hpyzrvUz+zQBf/S3EMY6+0CO1RsxA/0jqL9fHOk2n5rXGsrQP/wTkHfUBYD
xMJHzqqvlsHSbd2s/COmZJ+zo0iWSwanlbyiaBOUXPM/ioy4FHpAhN5Mt0QnZpaxdvdlPwKB1jdx
ux1D0XfQCcCM79ZAf8Eedz5j+UFHiHFJgHr3rwjMnKcIrY8S9RS0YqKEqFhrL4PTZKQzB+IKeaTC
mYaETsMVapldhGt9a7TD0eI/bB2csRd4RuL01CxPhASBlh4VH15XBiwYR88grQUWwVsXf8ngXakb
zUCcR2P0TmjDX1UFyeyu7h8Kf+4VhPAdjyLWBi50q+R8nAhYqJLLoGoijz3ukrIPQjjp4ksoUapf
1MdSJhMU6gvlLHaLY1+4pHgEg3kXfiDIpA73gfD/+1FhhvDwsAZ+9fKwQMorfr6gC9MddXX5d01Y
LMqTGFMurttns22zH9fjvKWwzpw1i4+HbVzd2/5rwjY6G7RYMRTbNzaZOhccn/4iPb0ET03LbTPM
QmH6fIOq98tuHAh/JZ+5NE2ndL1PdwTrY0WahpY1IwfBX94MYzhvIcUMsaI6rAXS7iS3rWyFNcuc
lXMA6ZRG/Nd9J0FrNtdE6SBHPD8uQjuDE7RfjbdzNvTMubEDuWaso1NWEt12oL6u+D4UbpvJ550K
6e2MDKmRX/2ix5ovWUrWCL86dpsvdKkA7UQVRjbQBZy2rWkyqqWDKbn2+LhqdAOWJscEMoJILQsF
5PT1vmhNf+8CHAQ05E/sohxAZN6lD6hbIshy0lX5hzU6PHJs+aW6HsnvOowjA92NHIFMtvMy1FOW
Hmpb4ObXeEaIIv5+pBNMgLHwWUJGyWxogtgvfxO5yXoOqnlold2r3s+rk8jTOY5JdPykvvu7PDmz
P1sQKYm/rQzjt4egmLLLq+QMrcn1wLmoHwjd2/8tsYWHSQPF5j61JdKtSy7PJHzP5IvSVvNH45JF
wxCtEqcmRTQIZJ442H5ya/Xpt0NBeaY0FPlVmRtUeBWaSfyY+cWv+W1t/C0N4Y+x66Lb0lcBDy5h
XAwwZzlPEgPP3Hnj3/kFmt0Nhs0AtXflBWh8yq5VXAYzrWGdMHhlvJA2zTaZgu3ZPnf8iw+syLeb
Xia5mvCqsb83gWt59pWtT5XwPTArNYnM3MCmY//ynOlzoYypurxM8X110Lx2RU5xQi4MaikY2/OC
DUsPMeNOIVDYfuhsiEpRzEo5ER6ko30uKStWBogZQg7FdweoS+h0ZF9DCyh+PhvjfoIeBoQx49O3
IddTO0FnszyA+bKbD/dXDqipkOv0TggOh9UUuU/M5FkbjqNKiNoJ99lYvHfJwXmexvcds4UkI/RI
I0RYRdC2v2DRMCa6P9Nl2UTK90JZcudzcPQ77YQcNFJMvLB0ODKNxiUBIlpeerdIFLUuv1gbKSyX
OweuQGq/U5QVV5x4l3mzV6futjPn8lP8dFkQw70JK7s/pucwMdyhvDA5ByXlAt/XkjNZx0cdx+OV
WDQaQLNzM4mkJre1V03DbGeLSgO21YAo0MMOpxj7IfUzZGnuh1sT5QYzcxM1KNaQlRdWz9V9mMjd
yiCYOe7Q1MU4n58yX5kGXKyR3xPY9tBnQbDpL5r9qqhyL7pb2+P9V6bGgrjzo3r1g+La5yHYsUap
7F+gIGHfa4KscNhukYWvQWQPqTrejvOocD0BoZwJlpwBUJ3xWXBr/Na4grLHhS9pR0/pOH9kF8z4
zJBhTkHrrNKgFW8p2FXNlOCYFKYZOJjF1SmFGxqlHcji/OlzQm7e1v0ysv+knH3XC7H1ibNzWu7T
L5MU6CHLr7ST/MC+QNcK3AC5DMdjYu7xgTVQoFdoT2xFMXPEosdji4Gl09hMwex5sGYTl590BImE
I8+C/oIevVFKETxNdDDCxhHtr0El5ns/5xGigUHCywMorpjROmfqBTl04LfkOhZKW0rInKrqg7TO
BkFGGRFeNVThd2GDTHK7a59qArX51U+7XUylL2YCyLaPeutxzVpzo0ZT1IcEiqNJsTWRpfJsoGZw
mABfHJP/lmyWwYu5H1/A7YzzoPvfTdNGYEhPZHbuJF2o3uMlvPWlKElXrSuuuQ4IuVhoUNDwgtj8
Rji3Y0RNLWOvTxvORJDwozHwbhrdIvFApmRtBJRo/vZuDNaUm9h3ZWzyZH+7YzHpCTGpr1ft1CsI
u+EPSw6J8SBU4gNw7ga8vriEEvpTezPKrNfjnIXEVnKpoirgrMP9DwyKBxM6/MlhSqmC6IKGOIOZ
ePdzq6gyFZH1lxPdBE3qR6UiXm7gZ4FbN6InkY0iniXX3fO8fYeY81MLVCWMZRPh2r6BnMTgHNFq
JQtuLmLlo5kvtGxwBv9s+z3qK8hNudmxW9ygfKORm1aO1XLpAWSh59GJGrQNHfBJgrWBygIz61MH
SSVWHi11p2sV9EjqyLwbYUGWcxq/TzLnsV8mNKoodfJzFE+cJv/2yIfsULX+kodv9BioiLBw9tz8
xEVdaZJ8eM7A3i7WmbzbqFN8EoOkOY150XWm/vnu1nVS9eN9Cm6uHoQjdNcvwI+G06OG6QL0XAZ0
JQ1re4DHQ1A7Y+UsEAx7kAAxkfmjVFHAcXQJi1LvMGqBoCRWG8QzlwrsvJ1jXhvuoZ2DKBYZLmSs
OZRS0Yx6f6K5DmZhSTBdfHHNCVGXkvJj8ptMn6Kt5DCDTKbfRQfsY6QKCAYMfBZ0DjgYKk9Gvx2W
bOfJZIXz+ydP2V1XHrs8pfuitLuQjPWH3w9b7YbbO+iadFhalz3WGo94xaarfS92TWWVH5OZiBoM
Z3kHjDMx7zoucPMMVu5CFwsrkj+T7PMpHW75AsJHC/T4HOZgt+kfJeYzmKSiruOMkMaAzZCu2O81
Q0S96SygvLpDMj6uzEzG5ktSmLMUK4lNHFLJrb+ZDOLza4Qum5sD3RcZc8R6GQNBZnBDCXXnGvbQ
XmVBokZRZ/9QKic9GpYGCFqTGYdU3auEII0pyLZ+IOrqcn4zmG64BJpUstfV7IuSehiBeB6BM5DX
IflRDwoOHCJHdHETCUCoYGSeCUYO/yeVjrMp5rFuKuMmYwkCufkhQI++wB04/UVRtLk9cUMBxkGP
TQx1zP9ClO/61f/oCHg9Sek0o9mntpJDdrHkq5p3X/6tytEaUiS53vFvOuQWs/m+wWZMGo/QwIYh
U5VsydtcHYXi/zZb3mUpLTLs64A3SBdVfkrf6wHMwcr/qAJ062ocIJmn4dpZmt8BRTBvG1fkXUu+
L4ne5illVIH2OUNyDiWUgeXpvZE5Kq4H9137W6zY/TbER5T3ybA+rN2mxxrhBf7TM1zLEVhbGxAx
n49XnWRTQtL6v7CJUxvTvTk6qaymwHEneQhMTxdqiIoehbfs3BeBkVFDgzihKFxmy6n0O5zIEUaA
fx0V9+cSjbUDiYfHIjRVs7EQEEUwc6TJVTvN3R1Wnoq4KS160ittAy+l1pSi83/434t4/GXaxllo
yGTLzOu+Iap8Jn2cmggy10FmzdYWJlogL1ujPVxMCV9bAPi0PJqjtezzdZOBnRHVIMXhOuWQy7H8
VPbGTkhFILJJYNW02BX6aQQPhxl4J/z9ejAdyRfJZ69/naZhDRmBlop1JLPA8rYZJjtXHEgG2g2Y
giLvls5b2Y79N8sy3CLK0uzTe3tnZ978P5U3NWN6nc9mPQVojQJTEU72YhEdNuVkcdy9AiEoNp/E
WzswiIzj+5+3yw0yim2ifvoexeCIeHPKDrtWU9/ImVC4GjVByerMlwXFFvbhsHrRg87BBnPea3sg
w/cHME9hJo408YrwOKIf2uDgBzeR8hLR/wpk3uz0DD1Z0t0/5mTZYOyiCLmE2vnEFfOFIAc5gdAa
kBT9cE6lATuOrBPbi346Kyc1k3gT8tj9aIoRFtxi24IyUsBFI3u/JaFANHsXr/4CLBJyg1t13r98
190K56ue0TebYZzlSFwSElsnYpgzrI7TVEzL0aIp39eNZbvjPr8F9OM/5So8VN7GAWMC2wkD8JGB
RKFUdlzNW5M3Solq/K6UHUooLBuEwLfwvnnb0EkFo1wmMI86oNe6LYVPgq3wNce4tXMhfJis5E4w
upUPkRjHFJFB1c2+9ViIkzpMAzGoiiSQBxYZfM0NGaQzIfDlkJ7Bti4FdVW8OOm7As6HOOxYqRL1
YTP8YNO4hZ7EJNtI+LVqyxQhQ63fl83HCwjxc1psBQ5HD2J1xKoQZQV7b+gMifh8fQTUtzD6katb
NesVcE3I0e1W2jjpbmoehyZQ3ROggMi+bXKyY9ke7HwVPtuJ/hms8kTtUc29OmietrV/5wP2s+YU
CMadWKdNYVUcswpvJP9rRm7A5llLpmZ4AEPpbG6E+K76c4JmzFWFXI6iVO2mt50B8CylM3hLoVFn
Z9e8CA7efiYKEeXZI8NIOfR8gsIzstNkPVX6CEKV2QA0iSHMjZCHl8FFj69f9fetRbgAOmkqrqeI
luWAlvyvx+fy7q1YTmSjvB5Lj5tgDZAdaNlCkgAs7vW4SkLqppoCy2eXf1z7tSmaXH5O/WvY8OO4
Ofyz1/Q+4bXXBtvpPLNGzKA88a8njUo1kQ/DBEmNrzCn/bXhQdIfEJDTdQS+gT5Ulm4JvZXUvPkY
fvh5x+up4zXprVai3KhB9KAb5CqpdjLJ4DhfPT9cYpu1eo4TN+L72NgL3GyMUu3rYHumoZXO5ZHe
QPh3vTE4+6WzqaZHo5V6IdH5plh46iQNvrzkjKdAd1AVWWIBWkN6SiKeud48bqqnhrmt85vSB24U
2RCleUTKqGlY9DeLlezYUcjfoTqK/lBfoKtg7nyKWU5T5udeX7KP8MY8RXseVmwHIsV/zWHWGsZe
pMn33+1kXqhM6ZEFHi/2ZdeE91lsKtutqKktb5yBxeAydvp1mToO2pq9mIaFPvzlTc+K1nI+CAxR
XoYnf6Dan+NIljf6+DVpi6XBVso1grXTZZEOh5rg5vy+oFxZ/nwmsdeaitei2eVhUo4PGC7zPbBM
x7n6Sds+bWaT8UA5mLlkj1hLsh0AoIyL/E5B76lETjufrJ0Rmw0NdGHMYbh81UMI3iMJV6BgxKiM
Ipn6ltrw4EuTF+Xkh1CUTuoe1dXmgXR4WLK2yshfJTAKtdyLbYWtXlxpOOiMwit0PgYyOvPJF+2f
Ye53+QZuYQvmXm2lc2XmnBlNO18heSOBN/Fxyi3SWS4BLFPOmLTsf3mhg6vA0VhLXiLVSTSUoVSg
fuFJTVqId31CGvY+676i7B+OQ0GwzswBl0N4cep8jTpe1DyCCYQNnjML0KOJLUJo9gPxWMkUmPBa
1O4XwYQObsks48JvYE8mrbTo95d9iUo5Z71JaVQ5sKFmSWemT4ag8FCENJYpLSMvX/8whVaDFBfE
3wzAcZaXPnwc/F90Mc3W3zwSG9VE/ScjFgRg12oR+U7STD8Kcu5nrnf2e6M0Np2re6g8IChMz+25
yT6lDPZPLggyG9WPKMMMOeMWbAG5qvrKhEx8ig/UhRRCOSjsnPcpkAfVF/Y664vVjzHGVyJQDY9U
dhDK/oBl1lkcgQ7VDM/eg+5sa1+6Eglr1T0vLjYxX5YftZOAXQCqJhU3lzKT6XQzWT1u05wCidJ8
hEWqA+WnOH7tolHePCnFxFgHwnFdSQc26lGtsURKFLk++MBZfkrsUUuN97as9qrukDd5PtOBWs6P
NsqVKwts8bkNvnSeztinH3IrypY8qOSx16xLlycwU3djuuiU08VtL7fiDCWaD2xiTHvCHUrmkU7j
XLMqkUkFbtSlWspSwsFsqh9/UnMF0lqkaXMQhwkCvzMbA9nVBzGkfo5mlJAIa7O94zGf9mjHEPiN
VMe3UPw9xCMayCuvtVVK4AWoI/YLTFcNroAJADYUWmn+nfALTgO8gW3grTl9SC3EbOvgHOawDowZ
ZBNAWApmEWOgJVKaS262rvrPIF7T94ROBThnIDRUAFDe6dwUMOMp0lds2R6IzM/3RSibAqzmZY3U
RxjrFm3JNEGkzPAiiHF47Gv0wHkU+cwSPfkE95fx+86Hr5p8C4UHlW4XygKS4+kbvRC5hFTqXr6e
z5jEN4J+cAt7ZMpY5NjUrSLX4fFL6wPd8wIpzohM/RIJNBc1GG4vmcTVu3q2beFritrLLBa+RAZy
FHAsTe2/34EyIAzQpDc+S87KLczIOi6gA60mmVy1N0ViM6SekhTiwR/1+TbBsCtn624D0XSlZJzU
lEVkL+O5AmPh0oGBGf7PAy60uAbtPclfPx1TqET7u2ifZyWAgYZj9FyikullZAthmsahz72y/xfa
KCvrt5JK7jTR2FyMhj9aFrin3uyMcp9nQT05PDsg6nPwzaKnzhVCjVNymxL45p9SXVikUAZqeW18
5yHr1BXDOMJHIRSKaC4AXKmpMKhvHNw5bM1ZTW6pLAtr3CxlpmINXL6+mCUYdhbvcKgQRGHJIFpG
3eTN7I269hB3XRWNUPKvFmadeaxAiLPAyl9N2YU3HGsD8X2Zq9Ssmp3W92LrZbE8krtBe717KxDS
TIJ7ZywidHOOYpHGxFVWj5WpwqojIPs2GDPISlHNMhd9ISfV6MWeEdo296wHCE44I/epUf++Xf+E
2MrKq+wXbtHlwHTRULlRF6D/PaJGqYDIzQwnp3l56UhxvD3f2oZhPNxLh5bTyf4IHKoBvBSVFBhZ
ZoGpZ+FxS/K8fMjSC3ylygWIkPSXiBMDz1HL99U69+dClOpvsnasvpAgLX4Iwe8o63tsQDAomswU
t29Y7yDXFJh/C1GDlu0InCcrJBltb+Khx6OC8/pHXc2Lgxflk3DRT2SbigiDWB/TDVnRdDXSpA18
IwiffL6quyi6hGwrOOHSshVtWGdXSCIj0GyAni9QksdThVFcv6QID/7pk3Fo3XNaolFEuWdSyRzx
kaqB3lQXYGibKtfGuWx/I+6vqmuFHBufvtl4yKaGWjtKDZZpwIgaDneStwzAT1ouuRubCwnaeDIu
EdNR0IzBLrXG4q3a2t+/B+HtHupqTWUq1Meb6en+uXW3NFFc2o3MRUUdTqVlGtTrvKgwKFSdlGqX
37/LpkCTAh+vuekajtHUx3lJEEVWHTQ3z5lbZ+YtgUL+PFW6pjJr1QnMlMWqxiAQ+/af7CUcIyV2
ZyLP1rOrKXmdCvHu3kayzLT/Z78ax0N6sG/3tB25e74JPJB/Bmpdff5TzoI35UKD7lw1lYpewA1c
VW52vjVGDbYTZRNNDDpoQOvKUTRb/EjUhfzxAfw34xFTVfShYQehhDN+8gvS8Nbcr69JXqfTVKWy
b9Ra61V3Vem2Hzw0tyzxkcUtSlzwDCBkmPctGKx/hnHE4/cAJnyk7gT9omVvmLdwoLlvsgtkl9yb
GjctPhY2eHGXUVJ3ulo9jQ1vU5HaFESO/28RtsIWkoibAU/GabfbsGK3WGVorCDx0Ct/FljGfV8b
2myJ+zLvCPea5rgnqmdE0loCdRBcgljRWuYS15O5YCI3VUoUNCf1s524pwNSWbMYAIgjXCmuTwoY
IY1O+DZPzCnz/1U/XD4magsuhDc84ULEsA4Ng2DjRWRJ5EnenhFxjq/UDtPeYiKr3Y+bdwfwyn0o
LBl5K1pWFk6pUdWq+rTx/k4CTmcySnTqpuBwiOPbuM4IzD20MfbCk0JWR23XZo3EDx7yaqiraL2L
Ex0kK8u3fZhy69iEX7Pc/V3a/qONgpHQpty4X6MZjDvY1nUlFYCbBp5pvUlxaoe8plztgGrHV3VL
Pvs5epvU16LR/nhj5wDJvo/9Wqlz0xDXq9c3Bzhgs2RrR7WNVUNc5TO3rXNgRyys2tZu3aPQDxrj
znSQQ9IyoF0S/XuvOhUkJjZ8WLqfXBQhPVRm8+PsgOEgY3KENUX7JVPfKuhqLIMx47SLIuiPzCCj
s+EuHbVx4sZPHK9uvpFbTm6cKRIoFX2/BnsDmS8/tpNcWV9405VallnoVEnqxCb61IeNEsl3Y8ry
dwzROR1xXOlF1Upo+TqK0fGkmU6mIa1XYM8QBMmClqIqJKy4xqYDCEZJ8OxhQwDGkpaP0zde+hyG
QeDEm7OMCgszsl9NaQuIVxhCd63AdJEf6NZmfSBfQvONjfJD4iaPQl9ZXxtScLG1TvKSbnMItal/
dNvpf5eQF9E+JR8gpQeDigNFW42Ly2kEU/SIZtpOWJLlKqEWl7/VF8rhUoFD441wpAVT/D4VjSkz
MK9VNFiPqolRGoCcYGhPWAxX3RXahNq8Yc5yQT4H5jz9zF75wPVO1pSy4u26B9n5/APAXmf+pbLr
PlrvtXZ1KV+kueTu8u3xUWKg12nEdE2go0IabH1DQOS8LPV47OgRYpBceLPqhZdpqAxJf+8RZ/cJ
yT3uCo9+LnV2fsCDoAsGUp491fK5MpFospjWs2+02o6V6SFlywVcIN7oJgAv8fUInR6MAGbHAvLk
3z/4SSAVk7wpb/juLCqryioLikT5Fsi3dxoN0xI0RtldJ6TnAKOt+65ubo0qah5Abh4j13SWusqz
77M/JcWGS2yBomUPe03xdgqDwlBBRH0PhijAtwXT2wV9WD45FKpFU3zgDOHkHduS6QztQB+P+N3d
eM6ZNl8XCKZgQbdv5/v5p5ayLtJYNbcA2q4J0xg9D043fZs9FwOuT2FztcmrsVnMnwEEuRqxROtt
UjBxmIClPurZv2CbOBBVa93WsrstH8ZqJrKzQECmfVduMAM3cnuEMcUx+a5d2HI8OT0orBV7RjLg
oytF1rv6XMzMOEP5iiGHZoaAjVxY64+oBv/CAFqABOvZqksmqD5A2SqQ8IhH4s23g3MSY916Zwe1
aHxj17mkkAgnzRfK8G1NKE3KDPMcKtsX06tItlgUu06pEt3HVxGnJ1SXDBGNGgrcwlnOsDHFEL55
ArTYkWhberaqaeGop+1VTNTo5Ne8Ssyjl2gVAogMPOHUaznDjhNSwLqW6Q3kBE0b17HrDr11yjVm
X80qvApc6SC+54JgMC8yUrxTVdHhVq8U0BDT/hZIf49TiG65gTCAnKGLOnHZkBMV2fUxBLXv3/y5
WqIuGxLdHj97ZFbuyEmkyyyNZFG6T4deyxgsq7vowxhywunh4at8ClMZwx8ag4b9S+9a/CDb7MI8
SGN2rCOWUNl4GsxL94iZJ5qC1pTIZywFyVkMWftOGKgAXDr2mmyK2n08Xvt06oc3a0IqYwhaVYHI
3XB4yEDeqgTJfdDKjXmcyhdKCWzDCxu4sf+FKDyLMMYT4yO6sZWmWimPgh/DvtKfkZ3T5U0kS/Jd
wnnI1CSCT/25WAgZHh70bUsSm8LkcEx34Qrg/DbAx60QJbFwSbv/3+tncChh+d+pry+nm9ysSJ3t
j2E51nlFGSMXiKab+mDWNp+mlyh1TrJXoXDnJaUmR442Wb8uLo6IapPpRIMce6w42MCamjjKRfWb
d8HNApKdV8ZD4KrPh8Hgmk3tttsue/x96YF0HwSaXsz501nrDAhBVWeEMRoosb+G502+1OXyZ5jS
q02Aa7FXCDDJrdRKZGuO56pvD5pjvTxD1/4bm80m9uWibldb0wFGSdZunnF1mLhm30BwWKq1OBXN
NQJrl53I3zOI0TRE11WkbionyS7JRE9LeCtprH00svkZN6fEQWe8vUBK0bamzKaqoHseAx/zKOyt
77JkSXzISgaAnCl6KxrVV4vKwXYqQ6pFQb68WzTX3jtXy6to/JDzNbhAgrEtI0pfksxdSqiyu6cr
LF6Fhrqf2rTDZO7ypqljnZx5cSz4nnAjrPGedll/Ft5/o/EywtZxrqPqD5qvunpTP4k6GSxeMoXe
9kjKXrTN7AVxfUNBtt4nF46RLJ1YsQTT5Jh+g6ut0iDIgICK1t4G0JRuBph5NL4MeMjXpNAD5nen
AYLaU1BstprZDGB0aq7RG2ovwB2k9Dz4K5aGN+oJrMwxmM2Of1p7sHJaVSR99XQEew4WpzcvrhKD
mGnJBSRKbXcnRccMI3tu9ZeYulIxWRp9MrkD9nLlPDAS1hy0F+/938HWx4d7apskQb0xmRorzlDl
xtmKVTmvyCi39qrOHhM3BCY8kFkQ23S0XDeaVg5gMi1BQhXvOspvNYFDAYDKVtQNGR8yDfm/5zJj
YI+6drCI+7qEBLmcQsjj+6lkU6GrYZH+ivmW/WXIr2EzlT+4hRF0ok1Nfhan/9lCGb1Hixka4doM
shAS6mjkMiDdyb+oIrisGxQ8g2ojYhMVirC6qIC7SJbucJd0iJTFqNNwT/N2JrtID4oYYIfzZeiV
vyrvLKjOUZe+9cqr7ppOm8R0bI/RsSUjBYoY4nH/nUm8lS2p1HhqoDdWnHO8Kq5ZnQ93hh3ulQh3
I4Lbt25iS2v1nbybIFVZmafGVQISl79EGT33mlZPPW7IAyQ1P/y5/rvgPq1//KwyYfpzc3s3TyEq
4MbrF8MuxvdJOdSyl8NfL+HDPV2v9xWoc/19n4ZTK+LcJLVscSDhuyJEmCzlcV8FpxQxSK3Ti1sx
KfaoaugVjqgJGk42DYPy20XEGiH9XX+rOrgfA8WnAT21FcaGh4bg0kzw1/Alg4agAdbNFK71tuDy
1Kgd4/itBfsOYZi7KNlaDFzcpel9uLSC6ba+IowK/UPx8WNrnSmQnMw+G3CIGDmRWRkGz5ooCE++
WZWRC8n2xrP74O1UzWy0+yUOfc/ri8pswdCF7O/Grfn58ldnCBNq+vTFhC3ydqAb9KuB9J6xOuSv
In7bPXW5zqJ9ChP04UC89YvHWjhSIYob4OphIqZFXz9j4gxUAxelYFR9kLBhC1pyJCduM5BzEy0a
OWJAaadGa03HyPmSYxM4AJaheTOCI4wps+/u5uOiVLO0r6lIrOZArwT5Tr6Lpc+wg8SDOe+ZVKSX
Juhg2KAh4uEIZYz98gS367cEkMhm8OfaDOE4zRhvEVd2M7kNDvup4C6quXbeoahXqsfFiTRN6710
5Hip8Q07stLQPEhCZaKcC0alKMisoqbRtPcXcmXIVPIv9M9u5Oim9S/wEoXlVRAq94bktz3fhXf5
7jVhbyy8J9uv49Lgi+vZKbsz14grChnHrxAW6Z+PAMm8hLctI0HswljxpavS4dW4ioUkZWEY1x05
dCZ2m8Cw17Xa3MLTE41vEdbEgwizd/FPXFi0Xg0sBj3wUKCumTzInKmBfcjJI7Ya0jGdm+GGb9h0
uNiM+6euF8RuR7yAqN5wCbzOXfjO2NWAcnO8FtIjP4GrpA15Vm6ceL+kQclSSMPxuEmogORY0/9E
y5Z58nk+dke5XTIoax2iXCA++GBmCEJmoyxTRdz6aJTk94XMlmD0Y3Ix8/ePIn5PKeezEi050ZaY
MX0yqXXgEaA4ZBJsNeeYFm4i10ypaE1KJY/yHIWqsLDgA6Nc5NIxRgcMD1b10l6d+h9+M5ZTf9sU
0mak/lnLKcAKQJXALeM5INuxjgHpEyG5S5zUVEikwEwaDBs/EUY6aBZakF5U3s645uLMI8z9nHPD
2MiWKNQo4sNI7hNzclGPN4wf/CkpJ4/dYmBmm8DCMwG9okT5gP5lTPBdrWCa5eVUcwiERFezYNZG
F6gVCUPofODKlxFQkUuIfZMMQm/yZd3jeYBs9HYEvULaY0pPSEX0XQPxRm8OVKh64anvYbJiJNBD
fLgAIYMKcz+ppb6Kk3bJGnNimc5TV1zKVIFVSOjnL1Ees1JHIbVEeBzqWcxAunSyrtaZsfzrm7kw
XG3EBZaCIihvzXZceqwCzxfdGjNX2WKKVhoBW+AUSiGvfny3ggU2xKURMLeaqLCA6WxIfa1hBQhD
xlAqCarul/FZ9CyhRw9HkA65xDanKkXMLqcaPcKrFKmELcnBihEaZ0GPuYfTQRYCPx4CWj7YMS9Q
QqogzBSuu/4TGRYgHpdoZ3mwecZCcfLpv4KcismQxGomg83cLYRamUa36oz1ik/NbVN+9zXnVgot
x/bhaV/Lfa5EXruIJLLn+zpKRl6XmDuGsG7lq4HBeVLW4GK+9FGtHfDvAkYDi9pp0Di12e8OTA9A
WvxeD0r7uX3L8eJZCEO1kqh2gZi3+2c8fo2ZdGTIQRh/mxFqxCNLyo6Qk1D3+9KmgzFDic99ghJ/
zR4WPIWTcqaUZiyzhK/+tWlKPIEG5XnGOiG+Zyadcg2zrM0V4OtjC4JWs5qs5cV7zTUWfyP/0Tps
3BASOWeCArfyBva+u9JRDi7Lea2hZCJPRYgw9BuuSa/U9wTbvTwntkrCFCJzKv/zDGTBoLyDOAs0
O9aRpFZlIwZjG4fxwgt20R+s5k9FMiQdJ4gxeBRPlw4q7pUPj/Oub6hee4MbG6hb5FEpdv7UD/ur
UoZfjSgDGMnISunP/P+w00ONnyJT0BFbyB0U/V3d/Y7KiWNNeneFFTzu/pIKSzX0nxgHtBYGmCw8
qJIck3KZLzsUnRVu2oAhgK4++leXm/UPxmDrXgeBBgpRcqfLmJsiwBaLi6zEWaWACSDQmBVyi7o4
hTabEh1ODtbqkANDdtK0jrckioUSJykfDCXjiv2Z8UaY2UQ30jurjzvyMLRCgAFrMdfg32rS9HtJ
8dx37yXI4t+VogjrNQk72CP2sDDZitv/PDJWNOxQVlEORVn1T1oy1r22JYdIs6GO3ckH8C2N5htL
o1WiYTogjG+nXgfihvMNvOUryffe2yNnsEC0YBl/JAE1AlBEz+HnNFuIPt5KEFvVWdR5HRTllCqP
CYed+MplNe9dom4YnMgp366QYcwFDQQLhrfLgOu9LdSkv71iLs5HXjvBrlW7XcIeL3qZhCoUAlOB
eIvgb4fGuzmMOmpCT/PvXiXOievCpP6/ylFLW2nSKbwGdMd+iDWZ3fqzNN+vB2xgHatrl4xPFlGS
IHrp0lhJBiV1hTGff4jB8MXzd8wLpXls7AsB7ybIi0rW/zZZYmniymVo+maPgYHqKHJvAEANcFnk
nWeYjkXeqYt/s1ZrnjBHULOr4S/UklUAVitkSQ7zeEZiReYN8/zMq6CLZYR6HPAkzzeSRCdeuo7x
boca3Rdj4zp81BeON7kDrEXi/mjBRaeoDL/bDpGmo+mGQXDQu0pl4pPRs87L1NtWc4qyksTQNJ7r
g1oUFCNrUOR+ivWBDP54G17sHKLTHZf22DNl00VG1RUKXeRTiWmDN4dwqpxMszBkXA4T1WXIannT
e9y8/x+pzIXMRccGESG2F1736FNkeDelt3eB7b/ovommpkqrxrAFTNejhSXcw5ZIFIclpOTwADpq
Pyn9JvBDqa+N9hlE1Y4a0yiw6YKUN4BMJypolYYdwSngL/GBBUbqzf2UxuetD4S3Q+c/H4EGMX9c
5fsQtWbehFuE4LQzn/2EVMNTaVPfiieTJmEo7teJPbfHq7qZ43u3wpJ4HZkFQv0pIZLkUGc/aDOB
jyiRfbN72E4o89YVo7g2dVf6dXbmH+ZB+xnkWn0FRpCVQtjARiSxHVSJOBRfdTRMo6Th2IUKl/Oa
cTpi9mPerzdRtGwN16elIq4ehNQWIvJqDU+jCtRSwH+0ND4GTZxNGqKfy8d6LzPfa3fG6zVjL0Yd
dLhSqSXp6aVyiQv1JJQKRlgKs9Eo90zYIiPcAboIPlshI+UbJW1rEOgMv/HAKUYOl0/ub2wMyTE3
0507r1O/ZHwfWM6YTvsr4DY099dcnXBd+yucKIGKjDpHlj6RXfUpA3NikI6CnLMDPTGtg6x2NqcZ
P7qzK6Ws5KzF+lwRRcw81uRHNFIH9bk1iDJx15eAodVFqFmVzUscAUNHSg7AwQdQeMOTQ3a7hZbe
+BNwZW/Qg35y5wVRyTZegAm+QMZzYi7wiVAe+hToLNpz10GaVNGru56p6zoUUEWNS3fVXp1SOMBV
0qgN/Nno46Vf+y2C0uZjR6Uki10dFPVQBaJt39BG6zj5ohFHS9zoADtSPh+NJ0KswDqxq3oLk0/v
iwe0mrUWDZ2CGdzFvHwGT/KGAQ2ikjBS+x/3fGsOBDKd/E8BKICLEjLt7W62m5YjeXpAVNeuMuJx
yVKiAKebbSzGSoEJjllRIyQYI7r2/utm7+thQHlUutA0a486PitjKU0Vqt+OzqqCFfMjARCOZMKW
FLI5cCndL611TeS4qhQjQh+hdotYEqP3MlM59W72PDPRY9sxmRroiw8WPqJ+EDMecMBlLioD5ImU
GySvDDhKgxcGFwmChKqPBWBM24qmOVQB2BO2DZsVOAht0Oq2Uxn81Yj/nhtgm532x6c=
`protect end_protected
| gpl-3.0 | 31dfd90e137a821cdc5c5149ceb0f41f | 0.946059 | 1.838561 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/lib/addr_decoder.vhd | 5 | 3,225 | -------------------------------------------------------------------------------
--
-- Title : addr_decoder
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\PLK_MN\plk_mn\src\lib\addr_decoder.vhd
-- Generated : Wed Jul 27 09:39:25 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-07-26 V0.01 zelenkaj First version
--
-------------------------------------------------------------------------------
--{{ Section below this comment is automatically maintained
-- and may be overwritten
--{entity {addr_decoder} architecture {rtl}}
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity addr_decoder is
generic(
addrWidth_g : integer := 32;
baseaddr_g : integer := 16#1000#;
highaddr_g : integer := 16#1FFF#
);
port(
selin : in std_logic;
addr : in std_logic_vector(addrWidth_g-1 downto 0);
selout : out std_logic
);
end addr_decoder;
--}} End of automatically maintained section
architecture rtl of addr_decoder is
begin
selout <= selin when addr >= conv_std_logic_vector(baseaddr_g, addr'length) and addr <= conv_std_logic_vector(highaddr_g, addr'length) else '0';
end rtl;
| gpl-2.0 | 4ac628d8a5f7781c6df9e377ae05ebcd | 0.595659 | 4.145244 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/uart_tx_plus.vhd | 5 | 5,336 | -- UART Transmitter with integral 16 byte FIFO buffer
--
-- 8 bit, no parity, 1 stop bit
--
-- Special version made 2nd November 2005 in which the data_present signal
-- was brough out as well as the half and full status signals.
--
-- Version : 1.00
-- Version Date : 14th October 2002
--
-- Start of design entry : 14th October 2002
--
-- Ken Chapman
-- Xilinx Ltd
-- Benchmark House
-- 203 Brooklands Road
-- Weybridge
-- Surrey KT13 ORH
-- United Kingdom
--
-- [email protected]
--
------------------------------------------------------------------------------------
--
-- NOTICE:
--
-- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other
-- third parties. By providing this core as one possible implementation of a standard,
-- Xilinx is making no representation that the provided implementation of this standard
-- is free from any claims of infringement by any third party. Xilinx expressly
-- disclaims any warranty with respect to the adequacy of the implementation, including
-- but not limited to any warranty or representation that the implementation is free
-- from claims of any third party. Futhermore, Xilinx is providing this core as a
-- courtesy to you and suggests that you contact all third parties to obtain the
-- necessary rights to use this implementation.
--
------------------------------------------------------------------------------------
--
-- Library declarations
--
-- The Unisim Library is used to define Xilinx primitives. It is also used during
-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd
--
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library unisim;
use unisim.vcomponents.all;
--
------------------------------------------------------------------------------------
--
-- Main Entity for uart_tx_plus
--
entity uart_tx_plus is
Port ( data_in : in std_logic_vector(7 downto 0);
write_buffer : in std_logic;
reset_buffer : in std_logic;
en_16_x_baud : in std_logic;
serial_out : out std_logic;
buffer_data_present : out std_logic;
buffer_full : out std_logic;
buffer_half_full : out std_logic;
clk : in std_logic);
end uart_tx_plus;
--
------------------------------------------------------------------------------------
--
-- Start of Main Architecture for uart_tx_plus
--
architecture macro_level_definition of uart_tx_plus is
--
------------------------------------------------------------------------------------
--
-- Components used in uart_tx_plus and defined in subsequent entities.
--
------------------------------------------------------------------------------------
--
-- Constant (K) Compact UART Transmitter
--
component kcuart_tx
Port ( data_in : in std_logic_vector(7 downto 0);
send_character : in std_logic;
en_16_x_baud : in std_logic;
serial_out : out std_logic;
Tx_complete : out std_logic;
clk : in std_logic);
end component;
--
-- 'Bucket Brigade' FIFO
--
component bbfifo_16x8
Port ( data_in : in std_logic_vector(7 downto 0);
data_out : out std_logic_vector(7 downto 0);
reset : in std_logic;
write : in std_logic;
read : in std_logic;
full : out std_logic;
half_full : out std_logic;
data_present : out std_logic;
clk : in std_logic);
end component;
--
------------------------------------------------------------------------------------
--
-- Signals used in uart_tx_plus
--
------------------------------------------------------------------------------------
--
signal fifo_data_out : std_logic_vector(7 downto 0);
signal fifo_data_present : std_logic;
signal fifo_read : std_logic;
--
------------------------------------------------------------------------------------
--
-- Start of UART_TX circuit description
--
------------------------------------------------------------------------------------
--
begin
-- 8 to 1 multiplexer to convert parallel data to serial
kcuart: kcuart_tx
port map ( data_in => fifo_data_out,
send_character => fifo_data_present,
en_16_x_baud => en_16_x_baud,
serial_out => serial_out,
Tx_complete => fifo_read,
clk => clk);
buf: bbfifo_16x8
port map ( data_in => data_in,
data_out => fifo_data_out,
reset => reset_buffer,
write => write_buffer,
read => fifo_read,
full => buffer_full,
half_full => buffer_half_full,
data_present => fifo_data_present,
clk => clk);
buffer_data_present <= fifo_data_present;
end macro_level_definition;
------------------------------------------------------------------------------------
--
-- END OF FILE uart_tx_plus.vhd
--
------------------------------------------------------------------------------------
| gpl-3.0 | f1dda3fe3c500b029259e351392019c6 | 0.479385 | 4.738899 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/siete_segmentos_4bits_mux.vhd | 4 | 1,612 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity siete_segmentos_4bits_mux is
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
D0 : IN STD_LOGIC_VECTOR(3 downto 0); --Primer digito.
D1 : IN STD_LOGIC_VECTOR(3 downto 0); --Segundo digito.
D2 : IN STD_LOGIC_VECTOR(3 downto 0); --Tercer digito.
D3 : IN STD_LOGIC_VECTOR(3 downto 0); --Cuarto digito.
salida: OUT STD_LOGIC_VECTOR(3 downto 0); --Salida del multiplexor (valor a desplegar).
MUX : OUT STD_LOGIC_VECTOR(3 downto 0) --Valor que define cual digito se va a mostrar.
);
end siete_segmentos_4bits_mux;
architecture Behavioral of siete_segmentos_4bits_mux is
type estados is (rst, v0, v1, v2, v3);
signal estado : estados;
begin
visualizadores: process (reset, clk) begin
if (reset = '1') then
estado <= rst;
MUX <= x"F";
salida <= "1111";
elsif rising_edge(clk) then
case estado is
when v0 =>
salida <= D3;
MUX <= "1110";
estado <= v1;
when v1 =>
salida <= D2;
MUX <= "1101";
estado <= v2;
when v2 =>
salida <= D1;
MUX <= "1011";
estado <= v3;
when others =>
salida <= D0;
MUX <= "0111";
estado <= v0;
end case;
end if;
end process;
end Behavioral;
| lgpl-2.1 | 2e58c15778524583d8104546ec659c31 | 0.470223 | 3.903148 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/rd_fwft.vhd | 6 | 38,466 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736)
`protect data_block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`protect end_protected
| gpl-3.0 | 17679d92caf283aeb4ead473312cbf51 | 0.947694 | 1.832151 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/fifo_generator_ramfifo.vhd | 6 | 89,326 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64384)
`protect data_block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`protect end_protected
| gpl-3.0 | f499a49be3560f4fd7bb4e59d746ac5c | 0.953317 | 1.818304 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/auditoría_imagen_16x16/window_3x3_x.vhd | 1 | 10,204 | --------------------------------------------------------------------------
--
-- Autor: Jorge Márquez
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
entity window_3x3 is
generic (
vwidth: integer:=8
);
port (
Clk : in std_logic;
RSTn : in std_logic;
D : in std_logic_vector(vwidth-1 downto 0);
w11 : out std_logic_vector(vwidth -1 downto 0);
w12 : out std_logic_vector(vwidth -1 downto 0);
w13 : out std_logic_vector(vwidth -1 downto 0);
w21 : out std_logic_vector(vwidth -1 downto 0);
w22 : out std_logic_vector(vwidth -1 downto 0);
w23 : out std_logic_vector(vwidth -1 downto 0);
w31 : out std_logic_vector(vwidth -1 downto 0);
w32 : out std_logic_vector(vwidth -1 downto 0);
w33 : out std_logic_vector(vwidth -1 downto 0);
DV : out std_logic:='0'
);
end window_3x3;
architecture window_3x3 of window_3x3 is
component fifo_16x8x
port (
din : IN std_logic_VECTOR(7 downto 0);
wr_en : IN std_logic;
wr_clk : IN std_logic;
rd_en : IN std_logic;
rd_clk : IN std_logic;
rst : IN std_logic;
dout : OUT std_logic_VECTOR(7 downto 0);
full : OUT std_logic;
empty : OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(3 downto 0));
end component;
signal a00 : std_logic_vector(vwidth-1 downto 0);
signal a11 : std_logic_vector(vwidth-1 downto 0);
signal a12 : std_logic_vector(vwidth-1 downto 0);
signal a13 : std_logic_vector(vwidth-1 downto 0);
signal a21 : std_logic_vector(vwidth-1 downto 0);
signal a22 : std_logic_vector(vwidth-1 downto 0);
signal a23 : std_logic_vector(vwidth-1 downto 0);
signal a31 : std_logic_vector(vwidth-1 downto 0);
signal a32 : std_logic_vector(vwidth-1 downto 0);
signal a33 : std_logic_vector(vwidth-1 downto 0);
--fifoa signals
signal clear : std_logic;
signal wrreqa : std_logic:='1';
signal rdreqa : std_logic:='0';
signal ofulla : std_logic;
signal oemptya : std_logic;
signal ofifoa : std_logic_vector(vwidth-1 downto 0);
signal ousedwa : std_logic_VECTOR(3 downto 0);
--fifob signals
signal wrreqb : std_logic:='0';
signal rdreqb : std_logic:='0';
signal ofullb : std_logic;
signal oemptyb : std_logic;
signal ofifob : std_logic_vector(vwidth-1 downto 0);
signal ousedwb : std_logic_VECTOR(3 downto 0);
signal dwrreqb: std_logic:='0';
-- signals for DV coordination
signal dddddddddDV: std_logic:='0';--:='0'; --9ds
signal ddddddddDV: std_logic:='0'; --8ds -- Señales
signal dddddddDV: std_logic :='0'; --04/06/08 7 ds funciona al pelo! -- Señales
signal ddddddDV: std_logic:='0';
signal dddddDV: std_logic:='0';
signal ddddDV: std_logic:='0';
signal dddDV: std_logic:='0';
signal ddDV: std_logic:='0';
signal dDV: std_logic:='0';
signal ousedwa_temp: integer:=0;
signal ousedwb_temp: integer:=0;
begin
fifoa: fifo_16x8x
port map (
din => a13,
wr_en => wrreqa,
wr_clk => Clk,
rd_en => rdreqa,
rd_clk => Clk,
rst => clear,
dout => ofifoa,
full => ofulla,
empty => oemptya,
wr_data_count => ousedwa
);
fifob: fifo_16x8x
port map (
din => a23,
wr_en => wrreqb,
wr_clk => Clk,
rd_en => rdreqb,
rd_clk => Clk,
rst => clear,
dout => ofifob,
full => ofullb,
empty => oemptyb,
wr_data_count => ousedwb
);
clear <= not(RSTn);
clock: process(Clk,RSTn)
begin
if RSTn = '0' then
a11 <= (others=>'0');
a12 <= (others=>'0');
a13 <= (others=>'0');
a21 <= (others=>'0');
a22 <= (others=>'0');
a23 <= (others=>'0');
a31 <= (others=>'0');
a32 <= (others=>'0');
a33 <= (others=>'0');
w11 <= (others=>'0');
w12 <= (others=>'0');
w13 <= (others=>'0');
w21 <= (others=>'0');
w22 <= (others=>'0');
w23 <= (others=>'0');
w31 <= (others=>'0');
w32 <= (others=>'0');
w33 <= (others=>'0');
wrreqa <= '0';
wrreqb <= '0';
-- dddddddddDV <= '0'; --9 ds
-- ddddddddDV <= '0'; -- 8 ds
-- dddddddDV <= '0'; -- 7 ds
ddddddDV <= '0';
dddddDV <= '0';
ddddDV <= '0';
dddDV <= '0';
ddDV <= '0';
dDV <= '0';
DV <= '0';
elsif rising_edge(Clk) then
a00 <= D;
a11 <= a00;
w11 <= a00;
w12 <= a11;
a12 <= a11;
w13 <= a12;
a13 <= a12;
w21 <= ofifoa;
a21 <= ofifoa;
w22 <= a21;
a22 <= a21;
w23 <= a22;
a23 <= a22;
w31 <= ofifob;
a31 <= ofifob;
w32 <= a31;
a32 <= a31;
w33 <= a32;
a33 <= a32;
wrreqa <= '1';
wrreqb <= dwrreqb;
ddddddDV <= dddddddDV; --04/06/08
dddddDV <= ddddddDV;
ddddDV <= dddddDV;
dddDV <= ddddDV;
ddDV <= dddDV;
dDV <= ddDV;
DV <= dDV;
end if;
end process;
req: process(Clk)
begin
if rising_edge(Clk) then
if ousedwa = "1010" then
rdreqa <= '1';
dwrreqb <= '1';
end if;
if ousedwb = "1010" then
rdreqb <= '1';
dddddddDV <= '1'; --04/06/08 ds
end if;
end if;
end process;
end window_3x3;
| gpl-3.0 | 3f65aee4923b0958723ff87189ce5252 | 0.276166 | 4.929469 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_gen_ecc_encoder.vhd | 8 | 20,893 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13728)
`protect data_block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`protect end_protected
| gpl-3.0 | 9cf46de3d96a56ca2ea32b187f94e6f7 | 0.943043 | 1.849265 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/rd_pe_sshft.vhd | 6 | 17,676 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
| gpl-3.0 | 42bb6f391849bda1aa0ebdf122757688 | 0.936581 | 1.852054 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/openMAC_DMAFifo_Xilinx/fifo_write.vhd | 2 | 5,316 | ------------------------------------------------------------------------------------------------------------------------
-- write controller of the fifo
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: A general implementation of a asynchronous fifo which is
-- using a dual port ram. This file is the write controler.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-09-22 V0.01 mairt first version
-- 2011-10-14 V0.02 zelenkaj element calculation buggy
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity fifo_write_ctrl is
generic(N: natural:=4);
port(
clkw, resetw: in std_logic;
wr: in std_logic;
r_ptr_in: in std_logic_vector(N downto 0);
w_full: out std_logic;
w_empty: out std_logic;
w_ptr_out: out std_logic_vector(N downto 0);
w_addr: out std_logic_vector(N-1 downto 0);
w_elements: out std_logic_vector(N-1 downto 0)
);
end fifo_write_ctrl;
architecture gray_arch of fifo_write_ctrl is
signal w_ptr_reg, w_ptr_next: std_logic_vector(N downto 0);
signal r_ptr_reg, r_ptr_next : std_logic_vector(N downto 0) := (others => '0');
signal gray1, bin, bin1: std_logic_vector(N downto 0);
signal waddr_all: std_logic_vector(N-1 downto 0);
signal waddr_msb, raddr_msb: std_logic;
signal full_flag, empty_flag: std_logic;
signal w_elements_wr, w_elements_rd, w_elements_diff : std_logic_vector(N downto 0);
signal w_elements_reg, w_elements_next : std_logic_vector(N-1 downto 0);
begin
-- register
process(clkw,resetw)
begin
if (resetw='1') then
w_ptr_reg <= (others=>'0');
--r_ptr_reg <= (others => '0');
w_elements_reg <= (others => '0');
elsif (clkw'event and clkw='1') then
w_ptr_reg <= w_ptr_next;
--r_ptr_reg <= r_ptr_next;
w_elements_reg <= w_elements_next;
end if;
end process;
-- (N+1)-bit Gray counter
bin <= w_ptr_reg xor ('0' & bin(N downto 1));
bin1 <= std_logic_vector(unsigned(bin) + 1);
gray1 <= bin1 xor ('0' & bin1(N downto 1));
-- update write pointer
w_ptr_next <= gray1 when wr='1' and full_flag='0' else
w_ptr_reg;
-- save read pointer
r_ptr_next <= r_ptr_in;
-- N-bit Gray counter
waddr_msb <= w_ptr_reg(N) xor w_ptr_reg(N-1);
waddr_all <= waddr_msb & w_ptr_reg(N-2 downto 0);
-- check for FIFO full and empty
raddr_msb <= r_ptr_in(N) xor r_ptr_in(N-1);
full_flag <=
'1' when r_ptr_in(N) /=w_ptr_reg(N) and
r_ptr_in(N-2 downto 0)=w_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
empty_flag <=
'1' when r_ptr_in(N) =w_ptr_reg(N) and
r_ptr_in(N-2 downto 0)=w_ptr_reg(N-2 downto 0) and
raddr_msb = waddr_msb else
'0';
-- convert gray value to bin and obtain difference
w_elements_wr <= bin;
w_elements_rd <= r_ptr_in xor ('0' & w_elements_rd(N downto 1));
w_elements_diff <= std_logic_vector(unsigned(w_elements_wr) - unsigned(w_elements_rd));
w_elements_next <= w_elements_diff(w_elements_next'range);
-- output
w_addr <= waddr_all;
w_ptr_out <= w_ptr_reg;
w_elements <= w_elements_reg;
w_full <= full_flag;
w_empty <= empty_flag;
end gray_arch; | gpl-2.0 | 039d3e7116ec5c5dab71bc8fc49a572d | 0.579759 | 3.587045 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/rd_status_flags_ss.vhd | 6 | 20,269 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13264)
`protect data_block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`protect end_protected
| gpl-3.0 | afa201c8a113de2111d52236402ca203 | 0.940056 | 1.856476 | false | false | false | false |
hgunicamp/Mips8B | src_test/tests/simulacoes/test_Mips_Processor-add.vhdl | 1 | 5,824 | -- Teste geral para a estrutura do Processador Mips8B
Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Ieee.Numeric_Std.all;
Entity test_processor is
End Entity test_processor;
Architecture test_general of test_processor is
Component Mips8B is
Port(Reset_n: In Std_Logic;
Clock: In Std_Logic;
MAddr: Out Std_Logic_Vector(7 downto 0);
MCmd: Out Std_Logic_Vector(1 downto 0);
MData: Out Std_Logic_Vector(7 downto 0);
SData: In Std_Logic_Vector(7 downto 0);
SCmdAccept: In Std_Logic);
End Component Mips8B;
Type Memory_Array is Array(Natural Range <>) of Std_Logic_Vector(7 downto 0);
Use Work.MIPS8B_Base.ocpIDLE_little;
Use Work.MIPS8B_Base.ocpWR_little;
Use Work.MIPS8B_Base.ocpRD_little;
Use Work.MIPS8B_Base.ocpNULL_little;
Use Work.MIPS8B_Base.ocpDVA_little;
Signal Reset_n: Std_Logic;
Signal Clock: Std_Logic := '0';
Signal Clock_Mem: Std_Logic := '0';
Signal MAddr: Std_Logic_Vector(7 downto 0);
Signal MCmd: Std_Logic_Vector(1 downto 0);
Signal MData: Std_Logic_Vector(7 downto 0);
Signal SData: Std_Logic_Vector(7 downto 0);
Signal SCmdAccept: Std_Logic;
Begin
Reset_n <= '1', '0' after 20 ns, '1' after 40 ns;
Clock <= not Clock after 10 ns;
Clock_Mem <= not Clock_Mem after 15 ns;
Memory: Process
Variable int_SCmdAccept: Std_Logic;
Variable address: Unsigned(7 downto 0);
Variable mem_int: Memory_Array(0 to 255) := (
"00100000", "00000001", "00000000", "10000000",
"00100000", "00000010", "00000000", "10110100",
"00100000", "00000011", "00000000", "01111111",
"00100000", "00000100", "00000000", "11111111",
"00100000", "00000101", "00000000", "00110101",
"00100000", "00000110", "00000000", "01000000",
"00100000", "00000111", "00000000", "01001000",
"00000000", "00100010", "00111000", "00100000",
"00000000", "01100100", "00110000", "00100000",
"00000000", "11000111", "00101000", "00100000",
"00000000", "11100001", "00100000", "00100000",
"00000000", "11000010", "00011000", "00100000",
"00000000", "10100011", "00010000", "00100000",
"00000000", "10000101", "00001000", "00100000",
"00000000", "00100010", "00000000", "00100000",
"00000000", "01100100", "00000000", "00100000",
"00000000", "10100110", "00000000", "00100000",
"00000000", "00000000", "00000000", "00100000",
"00000000", "00100001", "00000000", "00100000",
"00000000", "11100111", "00000000", "00100000",
"00000000", "00100000", "00111000", "00100000",
"00000000", "01000000", "00110000", "00100000",
"00000000", "01100000", "00101000", "00100000",
"00000000", "11100000", "00100000", "00100000",
"00000000", "10000000", "00011000", "00100000",
"00000000", "10100000", "00010000", "00100000",
"00000000", "11000000", "00001000", "00100000",
"00000000", "11100001", "00111000", "00100000",
"00000000", "11000010", "00110000", "00100000",
"00000000", "10100011", "00101000", "00100000",
"00000000", "10000111", "00100000", "00100000",
"00000000", "01100100", "00011000", "00100000",
"00000000", "01000101", "00010000", "00100000",
"00000000", "00100110", "00001000", "00100000",
"00000000", "11100000", "00111000", "00100000",
"00000000", "11000000", "00110000", "00100000",
"00000000", "10100000", "00101000", "00100000",
"00000000", "10000000", "00100000", "00100000",
"00000000", "01100000", "00011000", "00100000",
"00000000", "01000000", "00010000", "00100000",
"00000000", "00100000", "00001000", "00100000",
"00000000", "00000000", "00111000", "00100000",
"00000000", "00000000", "00110000", "00100000",
"00000000", "00000000", "00101000", "00100000",
"00000000", "00000000", "00100000", "00100000",
"00000000", "00000000", "00011000", "00100000",
"00000000", "00000000", "00010000", "00100000",
"00000000", "00000000", "00001000", "00100000",
Others => "00000000");
Begin
Wait Until Clock_Mem'Event and Clock_Mem='1';
Case MCmd is
When ocpWR_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
mem_int(to_integer(address)) := MData;
Else
int_SCmdAccept := ocpNULL_little;
End If;
SData <= "ZZZZZZZZ";
When ocpRD_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
SData <= mem_int(to_integer(address));
Else
int_SCmdAccept := ocpNULL_little;
End If;
When Others =>
int_SCmdAccept := ocpNULL_little;
SData <= "ZZZZZZZZ";
End Case;
SCmdAccept <= int_SCmdAccept;
End Process Memory;
DUV: Mips8B
Port Map( Reset_n => Reset_n,
Clock => Clock,
MAddr => MAddr,
MCmd => MCmd,
MData => MData,
SData => SData,
SCmdAccept => SCmdAccept);
End Architecture test_general;
Configuration general_test of test_processor is
For test_general
For DUV: Mips8B Use Configuration Work.Mips8B_struct_conf;
End For;
End For;
End Configuration general_test;
| unlicense | 4cbc02fb341fab911dc15f4ca7c4ae51 | 0.561985 | 3.924528 | false | true | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/rd_dc_as.vhd | 6 | 10,777 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6240)
`protect data_block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`protect end_protected
| gpl-3.0 | f6f1cff4a3c0032476261b5d76fc590a | 0.925582 | 1.91523 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd | 6 | 90,319 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 65120)
`protect data_block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`protect end_protected
| gpl-3.0 | d8f91d74f54f9c97cd2785fb0b920060 | 0.953786 | 1.81626 | false | false | false | false |
dskntIndustry/Hardware | hdl_library/CommonFunctions/MathHelpers.vhd | 1 | 3,248 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
package MathHelpers is
function log2(A : integer) return integer;
function isPow2(A : integer) return boolean;
function max(A, B : integer) return integer;
function max(A, B : std_logic_vector) return std_logic_vector;
function min(A, B : integer) return integer;
function min(A, B : std_logic_vector) return std_logic_vector;
function abs_std_logic_vector(arg: std_logic_vector) return std_logic_vector;
end package MathHelpers;
package body MathHelpers is
function log2(A : integer) return integer is
begin
for I in 1 to 30 loop
if (2**I >= A) then
return(I);
end if;
end loop;
return(30);
end function log2;
-------------------------------------------------------------------------------
-- return true if an integer nuber is a power of 2
function isPow2(x : integer) return boolean is
begin
-- Works for up to 32 bit integers
if
x = 1 or x = 2 or x = 4 or x = 8 or x = 16 or x = 32 or
x = 64 or x = 128 or x = 256 or x = 512 or x = 1024 or
x = 2048 or x = 4096 or x = 8192 or x = 16384 or
x = 32768 or x = 65536 or x = 131072 or x = 262144 or
x = 524288 or x = 1048576 or x = 2097152 or
x = 4194304 or x = 8388608 or x = 16777216 or
x = 33554432 or x = 67108864 or x = 134217728 or
x = 268435456 or x = 536870912 or x = 1073741824
then
report "Argument is a power of 2" severity NOTE;
return true;
else
report "Argument is not a power of 2" severity NOTE;
return false;
end if;
end function isPow2;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function max(A, B : integer) return integer is
begin
if B > A then
return B;
end if;
return A;
end function max;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function max(A, B : std_logic_vector) return std_logic_vector is
begin
if B > A then
return B;
end if;
return A;
end function max;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function min(A, B : integer) return integer is
begin
if B > A then
return A;
end if;
return B;
end function min;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function min(A, B : std_logic_vector) return std_logic_vector is
begin
if B > A then
return A;
end if;
return B;
end function min;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
function abs_std_logic_vector(arg: std_logic_vector) return std_logic_vector is
variable Result: signed(arg'length-1 downto 0);
begin
Result := signed(arg);
if Result(Result'left) = '1' then
Result := -Result;
end if;
return std_logic_vector(Result);
end function;
end package body MathHelpers; | gpl-3.0 | 4effd57e7dc69e45efb1d5fbd5855dde | 0.492611 | 3.875895 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/lib/req_ack.vhd | 5 | 3,613 | -------------------------------------------------------------------------------
--
-- Title : req_ack
-- Design : plk_mn
--
-------------------------------------------------------------------------------
--
-- File : C:\my_designs\PLK_MN\plk_mn\src\lib\req_ack.vhd
-- Generated : Mon Aug 1 15:58:57 2011
-- From : interface description file
-- By : Itf2Vhdl ver. 1.22
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
--
-- 2011-08-01 V0.01 zelenkaj First version
-- 2011-11-30 V0.02 zelenkaj removed enable at ack output
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
USE ieee.math_real.log2;
USE ieee.math_real.ceil;
entity req_ack is
generic(
ack_delay_g : integer := 1;
zero_delay_g : boolean := false
);
port(
clk : in std_logic;
rst : in std_logic;
enable : in std_logic;
ack : out std_logic
);
end req_ack;
architecture rtl of req_ack is
constant iMaxCnt : integer := ack_delay_g;
constant iMaxCntLog2 : integer := integer(ceil(log2(real(iMaxCnt))));
signal cnt, cnt_next : std_logic_vector(iMaxCntLog2 downto 0);
signal cnt_tc : std_logic;
begin
genDelay : if zero_delay_g = false generate
theCnter : process(clk, rst)
begin
if rst = '1' then
cnt <= (others => '0');
elsif clk = '1' and clk'event then
cnt <= cnt_next;
end if;
end process;
cnt_next <= cnt + 1 when enable = '1' and cnt_tc /= '1' else (others => '0');
cnt_tc <= '1' when cnt = iMaxCnt else '0';
ack <= cnt_tc;
end generate;
genNoDelay : if zero_delay_g = true generate
ack <= enable;
end generate;
end rtl;
| gpl-2.0 | 8241e3d48c7fe007e33f42f34c6bbf44 | 0.586216 | 3.751817 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/FIR_tb.vhd | 1 | 15,775 | -- ================================================================================
-- Legal Notice: Copyright (C) 1991-2009 Altera Corporation
-- Any megafunction design, and related net list (encrypted or decrypted),
-- support information, device programming or simulation file, and any other
-- associated documentation or information provided by Altera or a partner
-- under Altera's Megafunction Partnership Program may be used only to
-- program PLD devices (but not masked PLD devices) from Altera. Any other
-- use of such megafunction design, net list, support information, device
-- programming or simulation file, or any other related documentation or
-- information is prohibited for any other purpose, including, but not
-- limited to modification, reverse engineering, de-compiling, or use with
-- any other silicon devices, unless such use is explicitly licensed under
-- a separate agreement with Altera or a megafunction partner. Title to
-- the intellectual property, including patents, copyrights, trademarks,
-- trade secrets, or maskworks, embodied in any such megafunction design,
-- net list, support information, device programming or simulation file, or
-- any other related documentation or information provided by Altera or a
-- megafunction partner, remains with Altera, the megafunction partner, or
-- their respective licensors. No other licenses, including any licenses
-- needed under any third party's intellectual property, are provided herein.
-- ================================================================================
--
-- Generated by: FIR Compiler II 13.0
-- Generated on: 10/21/2015 00:24:41
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use std.textio.all;
entity FIR_tb is
--START MEGAWIZARD INSERT CONSTANTS
constant FIR_INPUT_FILE_c : string := "FIR_input.txt";
constant FIR_OUTPUT_FILE_c : string := "FIR_output.txt";
constant PHYSCHANIN_c : natural := 1;
constant PHYSCHANOUT_c : natural := 1;
constant INWIDTH_c : natural := 13;
constant OUTWIDTH_c : natural := 30;
constant BANKINWIDTH_c : natural := 3;
constant BANKCOUNT_c : natural := 5;
constant DATA_WIDTH_c : natural := (INWIDTH_c+BANKINWIDTH_c) * PHYSCHANIN_c;
constant OUT_WIDTH_c : natural := OUTWIDTH_c * PHYSCHANOUT_c;
constant NUM_OF_CHANNELS_c : natural := 1;
constant CHANSPERPHYIN_c : natural := 1;
constant CHANSPERPHYOUT_c : natural := 1;
constant LOG2_CHANSPERPHYOUT_c : natural := 1;
constant TDM_FACTOR_c : natural := 257;
constant INVERSE_TDM_FACTOR_c : natural := 1;
constant INVALID_CYCLES_c : natural := 256;
constant INTERP_FACTOR_c : natural := 1;
constant TOTAL_INCHANS_ALLOWED : natural := PHYSCHANIN_c * CHANSPERPHYIN_c;
constant TOTAL_OUTCHANS_ALLOWED : natural := PHYSCHANOUT_c * CHANSPERPHYOUT_c;
constant NUM_OF_TAPS_c : natural := 65;
constant TOTAL_EFF_COEF_c : natural := 325;
constant COEFF_BIT_WIDTH_c : natural := 10;
constant COEFF_BUS_DATA_WIDTH_c : natural := 16;
--END MEGAWIZARD INSERT CONSTANTS
end entity FIR_tb;
--library work;
--library auk_dspip_lib;
-------------------------------------------------------------------------------
architecture rtl of FIR_tb is
signal ast_sink_data : std_logic_vector (DATA_WIDTH_c-1 downto 0) := (others => '0');
signal ast_source_data : std_logic_vector (OUT_WIDTH_c-1 downto 0);
signal ast_sink_error : std_logic_vector (1 downto 0) := (others => '0');
signal ast_source_error : std_logic_vector (1 downto 0);
signal ast_sink_valid : std_logic := '0';
signal ast_source_valid : std_logic;
signal ast_source_ready : std_logic := '0';
signal clk : std_logic := '0';
signal reset_testbench : std_logic := '1';
signal reset_design : std_logic;
signal eof : std_logic;
signal sink_completed : std_logic := '0';
signal ast_sink_ready : std_logic;
signal cnt : natural range 0 to CHANSPERPHYIN_c;
signal push_counter : natural range 0 to CHANSPERPHYIN_c :=0;
constant tclk : time := 10 ns;
constant time_lapse_max : time := 60 us;
signal time_lapse : time;
signal valid_cycles : std_logic := '1';
function div_ceil(a : natural; b : natural) return natural is
variable res : natural := a/b;
begin
if res*b /= a then
res := res +1;
end if;
return res;
end div_ceil;
begin
DUT : entity work.FIR
port map (
clk => clk,
reset_n => reset_design,
ast_sink_data => ast_sink_data,
ast_source_data => ast_source_data,
ast_sink_valid => ast_sink_valid,
ast_source_valid => ast_source_valid,
ast_sink_error => ast_sink_error,
ast_source_error => ast_source_error);
-- for example purposes, the ready signal is always asserted.
ast_source_ready <= '1';
ast_sink_ready <= '1';
-- no input error
ast_sink_error <= (others => '0');
-----------------------------------------------------------------------------------------------
-- Read input data from file
-----------------------------------------------------------------------------------------------
source_model : process(clk) is
file in_file : text open read_mode is FIR_INPUT_FILE_c;
variable data_in : integer;
variable bank_in : integer;
variable indata : line;
variable read_data_completed: integer;
variable q, j, j_temp : integer := 0 ;
variable realInChansCount : integer ;
variable totalInChansCount : integer ;
variable idle_cyles : integer := 0 ;
type In_2D is array (PHYSCHANIN_c-1 downto 0, CHANSPERPHYIN_c-1 downto 0) of integer;
variable arrayIn : In_2D;
variable arrayBank : In_2D;
--Debug
variable my_line : line;
begin
if rising_edge(clk) then
if(reset_testbench = '0') then
ast_sink_data <= std_logic_vector(to_signed(0, DATA_WIDTH_c)) after tclk/4;
ast_sink_valid <= '0' after tclk/4;
eof <= '0';
realInChansCount := NUM_OF_CHANNELS_c * INVERSE_TDM_FACTOR_c;
totalInChansCount := TOTAL_INCHANS_ALLOWED;
else
if (sink_completed='0' or eof='0') then
eof <= '0';
if( valid_cycles = '1' and ast_sink_ready = '1') then
if not endfile(in_file) then
if (push_counter=0) then
q := 0;
for k in 0 to PHYSCHANIN_c-1 loop
-- Super-Sample Rate
if (k /= 0) then
j := j + INVERSE_TDM_FACTOR_c;
if (j > PHYSCHANIN_c - 1) then
j_temp := j_temp + 1;
j := j_temp;
end if;
else
j := k;
end if;
for i in 0 to CHANSPERPHYIN_c-1 loop
totalInChansCount := totalInChansCount - 1;
if (realInChansCount > 0) then
realInChansCount := realInChansCount - 1;
readline(in_file, indata);
read(indata, data_in);
arrayIn(j,i) := data_in;
if (BANKINWIDTH_c > 0) then
read(indata, bank_in);
arrayBank(j,i) := bank_in;
end if;
ast_sink_valid <= '1' after tclk/4;
--Debug
write(my_line, string'(" j = "));
write(my_line, j);
write(my_line, string'(" i = "));
write(my_line, i);
write(my_line, string'(" Array content = "));
write(my_line, arrayIn(j,i));
writeline(output, my_line);
end if;
end loop;
if (totalInChansCount = 0) then
realInChansCount := NUM_OF_CHANNELS_c * INVERSE_TDM_FACTOR_c;
totalInChansCount := TOTAL_INCHANS_ALLOWED;
end if;
end loop;
j_temp := 0;
sink_completed <= '0';
read_data_completed := 1;
end if;
else
eof <='1';
end if;
-- Reorder the input format
-- Expected input format by FIR Compiler II
-- ..., <C2>, <C1>, <C0>, -->
-- ..., <C5>, <C4>, <C3>, -->
-- ..., <C8>, <C7>, <C6>, -->
if (read_data_completed = 1) then
for p in 0 to PHYSCHANIN_c-1 loop
--Debug
write(my_line, string'(" Push input = "));
write(my_line,arrayIn(p,q));
writeline(output, my_line); -- write to display
ast_sink_data(p*(INWIDTH_c+BANKINWIDTH_c)+INWIDTH_c-1 downto (INWIDTH_c+BANKINWIDTH_c)*p) <= std_logic_vector(to_signed(arrayIn(p,q), INWIDTH_c)) after tclk/4;
if (BANKINWIDTH_c > 0) then
ast_sink_data(p*(INWIDTH_c+BANKINWIDTH_c)+(INWIDTH_c+BANKINWIDTH_c)-1 downto (INWIDTH_c+BANKINWIDTH_c)*p+INWIDTH_c) <= std_logic_vector(to_signed(arrayBank(p,q), BANKINWIDTH_c)) after tclk/4;
end if;
end loop;
if ( q < CHANSPERPHYIN_c ) then
q := q + 1;
else
q := 0;
end if;
if ( push_counter < CHANSPERPHYIN_c-1 ) then
push_counter <= push_counter + 1;
else
push_counter <= 0;
read_data_completed := 0;
sink_completed <= '1';
--start invalid cycles if needed
if ( idle_cyles < INVALID_CYCLES_c ) then
valid_cycles <= '0' ;
end if;
end if;
end if;
-- End Reordering and sinking data
else
if ( idle_cyles < INVALID_CYCLES_c ) then
ast_sink_valid <= '0' after tclk/4;
idle_cyles := idle_cyles + 1;
if ( idle_cyles = INVALID_CYCLES_c ) then
valid_cycles <= '1' ;
idle_cyles := 0;
end if;
end if;
ast_sink_data <= ast_sink_data after tclk/4;
end if;
else
eof <= '1';
ast_sink_valid <= '0' after tclk/4;
ast_sink_data <= std_logic_vector(to_signed(0, DATA_WIDTH_c)) after tclk/4;
end if;
end if;
end if;
end process source_model;
---------------------------------------------------------------------------------------------
-- Write FIR output to file
---------------------------------------------------------------------------------------------
sink_model : process(clk) is
file ro_file : text open write_mode is FIR_OUTPUT_FILE_c;
variable rdata : line;
variable y,z,z_temp : integer :=0;
variable realOutChansCount : natural := NUM_OF_CHANNELS_c * INVERSE_TDM_FACTOR_c;
variable totalOutChansCount : natural := TOTAL_OUTCHANS_ALLOWED;
type Out_2D is array (CHANSPERPHYOUT_c-1 downto 0, PHYSCHANOUT_c-1 downto 0) of integer;
variable arrayOut : Out_2D;
begin
if rising_edge(clk) then
if(ast_source_valid = '1' and ast_source_ready = '1') then
-- Expected output format from FIR Compiler II
--> <C0>, <C1>, <C2>, ...
--> <C3>, <C4>, <C5>, ...
--> <C6>, <C7>, <C8>, ...
for x in 0 to PHYSCHANOUT_c-1 loop
-- Super-Sample Rate or Interpolation with TDM = 1
-- only interpolation factor is needed for super-sample rate test
if ( PHYSCHANOUT_c > NUM_OF_CHANNELS_c ) then
if (x /= 0) then
z := z + INVERSE_TDM_FACTOR_c * div_ceil(INTERP_FACTOR_c,TDM_FACTOR_c);
if (z > PHYSCHANOUT_c-1) then
z_temp := z_temp + 1;
z := z_temp;
end if;
end if;
else
z := x;
end if;
arrayOut(y,x) := to_integer(signed(ast_source_data(z*OUTWIDTH_c+OUTWIDTH_c-1 downto OUTWIDTH_c*z)));
end loop;
if (y < CHANSPERPHYOUT_c - 1) then
y := y + 1;
else
y := 0;
z := 0;
z_temp := 0;
for n in 0 to PHYSCHANOUT_c-1 loop
for m in 0 to CHANSPERPHYOUT_c-1 loop
totalOutChansCount := totalOutChansCount - 1;
if (realOutChansCount > 0) then
if (NUM_OF_CHANNELS_c > PHYSCHANOUT_c) then
realOutChansCount := realOutChansCount - 1;
end if;
write(rdata, arrayOut(m,n));
writeline(ro_file, rdata);
end if;
end loop;
end loop;
end if;
if (totalOutChansCount = 0) then
realOutChansCount := NUM_OF_CHANNELS_c * INVERSE_TDM_FACTOR_c;
totalOutChansCount := TOTAL_OUTCHANS_ALLOWED;
end if;
end if;
end if;
end process sink_model;
-------------------------------------------------------------------------------
-- clock generator
-------------------------------------------------------------------------------
clkgen : process
begin -- process clkgen
if eof = '1' and sink_completed = '1' and ast_source_valid = '0' then
clk <= '0';
assert FALSE
report "NOTE: Stimuli ended" severity note;
wait;
elsif time_lapse >= time_lapse_max then
clk <= '0';
assert FALSE
report "ERROR: Reached time_lapse_max without activity, probably simulation is stuck!" severity Error;
wait;
else
clk <= '0';
wait for tclk/2;
clk <= '1';
wait for tclk/2;
end if;
end process clkgen;
monitor_toggling_activity : process(clk, reset_testbench,
ast_source_data, ast_source_valid)
begin
if reset_testbench = '0' then
time_lapse <= 0 ns;
elsif ast_source_data'event or ast_source_valid'event then
time_lapse <= 0 ns;
elsif rising_edge(clk) then
if time_lapse < time_lapse_max then
time_lapse <= time_lapse + tclk;
end if;
end if;
end process monitor_toggling_activity;
-------------------------------------------------------------------------------
-- reset generator
-------------------------------------------------------------------------------
reset_testbench_gen : process
begin -- process resetgen
reset_testbench <= '1';
wait for tclk/4;
reset_testbench <= '0';
wait for tclk*2;
reset_testbench <= '1';
wait;
end process reset_testbench_gen;
reset_design_gen : process
begin -- process resetgen
reset_design <= '1';
wait for tclk/4;
reset_design <= '0';
wait for tclk*2;
reset_design <= '1';
wait for tclk*80;
reset_design <= '1';
wait for tclk*65*2;
reset_design <= '1';
wait;
end process reset_design_gen;
end architecture rtl;
| gpl-2.0 | 206f4765e024b2fdbc1c4ad57acb0e82 | 0.500222 | 4.052145 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/metronomo.vhd | 2 | 3,685 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/24 18:34:19
-- Nombre del módulo: metronomo - Behavioral
-- Comentarios adicionales:
-- Este divisor de frecuencia toma sus valores de una memoria ROM que contiene
-- los valores de los contadores. Por lo tanto, el rango de frecuencias depende
-- de la ROM.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity metronomo is
PORT (
clk : in STD_LOGIC; -- Reloj de entrada de 50MHz.
reset : in STD_LOGIC; -- Señal de reset.
btn_inc : in STD_LOGIC; -- Incrementa la cantidad de BPM.
btn_dec : in STD_LOGIC; -- Decrementa la cantidad de BPM.
d7s : out STD_LOGIC_VECTOR(7 downto 0);
MUX : out STD_LOGIC_VECTOR(3 downto 0);
clk_out : out STD_LOGIC -- Reloj de salida.
);
end metronomo;
architecture Behavioral of metronomo is
-- Señal para comunicación entre ROM y divisor con ROM.
signal escala: STD_LOGIC_VECTOR(27 downto 0);
-- Señal para el reloj de 3.125MHz (como entrada a divisor con ROM).
signal clk3M125: STD_LOGIC := '0';
-- Señal para el reloj de 5Hz (como entrada al contador).
signal clk5Hz: STD_LOGIC := '0';
-- Bit para habilitar, o no, la lectura de la ROM.
signal rom_en: STD_LOGIC := '0';
-- Señal para almacenar la dirección a leer de la ROM.
signal direccion: STD_LOGIC_VECTOR(8 downto 0);
-- Señal para almacenar la cantidad de BPM.
signal bpm: STD_LOGIC_VECTOR(8 downto 0);
-- Señal para pasar un número binario a BCD.
signal num_bcd: STD_LOGIC_VECTOR(10 downto 0);
-- Dígitos BCD para ser mostrados como siete segmentos.
signal D0, D1, D2, D3: STD_LOGIC_VECTOR(3 downto 0);
begin
-- Reloj de 3.125MHz, que será la entrada para el divisor
-- de frecuencia implementado con la ROM.
clk3M125Hz_i: entity work.clk3M125Hz(Behavioral)
PORT MAP(clk, reset, clk3M125);
-- Reloj de 200Hz, que será la entrada al contador (para evitar un
-- conteo súper rápido que no se ve).
clk5Hz_i: entity work.clk5Hz(Behavioral)
PORT MAP(clk, reset, clk5Hz);
-- Contador de 0 a 499, con valor inicial en 59 (equivalente en
-- este sistema a 60BPM), que apunta a la dirección ROM.
contador_dir_i: entity work.contador_up_down_0_499(Behavioral)
PORT MAP(clk5Hz, reset, btn_inc, btn_dec, direccion);
-- Divisor de frecuencia que entrega una salida de 1 a 512
-- pulsos por minuto, según la dirección de la ROM.
-- En general: BPM = DIRECCION + 1.
clk_rom_i: entity work.clk_rom(Behavioral)
PORT MAP(clk3M125, reset, escala, clk_out);
rom512_28b_i: entity work.rom512_28b(Behavioral)
PORT MAP(clk, rom_en, direccion, escala);
-- Convertidor de binario a BCD a siete segmentos.
-- Se encarga de recibir la cantidad de BPM en binario,
-- convertirla a tres dígitos en BCD, y enviar esos datos
-- a los visualizadores de siete segmentos.
bin2bcd9_i: entity bin2bcd9(Behavioral)
PORT MAP(bpm, num_bcd);
d7s_i: entity work.siete_segmentos_4bits_completo(Behavioral)
PORT MAP(clk, reset, D0, D1, D2, D3, d7s, MUX);
-- La cantidad de BPM es igual a la dirección más uno.
bpm <= direccion + 1;
-- La ROM está habilitada siempre y cuando no esté en reset.
rom_en <= NOT reset;
-- Se asignan las señales que representarán los datos en siete segmentos.
D3 <= "0000";
D2 <= "0" & num_bcd(10 downto 8);
D1 <= num_bcd(7 downto 4);
D0 <= num_bcd(3 downto 0);
end Behavioral; | lgpl-2.1 | ad793927d507620962952c4f7c4762c3 | 0.646608 | 3.261374 | false | false | false | false |
hgunicamp/Mips8B | src_design/mips8b_datapath.vhdl | 1 | 7,624 | Library Ieee;
Use Ieee.Std_Logic_1164.all;
Entity Mips8B_DataPath is
Generic( N: Natural := 8;
RF_SIZE: Natural := 8;
SH_SIZE: Natural := 3;
RF_ADDR_SIZE: Natural := 3);
Port(clock: in Std_Logic;
-- Controle dos Registradores do Shift Register.
en_Reg_SH: in Std_Logic;
-- Controle para Shifter.
crt_SH: in Std_Logic_Vector(1 downto 0);
S_SH: in Std_Logic_Vector(SH_SIZE-1 downto 0);
-- Controle dos Registradores da ULA.
en_R1A_ULA: in Std_Logic;
en_R1B_ULA: in Std_Logic;
en_R2_ULA: in Std_Logic;
-- Controle para ULA.
crt_ULA: in Std_Logic_Vector(2 downto 0);
crt_Mux_ULA: in Std_Logic_Vector(1 downto 0);
-- Controle para Register File.
crt_RFile: in Std_Logic;
crt_Mux_RF: in Std_Logic;
address_RF: in Std_Logic_Vector(RF_ADDR_SIZE-1 downto 0);
en_Raddress_RF: in Std_logic;
-- Controle para o Acumulador.
crt_Acc: in Std_Logic_Vector(1 downto 0);
crt_Mux_Acc: in Std_Logic;
-- Entradas do Datapath.
in_PC: in Std_Logic_Vector(N-3 downto 0);
in_IMM: in Std_Logic_Vector(N-1 downto 0);
-- Flag de Igualdade de Operandos.
eq_Flag: out Std_Logic;
-- Saida do resultado do Acumulador.
out_Acc: out Std_Logic_Vector(N-1 downto 0));
End Entity Mips8B_DataPath;
Architecture behave of Mips8B_DataPath is
Use Ieee.Numeric_Std.all;
Use Work.MIPS8B_Base.all;
type mem_type is Array(Natural Range <>) of Std_Logic_Vector(N-1 downto 0);
-- Repesentacao do Register File
Signal RFile_Mem: mem_type(1 to RF_SIZE-1);
Signal Raddress_RF: Std_Logic_Vector(RF_ADDR_SIZE-1 downto 0);
Signal out_RF: Std_Logic_Vector(N-1 downto 0);
-- Representacao das saidas dos multiplexadores.
Signal mux_R2A_ULA, mux_R2B_ULA: Std_Logic_Vector(N-1 downto 0);
Signal mux_RF, mux_Acc: Std_Logic_Vector(N-1 downto 0);
-- Saida do Acumulador
Signal int_out_Acc: Std_Logic_Vector(N-1 downto 0);
-- Registradores para os operandos na ULA e no Shifter.
Signal R1A_ULA, R1B_ULA: Std_Logic_Vector(N-1 downto 0);
Signal R2A_ULA, R2B_ULA: Std_Logic_Vector(N-1 downto 0);
Signal RCRT_ULA: Std_Logic_Vector(2 downto 0);
Signal RD_SH: Std_Logic_Vector(N-1 downto 0);
Signal RS_SH: Std_Logic_Vector(SH_SIZE-1 downto 0);
Signal RCRT_SH: Std_Logic_Vector(1 downto 0);
-- Resulatdos da ULA e do Shifter.
Signal res_ULA, res_SH: Std_Logic_Vector(N-1 downto 0);
Signal Flags: Std_Logic_Vector(1 downto 0);
Begin
-- Saida do Acumulador para fora do Datapath
out_Acc <= int_out_Acc;
-- Flag de Igualdade.
eq_Flag <= Flags(1);
---------------------------------------------------------------------------
-- Controle dos multiplexadores.
With crt_Mux_ULA(1) select
mux_R2A_ULA <= R1A_ULA when '0',
"00" & in_PC when others;
With crt_Mux_ULA(0) select
mux_R2B_ULA <= R1B_ULA when '0',
in_IMM when others;
With crt_Mux_Acc select
mux_Acc <= res_ULA when '0',
res_SH when others;
With crt_Mux_RF select
mux_RF <= int_out_Acc when '0',
in_IMM when others;
---------------------------------------------------------------------------
-- Representalcao do Register File.
RFILE_PROCESS: Process(clock,crt_RFile,Raddress_RF,RFile_Mem,mux_RF)
Begin
If clock'event and clock ='1' then
If Raddress_RF /= R0 and crt_RFile = '1' then
RFile_Mem(to_integer(to_01(Unsigned(Raddress_RF),'1'))) <= mux_RF;
End If;
End If;
If Raddress_RF /= R0 then
out_RF <= RFile_Mem(to_integer(to_01(Unsigned(Raddress_RF),'1')));
Else
out_RF <= (Others => '0');
End If;
End Process RFILE_PROCESS;
---------------------------------------------------------------------------
-- Processo responsavel pela controle dos registradores intermediarios.
MIPS8B_Regs: Process
Begin
Wait Until clock'Event and clock = '1';
If en_Raddress_RF = '1' then
Raddress_RF <= address_RF;
End If;
If en_R1A_ULA = '1' then
R1A_ULA <= out_RF;
End If;
If en_R1B_ULA = '1' then
R1B_ULA <= out_RF;
End If;
If en_R2_ULA = '1' then
R2A_ULA <= mux_R2A_ULA;
R2B_ULA <= mux_R2B_ULA;
RCRT_ULA <= crt_ULA;
End If;
If en_Reg_SH = '1' then
RD_SH <= R1A_ULA;
RS_SH <= S_SH;
RCRT_SH <= crt_SH;
End If;
End Process MIPS8B_Regs;
---------------------------------------------------------------------------
-- Processo responsavel pelas operacoes logicas e aritmeticas
MIPS8B_ULA: Process(R2A_ULA,R2B_ULA,RCRT_ULA,R1A_ULA,R1B_ULA)
Variable TempA, TempB, TempResult: Signed(N downto 0);
Begin
-- Copiando as entradas para execucao das operacoes aritmeticas
TempA := Signed(R2A_ULA(N-1) & R2A_ULA);
TempB := Signed(R2B_ULA(N-1) & R2B_ULA);
-- Realizando a operacao aritimetica
Case RCRT_ULA is
When uSUB =>
TempResult := TempA - TempB;
When uAND =>
TempResult := TempA and TempB;
When uOR =>
TempResult := TempA or TempB;
When Others =>
TempResult := TempA + TempB;
End Case;
-- Levando os resultados para Saida
res_ULA <= Std_Logic_Vector(TempResult(N-1 downto 0));
-- Flag para resultado negativo.
Flags(0) <= TempResult(N);
-- Flag para igualdade.
If R1A_ULA = R1B_ULA then
Flags(1) <= '1';
Else
Flags(1) <= '0';
End If;
End Process MIPS8B_ULA;
---------------------------------------------------------------------------
-- Processo responsavel pelas operacoes de Shifter
MIPS8B_SHIFTER: Process(RD_SH,RS_SH,RCRT_SH)
Begin
Case RCRT_SH is
When sRAR =>
res_SH <= Std_Logic_Vector(SHIFT_RIGHT(Signed(RD_SH),to_integer(Unsigned(RS_SH))));
When sRLL =>
res_SH <= Std_Logic_Vector(SHIFT_RIGHT(Unsigned(RD_SH),to_integer(Unsigned(RS_SH))));
When Others =>
res_SH <= Std_Logic_Vector(SHIFT_LEFT(Signed(RD_SH),to_integer(Unsigned(RS_SH))));
End Case;
End Process MIPS8B_SHIFTER;
---------------------------------------------------------------------------
-- Processo responsavel pelas operacoes no Acumulador
Acc: Process
Variable Temp: Std_Logic_Vector(N-1 downto 0);
Begin
Wait Until clock'Event and clock = '1';
Case crt_Acc is
When AccPar =>
int_out_Acc <= mux_Acc;
When AccFlag =>
Temp := (Others => '0');
If Flags ="01" then -- Comparacao resultou em Menor
Temp(0) := '1';
End If;
int_out_Acc <= Temp;
When Others =>
End Case;
End Process Acc;
End Architecture behave;
Configuration Mips8B_DataPath_behave_conf of Mips8B_DataPath is
For behave
End For;
End Configuration Mips8B_DataPath_behave_conf;
| unlicense | 9114860850cd3144aa3d782de3db4e57 | 0.519019 | 3.552656 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/dmem.vhd | 6 | 12,333 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7392)
`protect data_block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`protect end_protected
| gpl-3.0 | 6c9836c9d2215af58460e1f4ac847a33 | 0.931322 | 1.873462 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/pdi_tripleVBufLogic.vhd | 5 | 12,460 | ------------------------------------------------------------------------------------------------------------------------
-- Triple Buffer Control Logic
--
-- Copyright (C) 2010 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2010-08-16 V0.01 zelenkaj First version
-- 2010-10-11 V0.02 zelenkaj Bugfix: PCP can't be producer in any case => added generic
-- 2010-10-25 V0.03 zelenkaj Use one Address Adder per DPR port side (reduces LE usage)
-- 2011-04-26 V0.04 zelenkaj generic for clock domain selection
-- 2011-12-13 V0.05 zelenkaj Added constants for one hot code
-- Reduced clkXing to two signals (one hot -> bin -> one hot)
------------------------------------------------------------------------------------------------------------------------
-- This logic implements the virtual triple buffers, by selecting the appropriate address offset
-- The output address offset has to be added to the input address.
-- The trigger signal switches to the next available buffer. The switch mechanism is implemented in the
-- PCP's clock domain. Thus the switch over on the PCP side is performed without delay. An AP switch over crosses
-- from AP to PCP clock domain (2x pcpClk) and back from PCP to AP (2x apClk).
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY tripleVBufLogic IS
GENERIC(
genOnePdiClkDomain_g : boolean := false;
--base address of virtual buffers in DPR
iVirtualBufferBase_g : INTEGER := 0;
--size of one virtual buffer in DPR (must be aligned!!!)
iVirtualBufferSize_g : INTEGER := 1024;
--out address width
iOutAddrWidth_g : INTEGER := 13;
--in address width
iInAddrWidth_g : INTEGER := 11;
--ap is producer
bApIsProducer : BOOLEAN := FALSE
);
PORT (
pcpClk : IN STD_LOGIC;
pcpReset : IN STD_LOGIC;
pcpTrigger : IN STD_LOGIC; --trigger virtual buffer change
--pcpInAddr : IN STD_LOGIC_VECTOR(iInAddrWidth_g-1 DOWNTO 0);
pcpOutAddrOff : OUT STD_LOGIC_VECTOR(iOutAddrWidth_g DOWNTO 0);
pcpOutSelVBuf : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); --selected virtual buffer (one-hot coded)
apClk : IN STD_LOGIC;
apReset : IN STD_LOGIC;
apTrigger : IN STD_LOGIC; --trigger virtual buffer change
--apInAddr : IN STD_LOGIC_VECTOR(iInAddrWidth_g-1 DOWNTO 0);
apOutAddrOff : OUT STD_LOGIC_VECTOR(iOutAddrWidth_g DOWNTO 0);
apOutSelVBuf : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) --selected virtual buffer (one-hot coded)
);
END ENTITY tripleVBufLogic;
ARCHITECTURE rtl OF tripleVBufLogic IS
--constants
---virtual buffer base address
CONSTANT iVirtualBufferBase0_c : INTEGER := 0*iVirtualBufferSize_g + iVirtualBufferBase_g;
CONSTANT iVirtualBufferBase1_c : INTEGER := 1*iVirtualBufferSize_g + iVirtualBufferBase_g;
CONSTANT iVirtualBufferBase2_c : INTEGER := 2*iVirtualBufferSize_g + iVirtualBufferBase_g;
---one hot code
constant cOneHotVirtualBuffer0 : std_logic_vector(2 downto 0) := "001";
constant cOneHotVirtualBuffer1 : std_logic_vector(2 downto 0) := "010";
constant cOneHotVirtualBuffer2 : std_logic_vector(2 downto 0) := "100";
---triple buffer mechanism
----initial states
CONSTANT initialValid_c : STD_LOGIC_VECTOR(2 DOWNTO 0) := cOneHotVirtualBuffer0;
CONSTANT initialLocked_c : STD_LOGIC_VECTOR(2 DOWNTO 0) := cOneHotVirtualBuffer1;
CONSTANT initialCurrent_c : STD_LOGIC_VECTOR(2 DOWNTO 0) := cOneHotVirtualBuffer2;
--signals
---PCP and AP selected virtual buffer
SIGNAL pcpSelVBuf_s : STD_LOGIC_VECTOR(2 DOWNTO 0); --selected virtual buffer by producer
SIGNAL apSelVBuf_s : STD_LOGIC_VECTOR(2 DOWNTO 0); --selected virtual buffer by consumer
SIGNAL lockedVBuf_s : STD_LOGIC_VECTOR(2 DOWNTO 0); --locked virtual buffer in producer clk domain
BEGIN
pcpOutSelVBuf <= pcpSelVBuf_s;
apOutSelVBuf <= apSelVBuf_s;
theAddrCalcer : BLOCK
--depending on the selected virtual buffer (???SelVBuf_s), the output address is calculated (???OutAddr)
-- ???SelVBuf_s | ???OutAddr
-- -------------------------
-- "001" | ???InAddr + iVirtualBufferBase0_c
-- "010" | ???InAddr + iVirtualBufferBase1_c
-- "100" | ???InAddr + iVirtualBufferBase2_c
SIGNAL pcpAddrOffset, apAddrOffset: STD_LOGIC_VECTOR(iOutAddrWidth_g DOWNTO 0);
--SIGNAL pcpSum, apSum : STD_LOGIC_VECTOR(iOutAddrWidth_g DOWNTO 0);
BEGIN
--select address offset
pcpAddrOffset <= CONV_STD_LOGIC_VECTOR(iVirtualBufferBase0_c, pcpAddrOffset'LENGTH) WHEN pcpSelVBuf_s = cOneHotVirtualBuffer0 ELSE
CONV_STD_LOGIC_VECTOR(iVirtualBufferBase1_c, pcpAddrOffset'LENGTH) WHEN pcpSelVBuf_s = cOneHotVirtualBuffer1 ELSE
CONV_STD_LOGIC_VECTOR(iVirtualBufferBase2_c, pcpAddrOffset'LENGTH) WHEN pcpSelVBuf_s = cOneHotVirtualBuffer2 ELSE
(OTHERS => '0');
pcpOutAddrOff <= pcpAddrOffset;
--calculate address for dpr, leading zero is a sign!
--pcpSum <= ('0' & conv_std_logic_vector(conv_integer(pcpInAddr), iOutAddrWidth_g-1)) + ('0' & pcpAddrOffset);
--pcpOutAddr <= pcpSum(pcpOutAddr'RANGE);
--select address offset
apAddrOffset <= CONV_STD_LOGIC_VECTOR(iVirtualBufferBase0_c, apAddrOffset'LENGTH) WHEN apSelVBuf_s = cOneHotVirtualBuffer0 ELSE
CONV_STD_LOGIC_VECTOR(iVirtualBufferBase1_c, apAddrOffset'LENGTH) WHEN apSelVBuf_s = cOneHotVirtualBuffer1 ELSE
CONV_STD_LOGIC_VECTOR(iVirtualBufferBase2_c, apAddrOffset'LENGTH) WHEN apSelVBuf_s = cOneHotVirtualBuffer2 ELSE
(OTHERS => '0');
apOutAddrOff <= apAddrOffset;
--calculate address for dpr, leading zero is a sign!
--apSum <= ('0' & conv_std_logic_vector(conv_integer(apInAddr), iOutAddrWidth_g-1)) + ('0' & apAddrOffset);
--apOutAddr <= apSum(apOutAddr'RANGE);
END BLOCK theAddrCalcer;
theLockSync : block
constant cBinLockWidth : integer := 2;
constant cBinLock0 : std_logic_vector(cBinLockWidth-1 downto 0) := "01";
constant cBinLock1 : std_logic_vector(cBinLockWidth-1 downto 0) := "11";
constant cBinLock2 : std_logic_vector(cBinLockWidth-1 downto 0) := "10";
signal binLockedVBuf : std_logic_vector(cBinLockWidth-1 downto 0);
signal binApSelVBuf : std_logic_vector(cBinLockWidth-1 downto 0);
begin
--conSelVBuf_s is in the PCP clock domain, thus the lockedVBuf_s signal must be
-- synchronized from PCP clock- to AP clock domain!
--In addition the one hot approach is transformed to save one line
binLockedVBuf <= cBinLock0 when lockedVBuf_s = cOneHotVirtualBuffer0 else
cBinLock1 when lockedVBuf_s = cOneHotVirtualBuffer1 else
cBinLock2;
apSelVBuf_s <= cOneHotVirtualBuffer0 when binApSelVBuf = cBinLock0 else
cOneHotVirtualBuffer1 when binApSelVBuf = cBinLock1 else
cOneHotVirtualBuffer2;
vectorSync : FOR i in cBinLockWidth-1 DOWNTO 0 GENERATE
theLockedSync : ENTITY work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
PORT MAP
(
din => binLockedVBuf(i),
dout => binApSelVBuf(i),
clk => apClk,
rst => apReset
);
END GENERATE;
end block;
theTripleBufferLogic : BLOCK
--The PCP triggers with triggerA and sets buffers to valid.
--The AP triggers with triggerB and locks buffers for reading.
SIGNAL clk, rst : STD_LOGIC;
SIGNAL triggerA : STD_LOGIC;
SIGNAL triggerB, triggerB_s : STD_LOGIC; --triggerB is in AP clock domain!
SIGNAL toggleB, toggleBsync : STD_LOGIC; --toggleB is toggled by AP and synced to PCP
SIGNAL toggleEdge : STD_LOGIC_VECTOR(1 DOWNTO 0);
SIGNAL locked : STD_LOGIC_VECTOR(2 DOWNTO 0);
SIGNAL currentA : STD_LOGIC_VECTOR(2 DOWNTO 0); --current selected buffer by PCP
-- SIGNAL valid : STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
--triple buffer logic is implemented in PCP clock domain!
clk <= pcpClk;
rst <= pcpReset;
--triggerA is the producer's trigger
triggerA <= pcpTrigger when bApIsProducer = false else triggerB_s;
--conTrigger pulse is in AP clock domain, thus different clock rates will produce more or less pulses!
---thus a toggling signal crosses the clock domain
genToggleB : PROCESS(apClk, apReset)
BEGIN
IF apReset = '1' THEN
toggleB <= '0';
ELSIF apClk = '1' AND apClk'EVENT THEN --CAUTION: AP clock is used!
IF apTrigger = '1' THEN
toggleB <= not toggleB;
END IF;
END IF;
END PROCESS genToggleB;
theToggleSync : ENTITY work.sync
generic map (
doSync_g => not genOnePdiClkDomain_g
)
PORT MAP
(
din => toggleB,
dout => toggleBsync,
clk => clk,
rst => rst
);
toggleShiftReg: PROCESS(clk, rst)
BEGIN
IF rst = '1' THEN
toggleEdge <= (OTHERS => '0');
ELSIF clk = '1' AND clk'event THEN
--shift register
toggleEdge <= toggleEdge(0) & toggleBsync;
END IF;
END PROCESS toggleShiftReg;
triggerB_s <= toggleEdge(1) xor toggleEdge(0);
--triggerB is the consumer's trigger
triggerB <= triggerB_s when bApIsProducer = false else pcpTrigger;
--currentA is set by PCP (currently used buffer by PCP)
pcpSelVBuf_s <= currentA when bApIsProducer = false else locked;
--locked virtual buffer in PCP clock domain
lockedVBuf_s <= locked when bApIsProducer = false else currentA;
tripleBufMechanism : PROCESS(clk, rst)
VARIABLE valid_v : STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
IF rst = '1' THEN
--initial state:
---buffer "001" is valid
valid_v := initialValid_c;
---buffer "010" is locked
locked <= initialLocked_c;
---buffer "100" is currently used by PCP
currentA <= initialCurrent_c;
ELSIF clk = '1' AND clk'EVENT THEN
IF triggerA = '1' THEN
--PCP triggers buffer change
---set valid to current selected buffer
---search for free buffer (not locked and valid)
valid_v := currentA;
--free buffer search ex.:
-- locked "001"
-- valid "010"
-- ============
-- free "100"
currentA <= not locked and not valid_v;
END IF;
IF triggerB = '1' THEN
--AP triggers buffer change
---change AP to valid buffer
locked <= valid_v;
END IF;
END IF;
END PROCESS tripleBufMechanism;
END BLOCK theTripleBufferLogic;
END ARCHITECTURE rtl;
| gpl-2.0 | b0a9fe8ecaa3590b4e94191f28a2f7fb | 0.649679 | 3.64221 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/dc_ss.vhd | 6 | 15,340 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9616)
`protect data_block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`protect end_protected
| gpl-3.0 | 35e6eb16e265cc915050b867f2d76b04 | 0.937614 | 1.873702 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/bin2bcd9_tb.vhd | 2 | 1,525 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY bin2bcd9_tb IS
END bin2bcd9_tb;
ARCHITECTURE behavior OF bin2bcd9_tb IS
-- Declaración del componente de la unidad bajo prueba.
COMPONENT bin2bcd9
PORT(
num_bin : IN std_logic_vector(8 downto 0);
num_bcd : OUT std_logic_vector(10 downto 0)
);
END COMPONENT;
-- Entradas.
signal clk : std_logic := '0';
signal num_bin : std_logic_vector(8 downto 0) := (others => '0');
-- Salidas.
signal num_bcd : std_logic_vector(10 downto 0);
-- Definición de los relojes.
constant clk_period : time := 20 ns;
BEGIN
-- Instancia de la unidad bajo prueba.
uut: bin2bcd9 PORT MAP (
num_bin => num_bin,
num_bcd => num_bcd
);
-- Definición del proceso de reloj.
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Proceso de estímulos.
stim_proc: process
begin
wait for 100 ns;
num_bin <= "000000001"; -- 1, 000 0000 0001
wait for 10 ms;
num_bin <= "000000010"; -- 2, 000 0000 0010
wait for 10 ms;
num_bin <= "000000100"; -- 4, 000 0000 0100
wait for 10 ms;
num_bin <= "000001000"; -- 8, 000 0000 1000
wait for 10 ms;
num_bin <= "000010000"; -- 16, 000 0001 0110
wait for 10 ms;
num_bin <= "000100000"; -- 32, 000 0011 0010
wait for 10 ms;
num_bin <= "001000000"; -- 64, 000 0110 0100
wait for 10 ms;
num_bin <= "010000000"; -- 128, 001 0010 1000
wait for 10 ms;
num_bin <= "100000000"; -- 256, 010 0101 0110
wait;
end process;
END; | lgpl-2.1 | 7cd7044901954ca6c7c1c1dbdc0f4f4a | 0.639344 | 2.824074 | false | false | false | false |
rflamino/StellaBlue | core/A6532/src/A6532.vhd | 1 | 7,554 | -- A6532 RAM-I/O-Timer (RIOT)
-- Copyright 2006, 2010 Retromaster
--
-- This file is part of A2601.
--
-- A2601 is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, either version 3 of the License,
-- or any later version.
--
-- A2601 is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with A2601. If not, see <http://www.gnu.org/licenses/>.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ram128x8 is
port(clk: in std_logic;
r: in std_logic;
d_in: in std_logic_vector(7 downto 0);
d_out: out std_logic_vector(7 downto 0);
a: in std_logic_vector(6 downto 0));
end ram128x8;
architecture arch of ram128x8 is
type ram_type is array (0 to 127) of
std_logic_vector(7 downto 0);
signal ram: ram_type;
begin
process (clk, r, a)
begin
if (clk'event and clk = '1') then
if (r = '1') then
d_out <= ram(to_integer(unsigned(a)));
else
ram(to_integer(unsigned(a))) <= d_in;
end if;
end if;
end process;
end arch;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity A6532 is
port(clk: in std_logic;
r: in std_logic;
rs: in std_logic;
cs: in std_logic;
irq: out std_logic;
d: inout std_logic_vector(7 downto 0) := "ZZZZZZZZ";
pa: inout std_logic_vector(7 downto 0);
pb: inout std_logic_vector(7 downto 0);
pa7: in std_logic;
a: in std_logic_vector(6 downto 0));
end A6532;
architecture arch of A6532 is
component ram128x8 is
port(clk: in std_logic;
r: in std_logic;
d_in: in std_logic_vector(7 downto 0);
d_out: out std_logic_vector(7 downto 0);
a: in std_logic_vector(6 downto 0));
end component;
signal pa_reg: std_logic_vector(7 downto 0) := "00000000";
signal pb_reg: std_logic_vector(7 downto 0) := "00000000";
signal pa_ddr: std_logic_vector(7 downto 0) := "00000000";
signal pb_ddr: std_logic_vector(7 downto 0) := "00000000";
signal pa_in: std_logic_vector(7 downto 0);
signal pb_in: std_logic_vector(7 downto 0);
signal timer: std_logic_vector(7 downto 0) := "00000000";
signal timer_write: std_logic;
signal timer_read: std_logic;
signal timer_intr: std_logic := '0';
signal timer_intvl: std_logic_vector(1 downto 0) := "11";
signal timer_dvdr: std_logic_vector(10 downto 0) := "00000000001";
signal timer_inc: std_logic;
signal timer_irq_en: std_logic := '0';
signal edge_pol: std_logic := '0';
signal edge_irq_en: std_logic := '0';
signal edge_intr_lo: std_logic := '0';
signal edge_intr_hi: std_logic := '0';
signal edge_intr: std_logic;
signal intr_read: std_logic;
signal ram_d_out: std_logic_vector(7 downto 0);
signal ram_r: std_logic;
signal clk2: std_logic;
begin
-- This clock is phase shifted so that we can use Xilinx synchronous block RAM.
clk2 <= not clk;
io: for i in 0 to 7 generate
-- TEMPORARY FIX
--pa(i) <= pa_reg(i) when pa_ddr(i) = '1' else 'Z';
--pb(i) <= pb_reg(i) when pb_ddr(i) = '1' else 'Z';
pa(i) <= 'Z';
pb(i) <= 'Z';
pa_in(i) <= pa(i);
pb_in(i) <= pb(i) when pb_ddr(i) = '0' else pb_reg(i);
end generate;
ram: ram128x8 port map(clk2, ram_r, d, ram_d_out, a);
ram_r <= (not rs and r) or rs or not cs;
timer_write <= (not r) and rs and a(2) and a(4) and cs;
timer_read <= r and rs and a(2) and (not a(0)) and cs;
intr_read <= r and rs and a(0) and a(2) and cs;
irq <= not ((timer_intr and timer_irq_en) or (edge_intr and edge_irq_en));
edge_intr <= edge_intr_lo when edge_pol = '0' else edge_intr_hi;
process(clk, cs, r, rs, a, ram_d_out, pa_in, pa_ddr, pb_in, pb_ddr, timer, timer_intr, edge_intr)
begin
if r = '1' then
if (cs = '0') then
d <= "ZZZZZZZZ";
elsif rs = '0' then
d <= ram_d_out;
elsif a(2) = '0' then
case a(1 downto 0) is
when "00" =>
d <= pa_in;
when "01" =>
d <= pa_ddr;
when "10" =>
d <= pb_in;
when "11" =>
d <= pb_ddr;
when others =>
null;
end case;
elsif a(0) = '0' then
d <= timer;
elsif a(0) = '1' then
d <= timer_intr & edge_intr & "000000";
else
d <= "--------";
end if;
else
d <= "ZZZZZZZZ";
if (clk'event and clk = '1' and cs = '1') then
if (rs = '1') then
if a(2) = '0' then
case a(1 downto 0) is
when "00" =>
pa_reg <= d;
when "01" =>
pa_ddr <= d;
when "10" =>
pb_reg <= d;
when "11" =>
pb_ddr <= d;
when others =>
null;
end case;
elsif a(4) = '0' then
edge_pol <= a(0);
edge_irq_en <= a(1);
end if;
end if;
end if;
end if;
end process;
process(pa7, intr_read)
begin
if (intr_read = '1') then
edge_intr_lo <= '0';
elsif (pa7'event and pa7 = '1') then
edge_intr_lo <= '1';
end if;
if (intr_read = '1') then
edge_intr_hi <= '0';
elsif (pa7'event and pa7 = '0') then
edge_intr_hi <= '1';
end if;
end process;
with timer_intvl select timer_inc <=
timer_dvdr(0) when "00",
timer_dvdr(3) when "01",
timer_dvdr(6) when "10",
timer_dvdr(10) when "11",
'-' when others;
process(clk)
begin
if (clk'event and clk = '1') then
if (timer_inc = '1') then
timer_dvdr <= "00000000001";
else
timer_dvdr <= timer_dvdr + 1;
end if;
if (timer_write = '1') then
timer <= d;
timer_intvl <= a(1 downto 0);
timer_irq_en <= a(3);
timer_dvdr <= "00000000001";
elsif (timer_intr = '0') then
timer <= timer - timer_inc;
elsif (not (timer = X"00")) then
timer <= timer - 1;
end if;
if (timer = X"00" and timer_inc = '1' and timer_intr = '0' and timer_write = '0') then
timer_intr <= '1';
elsif (timer_read = '1' or timer_write = '1') then
timer_intr <= '0';
end if;
end if;
end process;
end arch;
| mit | 3df89889655a612b6c75de24e3168a1d | 0.486497 | 3.513488 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/common/synchronizer_ff.vhd | 6 | 8,637 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656)
`protect data_block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`protect end_protected
| gpl-3.0 | 074462d2c329b0aaf5944fbf354b5491 | 0.920922 | 1.909573 | false | false | false | false |
Monash-2015-Ultrasonic/Logs | Final System Code/SYSTEMV3/Source/IP/FIR/FIR_sim/FIR.vhd | 1 | 1,697 | library IEEE;
use IEEE.std_logic_1164.all;
entity FIR is
port (
clk : in STD_LOGIC;
reset_n : in STD_LOGIC;
ast_sink_data : in STD_LOGIC_VECTOR((3 + 13) * 1 - 1 downto 0);
ast_sink_valid : in STD_LOGIC;
ast_sink_error : in STD_LOGIC_VECTOR(1 downto 0);
ast_source_data : out STD_LOGIC_VECTOR(30 * 1 - 1 downto 0);
ast_source_valid : out STD_LOGIC;
ast_source_error : out STD_LOGIC_VECTOR(1 downto 0)
);
end FIR;
architecture syn of FIR is
component FIR_ast
port (
clk : in STD_LOGIC;
reset_n : in STD_LOGIC;
ast_sink_data : in STD_LOGIC_VECTOR((3 + 13) * 1 - 1 downto 0);
ast_sink_valid : in STD_LOGIC;
ast_sink_ready : out STD_LOGIC;
ast_sink_sop : in STD_LOGIC;
ast_sink_eop : in STD_LOGIC;
ast_sink_error : in STD_LOGIC_VECTOR(1 downto 0);
ast_source_data : out STD_LOGIC_VECTOR(30 * 1 - 1 downto 0);
ast_source_ready : in STD_LOGIC;
ast_source_valid : out STD_LOGIC;
ast_source_sop : out STD_LOGIC;
ast_source_eop : out STD_LOGIC;
ast_source_channel : out STD_LOGIC_VECTOR(1 - 1 downto 0);
ast_source_error : out STD_LOGIC_VECTOR(1 downto 0)
);
end component;
begin
FIR_ast_inst : FIR_ast
port map (
clk => clk,
reset_n => reset_n,
ast_sink_data => ast_sink_data,
ast_source_data => ast_source_data,
ast_sink_valid => ast_sink_valid,
ast_sink_ready => open,
ast_source_ready => '1',
ast_source_valid => ast_source_valid,
ast_sink_sop => '0',
ast_sink_eop => '0',
ast_sink_error => ast_sink_error,
ast_source_sop => open,
ast_source_eop => open,
ast_source_channel => open,
ast_source_error => ast_source_error
);
end syn;
| gpl-2.0 | f55afb396878a46ed52ac417661013ca | 0.620507 | 2.961606 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/plb_slave.vhd | 5 | 3,186 | -------------------------------------------------------------------------------
--
-- Title : No Title
-- Design : POWERLINK
-- Author : ATSALZ137
-- Company : Bernecker + Rainer
--
-------------------------------------------------------------------------------
--
-- File : C:\mairt\workspace\VHDL_IP-Cores_mairt\active_hdl\compile\plb_slave.vhd
-- Generated : Mon Dec 5 16:05:26 2011
-- From : C:\mairt\workspace\VHDL_IP-Cores_mairt\active_hdl\src\template\plb_slave.bde
-- By : Bde2Vhdl ver. 2.6
--
-------------------------------------------------------------------------------
--
-- Description :
--
-------------------------------------------------------------------------------
-- Design unit header --
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.STD_LOGIC_UNSIGNED.all;
entity plb_slave is
generic(
C_SLAVE_BASEADDR : INTEGER := 0;
C_SLAVE_HIGHADDR : INTEGER := 0;
C_SLAVE_NUM_MASTERS : INTEGER := 1;
C_SLAVE_PLB_AWIDTH : INTEGER := 32;
C_SLAVE_PLB_DWIDTH : INTEGER := 32;
C_SLAVE_PLB_MID_WIDTH : INTEGER := 1
);
port(
SLAVE_Clk : in STD_LOGIC;
SLAVE_PAValid : in STD_LOGIC;
SLAVE_RNW : in STD_LOGIC;
SLAVE_Rst : in STD_LOGIC;
SLAVE_SAValid : in STD_LOGIC;
SLAVE_abort : in STD_LOGIC;
SLAVE_busLock : in STD_LOGIC;
SLAVE_compress : in STD_LOGIC;
SLAVE_guarded : in STD_LOGIC;
SLAVE_lockErr : in STD_LOGIC;
SLAVE_ordered : in STD_LOGIC;
SLAVE_pendReq : in STD_LOGIC;
SLAVE_rdBurst : in STD_LOGIC;
SLAVE_rdPrim : in STD_LOGIC;
SLAVE_wrBurst : in STD_LOGIC;
SLAVE_wrPrim : in STD_LOGIC;
SLAVE_ABus : in STD_LOGIC_VECTOR(C_SLAVE_PLB_AWIDTH - 1 downto 0);
SLAVE_BE : in STD_LOGIC_VECTOR((C_SLAVE_PLB_DWIDTH / 8) - 1 downto 0);
SLAVE_MSize : in STD_LOGIC_VECTOR(1 downto 0);
SLAVE_masterID : in STD_LOGIC_VECTOR(C_SLAVE_PLB_MID_WIDTH - 1 downto 0);
SLAVE_pendPri : in STD_LOGIC_VECTOR(1 downto 0);
SLAVE_reqPri : in STD_LOGIC_VECTOR(1 downto 0);
SLAVE_size : in STD_LOGIC_VECTOR(3 downto 0);
SLAVE_type : in STD_LOGIC_VECTOR(2 downto 0);
SLAVE_wrDBus : in STD_LOGIC_VECTOR(C_SLAVE_PLB_DWIDTH - 1 downto 0);
SLAVE_addrAck : out STD_LOGIC;
SLAVE_rdBTerm : out STD_LOGIC;
SLAVE_rdComp : out STD_LOGIC;
SLAVE_rdDAck : out STD_LOGIC;
SLAVE_rearbitrate : out STD_LOGIC;
SLAVE_wait : out STD_LOGIC;
SLAVE_wrBTerm : out STD_LOGIC;
SLAVE_wrComp : out STD_LOGIC;
SLAVE_wrDAck : out STD_LOGIC;
SLAVE_MBusy : out STD_LOGIC_VECTOR(C_SLAVE_NUM_MASTERS - 1 downto 0);
SLAVE_MErr : out STD_LOGIC_VECTOR(C_SLAVE_NUM_MASTERS - 1 downto 0);
SLAVE_SSize : out STD_LOGIC_VECTOR(1 downto 0);
SLAVE_rdDBus : out STD_LOGIC_VECTOR(C_SLAVE_PLB_DWIDTH - 1 downto 0);
SLAVE_rdWdAddr : out STD_LOGIC_VECTOR(3 downto 0)
);
end plb_slave;
architecture template of plb_slave is
begin
end template;
| gpl-2.0 | 9ea832df2e6e890aaf552dc3d39c87db | 0.535154 | 3.65367 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/mux8a1.vhd | 2 | 606 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity mux8a1 is
PORT (
entrada : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
selector: IN STD_LOGIC_VECTOR(2 DOWNTO 0);
salida : OUT STD_LOGIC
);
end mux8a1;
architecture Behavioral of mux8a1 is
begin
salida <= entrada(0) when (selector = "000") else
entrada(1) when (selector = "001") else
entrada(2) when (selector = "010") else
entrada(3) when (selector = "011") else
entrada(4) when (selector = "100") else
entrada(5) when (selector = "101") else
entrada(6) when (selector = "110") else
entrada(7);
end Behavioral; | lgpl-2.1 | 9cb0f43cdd32cad5a39ce2bb2941ceb9 | 0.648515 | 3.139896 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/clk4Hz.vhd | 2 | 1,252 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2014/04/13 08:21:52
-- Nombre del módulo: clk4Hz - Behavioral
-- Comentarios adicionales:
-- Implementación de forma exacta, a caso con escala par.
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity clk4Hz is
Port (
clk : in STD_LOGIC; -- Reloj de entrada de 50MHz.
reset : in STD_LOGIC;
clk_out : out STD_LOGIC -- Reloj de salida de 4Hz.
);
end clk4Hz;
architecture Behavioral of clk4Hz is
signal temporal: STD_LOGIC;
signal contador: integer range 0 to 6249999 := 0;
begin
divisor_frecuencia: process (clk, reset) begin
if (reset = '1') then
temporal <= '0';
contador <= 0;
elsif rising_edge(clk) then
if (contador = 6249999) then
temporal <= NOT(temporal);
contador <= 0;
else
contador <= contador + 1;
end if;
end if;
end process;
clk_out <= temporal;
end Behavioral; | lgpl-2.1 | 8077b1506be2ed0230b9bf20bfc1d957 | 0.488818 | 4.159468 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/memory_dp_48x4096/blk_mem_gen_v8_2/hdl/blk_mem_output_block.vhd | 8 | 17,242 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Jg7ZSB2xI/J/jQikm8Zlko862zAjpKBGuPSRLj2TaHEWC5rTzr3rFiYHZX6yv0DYk/Y584dxn1Aj
ZJ3fEMF2Eg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J8XF87MjtG6MD92nYNEuYX3aIPS/zAQYepXrxQuouCoZ7DifIM+PcGRYhyHbT1c+x8wNqIyddvPX
H9E20LneyNoZup9aJc0KklSHkCBi4RFSlJYfEHGi7VuQ4DoNHay9ZZOx7KnkG5nTkuG8dZKhL494
1mvb9OIoIew9S5frQi8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FESqZcf5Kd2nw6uez2DBxPYJSBV8lpPPNkL9mii7n9rOA23QnwFT4gzsX2GnAKh0RRoHvqDgwQe2
oriJIgtSnO9GoEYt557lwN4pjAIARzzVKmQozG4a0ZADHcAuh9dE9U2pgm4IYqaA0WHemsJP3RdH
ZWLIA5hjsrEEni35ostJyYxky5xMLNN1/n6HMS0umCbRhs8srgz/a5uvWD7FFpEZ2a0utgDi9MEX
Ot7P9GN3AM5Ug4guXH512IazlVntMqLUCdCGexOO2NqFhGpAvwGxJCtx5XjHjmGW+9m1bqRxt0uC
W0qg1W0dWBjrERQ1cn2SGOV3FZ9QqHCbH1eBSw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
sBWw2a997MC11UDckC6eUhzOMD6OyRi9hIrFSmKM1LtA+EoEe9hBOU+xWnNJxZwh5q/2lTaLVnRD
SOXNd1eh6E6oJtNfyy/eD/u9oSEqrtEAnNkzfHKZvGwMHsKFUk23bSYe/H7pvyiU6gwLB/zQXKRM
aU3uU6qaXWsFaGyQrek=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I+E3SG6eIVl+eQQNtE5uT75GDZk2w8MwukclTFsLuB0JtjwI9/9l+wqqevSEAZVNako39sma+Yy+
6sWVRLVPo7PjKtoO7mmywH+p7yQSorsf+a3ZiNjDaYRK+f9GNaE4daxPW5KbJ1GJwaVjbrTJXjms
6KviB77YrfOEwKiKJnAPEYDYIIKzPfz0pkPKCCTKaUXpj+fFxyjC7bycPwfKU244d5RTVzX4xHcW
KE2Pbl2/gBhqu0EO5W1xcfaXIFlrwR2GLFrc0Upm7pO12jbH3NSKac9EirjKD5ICy3GjrAPQM9pC
bmcrUujXKJAoYdm46Fb/QQhF+yxNF515651OtA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11024)
`protect data_block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`protect end_protected
| gpl-3.0 | a5ed52dd4d5c8210ff570622b07e1a20 | 0.936724 | 1.851589 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/auditoría_imagen_16x16/fifo_16x8x.vhd | 1 | 5,480 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_16x8x.vhd when simulating
-- the core, fifo_16x8x. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_16x8x IS
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(3 downto 0));
END fifo_16x8x;
ARCHITECTURE fifo_16x8x_a OF fifo_16x8x IS
-- synthesis translate_off
component wrapped_fifo_16x8x
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(3 downto 0));
end component;
-- Configuration specification
for all : wrapped_fifo_16x8x use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
generic map(
c_rd_freq => 100,
c_wr_response_latency => 1,
c_has_srst => 0,
c_has_rd_data_count => 0,
c_din_width => 8,
c_has_wr_data_count => 1,
c_implementation_type => 2,
c_family => "spartan3",
c_has_wr_rst => 0,
c_wr_freq => 100,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 8,
c_rd_depth => 16,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 4,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 4,
c_has_almost_empty => 0,
c_rd_data_count_width => 4,
c_enable_rlocs => 0,
c_wr_pntr_width => 4,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 4,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 13,
c_wr_depth => 16,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 14,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "2kx9",
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_16x8x
port map (
din => din,
rd_clk => rd_clk,
rd_en => rd_en,
rst => rst,
wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
full => full,
wr_data_count => wr_data_count);
-- synthesis translate_on
END fifo_16x8x_a;
| gpl-3.0 | 0f77716873721efce40d0effccd190be | 0.562956 | 3.474952 | false | false | false | false |
estadofinito/biblioteca-vhdl | todos-los-archivos/reloj.vhd | 2 | 2,426 | ----------------------------------------------------------------------------------
-- Compañía: Estado Finito
-- Ingeniero: Carlos Ramos
--
-- Fecha de creación: 2012/10/26 21:01:42
-- Nombre del módulo: reloj - Behavioral
-- Descripción:
-- Une el contador del reloj con los divisores de frecuencia y el controlador
-- de siete segmentos completo para mostrar la hora en una tarjeta Basys2.
-- Comentarios adicionales:
-- Se puede encontrar más información en la siguiente dirección:
-- http://www.estadofinito.com/reloj-digital/
-- Revisión:
-- Revisión 0.01 - Archivo creado.
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity reloj is
PORT(
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
salida: OUT STD_LOGIC_VECTOR(7 downto 0);
MUX : OUT STD_LOGIC_VECTOR(3 downto 0)
);
end reloj;
architecture Behavioral of reloj is
COMPONENT clk1Hz IS
PORT (
entrada: IN STD_LOGIC;
reset : IN STD_LOGIC;
salida : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT contador_reloj IS
PORT (
clk : IN STD_LOGIC;
reset: IN STD_LOGIC;
H1 : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
H0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
M1 : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
M0 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT siete_segmentos_completo IS
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
D0 : IN STD_LOGIC_VECTOR(5 downto 0);
D1 : IN STD_LOGIC_VECTOR(5 downto 0);
D2 : IN STD_LOGIC_VECTOR(5 downto 0);
D3 : IN STD_LOGIC_VECTOR(5 downto 0);
salida: OUT STD_LOGIC_VECTOR(7 downto 0);
MUX : OUT STD_LOGIC_VECTOR(3 downto 0)
);
END COMPONENT;
signal clk_out : STD_LOGIC := '0';
signal HH1, MM1: STD_LOGIC_VECTOR(2 downto 0);
signal HH0, MM0: STD_LOGIC_VECTOR(3 downto 0);
signal pHH1, pHH0, pMM1, pMM0: STD_LOGIC_VECTOR(5 downto 0);
begin
--PORT MAPs necesarios para habilitar el reloj.
clk_i: clk1Hz PORT MAP(clk, reset, clk_out);
cnt_i: contador_reloj PORT MAP(clk_out, reset, HH1, HH0, MM1, MM0);
seg_i: siete_segmentos_completo PORT MAP(clk, reset, pMM0, pMM1, pHH0, pHH1, salida, MUX);
--Padding de las señales del contador para siete segmentos.
pHH1 <= "000" & HH1;
pHH0 <= "00" & HH0;
pMM1 <= "000" & MM1;
pMM0 <= "00" & MM0;
end Behavioral; | lgpl-2.1 | 9d76d6d9cfea1c8e91d647731cf43262 | 0.603462 | 2.962149 | false | false | false | false |
steveEECSrubin/usc_projects | ABB/predefined_sequence.vhd | 1 | 4,172 | -- predefined sequence
-- receiver
entity FRAME_CHECK is
port
(
-- User Interface
RX_DATA : in std_logic_vector(7 downto 0);
RX_CHAR_IS_K_IN : in std_logic;
-- System Interface
USER_CLK : in std_logic;
RESET : in std_logic;
ERROR_COUNT : out std_logic_vector(7 downto 0)
);
--...
signal error : std_logic := '0';
signal STATE_i : std_logic_vector(3 downto 0);
attribute keep: string;
attribute keep of error : signal is "true";
attribute keep of rx_data_r : signal is "true";
--...
--We count the total number of errors we detect. By keeping a count we make it less likely that we will miss
--errors we did not directly observe. This counter must be reset when it reaches its max value
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(start_toggling_r = '0') then
error_count_r <= (others=>'0') after DLY;
elsif(error_detected_r='1') then
error_count_r <= error_count_r + 1 after DLY;
end if;
end if;
if (USER_CLK 'event and USER_CLK = '1') then
if (RX_CHAR_IS_K_IN = '0') then -- if it is not BC
if ((RX_DATA /= x"AA") and (RX_DATA /= x"55") and (RX_DATA /= x"0F") and (RX_DATA /= x"F0") and (RX_DATA /= x"CC") and (RX_DATA /= x"33") and (RX_DATA /= x"BC") and (RX_DATA /= x"F7")) then
error <= '1';
else
error <= '0';
end if;
end if;
end if;
end process;
-- transmitter
signal STATE_i : std_logic_vector(3 downto 0);
signal counter : std_logic_vector(31 downto 0);
--______________________________ Transmit Data __________________________________
--Assign TX_DATA to data register or align char based on the value
TX_DATA <= tx_d_r when (send_align_r='0') else
align_char_c;
TX_CHARISK <= tied_to_ground_i when (send_align_r='0') else
control_bits_c;
--Transmit data when send_align_r is de-asserted. Data is right shifted every cycle.
process(USER_CLK)
begin
if(USER_CLK'event and USER_CLK = '1') then
if(RESET = '1') then
tx_d_r <= x"BC" after DLY;
counter <= x"00000000";
STATE_i <= x"0";
elsif (send_align_r = '0') then
if (STATE_i = x"0") then
STATE_i <= x"1";
tx_d_r <= x"F0";
else
case counter is
when x"00000000" => tx_d_r <= x"AA"; counter <= counter + x"00000001";
when x"00000001" => tx_d_r <= x"55"; counter <= counter + x"00000001";
when x"00000002" => tx_d_r <= x"0F"; counter <= counter + x"00000001";
when x"00000003" => tx_d_r <= x"CC"; counter <= counter + x"00000001";
when x"00000004" => tx_d_r <= x"F7"; counter <= counter + x"00000001";
when x"00000005" => tx_d_r <= x"F7"; counter <= counter + x"00000001";
when x"00000006" => tx_d_r <= x"33"; counter <= counter + x"00000001";
when x"00000007" => tx_d_r <= x"AA"; counter <= counter + x"00000001";
when x"00000008" => tx_d_r <= x"55"; counter <= counter + x"00000001";
when x"00000009" => tx_d_r <= x"0F"; counter <= counter + x"00000001";
when x"0000000A" => tx_d_r <= x"CC"; counter <= counter + x"00000001";
when x"0000000B" => tx_d_r <= x"F7"; counter <= counter + x"00000001";
when x"0000000C" => tx_d_r <= x"F7"; counter <= counter + x"00000001";
when x"0000000D" => tx_d_r <= x"33"; counter <= counter + x"00000001";
when others => tx_d_r <= x"F0"; counter <= x"00000000"; STATE_i <= x"0";
end case;
end if;
end if;
end if;
end process;
| mit | 8247bec8e16ea8fef13fc69c85492edb | 0.477709 | 3.602763 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/pdi_par.vhd | 5 | 13,482 | ------------------------------------------------------------------------------------------------------------------------
-- Parallel port (8/16bit) for PDI
--
-- Copyright (C) 2010 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2010-08-31 V0.01 zelenkaj First version
-- 2010-10-18 V0.02 zelenkaj added selection Big/Little Endian
-- use bidirectional data bus
-- 2010-11-15 V0.03 zelenkaj bug fix for 16bit parallel interface
-- 2010-11-23 V0.04 zelenkaj added 2 GPIO pins driving "00"
-- 2010-11-29 V0.05 zelenkaj full endianness consideration
-- 2011-03-21 V0.06 zelenkaj clean up
-- 2011-04-04 V0.10 zelenkaj change of concept
-- 2011-12-02 V0.11 zelenkaj Added I, O and T instead of IO ports
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
entity pdi_par is
generic (
papDataWidth_g : integer := 8;
--16bit data is big endian if true
papBigEnd_g : boolean := false;
papGenIoBuf_g : boolean := true
);
port (
-- 8/16bit parallel
pap_cs : in std_logic;
pap_rd : in std_logic;
pap_wr : in std_logic;
pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0);
pap_addr : in std_logic_vector(15 downto 0);
pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0);
pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0');
pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0);
pap_data_T : out std_logic;
pap_ack : out std_logic;
-- clock for AP side
ap_reset : in std_logic;
ap_clk : in std_logic;
-- Avalon Slave Interface for AP
ap_chipselect : out std_logic;
ap_read : out std_logic;
ap_write : out std_logic;
ap_byteenable : out std_logic_vector(3 DOWNTO 0);
ap_address : out std_logic_vector(12 DOWNTO 0);
ap_writedata : out std_logic_vector(31 DOWNTO 0);
ap_readdata : in std_logic_vector(31 DOWNTO 0);
-- GPIO
pap_gpio : inout std_logic_vector(1 downto 0);
pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0');
pap_gpio_O : out std_logic_vector(1 downto 0);
pap_gpio_T : out std_logic_vector(1 downto 0)
);
end entity pdi_par;
architecture rtl of pdi_par is
signal ap_byteenable_s : std_logic_vector(ap_byteenable'range);
signal ap_write_s : std_logic;
signal pap_gpiooe_s : std_logic_vector(pap_gpio'range);
--signals being sync'd to ap_clk
signal pap_wrdata_s : std_logic_vector(pap_data'range);
signal pap_wrdata_ss : std_logic_vector(pap_data'range);
signal pap_rddata_s : std_logic_vector(pap_data'range);
signal pap_rddata_ss : std_logic_vector(pap_data'range);
signal pap_addr_s : std_logic_vector(pap_addr'range);
signal pap_cs_s : std_logic;
signal pap_rd_s : std_logic; --and with cs
signal pap_wr_s : std_logic; --and with cs
signal pap_be_s : std_logic_vector(pap_be'range);
--write register
signal writeRegister : std_logic_vector(pap_data'range);
--data tri state buffer
signal pap_doe_s : std_logic;
signal tsb_cnt, tsb_cnt_next : std_logic_vector(1 downto 0);
begin
--reserved for further features not yet defined
genIoGpBuf : if papGenIoBuf_g generate
begin
pap_gpio <= "00" when pap_gpiooe_s = "11" else (others => 'Z');
end generate;
pap_gpiooe_s <= (others => '1');
pap_gpio_O <= "00";
pap_gpio_T <= not pap_gpiooe_s; --'1' = In, '0' = Out
-------------------------------------------------------------------------------------
-- tri-state buffer
genIoDatBuf : if papGenIoBuf_g generate
begin
pap_data <= pap_rddata_s when pap_doe_s = '1' else (others => 'Z');
end generate;
pap_data_O <= pap_rddata_s;
pap_data_T <= not pap_doe_s; --'1' = In, '0' = Out
-- write data register
-- latches data at falling edge of pap_wr if pap_cs is set
theWrDataReg : process(pap_wr, ap_reset)
begin
if ap_reset = '1' then
writeRegister <= (others => '0');
elsif pap_wr = '0' and pap_wr'event then
if pap_cs = '1' then
if papGenIoBuf_g then
writeRegister <= pap_data;
else
writeRegister <= pap_data_I;
end if;
end if;
end if;
end process;
--
-------------------------------------------------------------------------------------
ap_address <= pap_addr_s(ap_address'left+2 downto 2);
-------------------------------------------------------------------------------------
-- generate write and read strobes and chipselect
-- note: pap_cs_s is already and'd with pap_rd_s and pap_wr_s
--falling edge latches write data, sync'd write strobe falls too
wrEdgeDet : entity work.edgeDet
port map (
din => pap_wr_s,
rising => open,
falling => ap_write_s,
any => open,
clk => ap_clk,
rst => ap_reset
);
ap_write <= ap_write_s;
--use the timeout counter highest bit
ap_read <= pap_rd_s;
ap_chipselect <= pap_cs_s;
--
-------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------
-- generate ack signal
pap_ack <= pap_doe_s or ap_write_s;
--
-------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------
-- generate output enable signal for tri state buffer (with timeout)
pap_doe_s <= tsb_cnt(tsb_cnt'left) and pap_rd_s;
triStatBufCnt : process(ap_clk, ap_reset)
begin
if ap_reset = '1' then
tsb_cnt <= (others => '0');
elsif ap_clk = '1' and ap_clk'event then
tsb_cnt <= tsb_cnt_next;
end if;
end process;
tsb_cnt_next <= tsb_cnt when pap_doe_s = '1' else
tsb_cnt + 1 when pap_rd_s = '1' else
(others => '0');
--
-------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------
-- generate 8 or 16 bit signals
gen8bitSigs : if papDataWidth_g = 8 generate
ap_byteenable_s <= --little endian
"0001" when pap_addr_s(1 downto 0) = "00" and papBigEnd_g = false else
"0010" when pap_addr_s(1 downto 0) = "01" and papBigEnd_g = false else
"0100" when pap_addr_s(1 downto 0) = "10" and papBigEnd_g = false else
"1000" when pap_addr_s(1 downto 0) = "11" and papBigEnd_g = false else
--big endian
"0001" when pap_addr_s(1 downto 0) = "11" and papBigEnd_g = true else
"0010" when pap_addr_s(1 downto 0) = "10" and papBigEnd_g = true else
"0100" when pap_addr_s(1 downto 0) = "01" and papBigEnd_g = true else
"1000" when pap_addr_s(1 downto 0) = "00" and papBigEnd_g = true else
(others => '0');
ap_byteenable <= ap_byteenable_s;
ap_writedata <= pap_wrdata_s & pap_wrdata_s & pap_wrdata_s & pap_wrdata_s;
pap_rddata_s <= ap_readdata( 7 downto 0) when ap_byteenable_s = "0001" else
ap_readdata(15 downto 8) when ap_byteenable_s = "0010" else
ap_readdata(23 downto 16) when ap_byteenable_s = "0100" else
ap_readdata(31 downto 24) when ap_byteenable_s = "1000" else
(others => '0');
end generate gen8bitSigs;
genBeSigs16bit : if papDataWidth_g = 16 generate
ap_byteenable_s <= --little endian
"0001" when pap_addr_s(1 downto 1) = "0" and pap_be_s = "01" and papBigEnd_g = false else
"0010" when pap_addr_s(1 downto 1) = "0" and pap_be_s = "10" and papBigEnd_g = false else
"0011" when pap_addr_s(1 downto 1) = "0" and pap_be_s = "11" and papBigEnd_g = false else
"0100" when pap_addr_s(1 downto 1) = "1" and pap_be_s = "01" and papBigEnd_g = false else
"1000" when pap_addr_s(1 downto 1) = "1" and pap_be_s = "10" and papBigEnd_g = false else
"1100" when pap_addr_s(1 downto 1) = "1" and pap_be_s = "11" and papBigEnd_g = false else
--big endian
"0001" when pap_addr_s(1 downto 1) = "1" and pap_be_s = "10" and papBigEnd_g = true else
"0010" when pap_addr_s(1 downto 1) = "1" and pap_be_s = "01" and papBigEnd_g = true else
"0011" when pap_addr_s(1 downto 1) = "1" and pap_be_s = "00" and papBigEnd_g = true else
"0100" when pap_addr_s(1 downto 1) = "0" and pap_be_s = "10" and papBigEnd_g = true else
"1000" when pap_addr_s(1 downto 1) = "0" and pap_be_s = "01" and papBigEnd_g = true else
"1100" when pap_addr_s(1 downto 1) = "0" and pap_be_s = "00" and papBigEnd_g = true else
(others => '0');
ap_byteenable <= ap_byteenable_s;
pap_wrdata_ss <= pap_wrdata_s when papBigEnd_g = false else
pap_wrdata_s(7 downto 0) & pap_wrdata_s(15 downto 8);
ap_writedata <= pap_wrdata_ss & pap_wrdata_ss;
pap_rddata_ss <= ap_readdata( 7 downto 0) & ap_readdata( 7 downto 0) when ap_byteenable_s = "0001" else
ap_readdata(15 downto 8) & ap_readdata(15 downto 8) when ap_byteenable_s = "0010" else
ap_readdata(15 downto 0) when ap_byteenable_s = "0011" else
ap_readdata(23 downto 16) & ap_readdata(23 downto 16) when ap_byteenable_s = "0100" else
ap_readdata(31 downto 24) & ap_readdata(31 downto 24) when ap_byteenable_s = "1000" else
ap_readdata(31 downto 16) when ap_byteenable_s = "1100" else
(others => '0');
pap_rddata_s <= pap_rddata_ss when papBigEnd_g = false else
pap_rddata_ss(7 downto 0) & pap_rddata_ss(15 downto 8);
end generate genBeSigs16bit;
--
-------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------
--sync those signals
syncAddrGen : for i in pap_addr'range generate
syncAddr : entity work.sync
port map (
din => pap_addr(i),
dout => pap_addr_s(i),
clk => ap_clk,
rst => ap_reset
);
end generate;
syncBeGen : for i in pap_be'range generate
syncBe : entity work.sync
port map (
din => pap_be(i),
dout => pap_be_s(i),
clk => ap_clk,
rst => ap_reset
);
end generate;
syncWrRegGen : for i in writeRegister'range generate
syncWrReg : entity work.sync
port map (
din => writeRegister(i),
dout => pap_wrdata_s(i),
clk => ap_clk,
rst => ap_reset
);
end generate;
theMagicBlock : block
signal pap_rd_tmp, pap_wr_tmp, pap_cs_tmp : std_logic;
begin
syncCs : entity work.sync
port map (
din => pap_cs,
dout => pap_cs_tmp,
clk => ap_clk,
rst => ap_reset
);
pap_cs_s <= pap_cs_tmp;
syncRd : entity work.sync
port map (
din => pap_rd,
dout => pap_rd_tmp,
clk => ap_clk,
rst => ap_reset
);
pap_rd_s <= pap_rd_tmp and pap_cs_tmp;
syncWr : entity work.sync
port map (
din => pap_wr,
dout => pap_wr_tmp,
clk => ap_clk,
rst => ap_reset
);
pap_wr_s <= pap_wr_tmp and pap_cs_tmp;
end block;
--
-------------------------------------------------------------------------------------
end architecture rtl;
| gpl-2.0 | bbb35f0f2514279d73e8d64e7ed20cd2 | 0.540795 | 3.297945 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/pdi_dpr_Xilinx.vhd | 2 | 3,683 | ------------------------------------------------------------------------------------------------------------------------
-- Process Data Interface (PDI) DPR for Xilinx
--
-- Copyright (C) 2011 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-11-17 V0.01 zelenkaj First version
-- 2011-12-06 V0.02 zelenkaj Uses openMAC DPR implementation
------------------------------------------------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY pdi_dpr IS
GENERIC
(
NUM_WORDS : INTEGER := 1024;
LOG2_NUM_WORDS : INTEGER := 10
);
PORT
(
address_a : IN STD_LOGIC_VECTOR (LOG2_NUM_WORDS-1 DOWNTO 0);
address_b : IN STD_LOGIC_VECTOR (LOG2_NUM_WORDS-1 DOWNTO 0);
byteena_a : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1');
byteena_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1');
clock_a : IN STD_LOGIC := '1';
clock_b : IN STD_LOGIC ;
data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
wren_a : IN STD_LOGIC := '0';
wren_b : IN STD_LOGIC := '0';
q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0);
q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
);
END pdi_dpr;
architecture struct of pdi_dpr is
constant cActivated : std_logic := '1';
begin
abuseMacDpr : entity work.dc_dpr_be
generic map (
WIDTH => data_a'length,
SIZE => NUM_WORDS,
ADDRWIDTH => LOG2_NUM_WORDS
)
port map (
clkA => clock_a, clkB => clock_b,
enA => cActivated, enB => cActivated,
addrA => address_a, addrB => address_b,
diA => data_a, diB => data_b,
doA => q_a, doB => q_b,
weA => wren_a, weB => wren_b,
beA => byteena_a, beB => byteena_b
);
end architecture struct;
| gpl-2.0 | a12634111c109d5d5b4d98dbafdc3f96 | 0.573174 | 3.727733 | false | false | false | false |
DougFirErickson/parallella-hw | fpga/ip/xilinx/fifo_async_103x32/fifo_generator_v12_0/hdl/ramfifo/bram_sync_reg.vhd | 6 | 7,904 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
lz3B4KHX5z7HJK6kHiZGMmcEnUqLtTRT/n7HdY7szClNEEBtVq2UQW/wdwwMN27AnOLZPVfuS67c
Y2O4fk1xOw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUoXLY9rVEqAKiJgtR19Q8FIQUm9wPmLFXF2sem6w9gJVRflCYIHWjOAqv6eppRvqeqcjaja3KKN
iRxsDXzkmdVb18CNyYXYPgZU4MySqAPoAE8BZ3alC446EKqG5bo3Faah4iFiaQ2fsSYQDhznQFWV
FIedseAJGSJjdgeT43M=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHuGx6phwwi065A2gw0E1Tqc2OLDUoohEHY7mOoJcUQwvr9OEJ4yz01Uls3wx2UOc24N+ANXe8aM
YdyfwspjYSBviz8nI/XUT5fPMjNbtL8HFChLorcX+K00Sc+A9m1I9+5W+Wd6GLSKBCVYKnWRn9Os
rc68y/GTowadTW08aEEccqOavDD8XG+R6gQqGpi5C8xq75oqBRmE5yNpxpBXxQRz9mmAsJcZ773H
BpObF8UUngkYlRzDjfxz3vzf6lVAPrLm55l1zEsel1LRtdqlRT8kBTrz1kke43v4c6xNv0u+i1Y0
dvxmNCEmLNrwBuVbcA8l6Jjp0k0WZScEgrEOCA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4sCk5d4E+rPjLUhUiUrzCNkXo2ztvWgfU4Ic3n3YDGHZzWC7cjzTKSJroiCXwtIaQEIL5FpdrGOo
eHf9JlqikZvG/pLSpSZr6BTZioOpsjgI4CJq9n0wGhpyClKm24hGzYEPH8AkBs4wVmgt4sOHvyYc
mYqTUQDFFlehrx6Wh0E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cjjanW9F+fseEMt2SDd6R3KYZVrfLHKeq8ULFHbP0E7BiwY4Vkec6zVJkc5FOAAhZdR5Ywc2FOnS
jk9bJ37QuAeSdAcrSzysHiIJYxA3kbMVuIa63kiSn3dKlLmPc1gZ2/UtM3HTBff0RPQzxl944kH8
SUid8bQM/bx+7wxLnTLuo6uTok/+c8ipzvZZ5iJ9DgzZyHiiuOtKu8JWNRVw1P5d1QqQT3EZ7Q8j
fnqcUNAmoR2w1hlmAhXTJgZbpiKUcMF+Y9/twpUzFl3rdEE6PKGzb5YQ/Re4uf+MJU96/KSTzmBR
Xfe8WjI4zLk+NlEm8eNku5cgYGTA1pkwApl+6w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4112)
`protect data_block
PKlpisMKFINH4hoELw81AZ18VK2SvwsFtcxQndvji2Q812Egu8hqrmetq4S243WkBDD9FhRToFg6
onHaxQP5TKyzzRgqIZObMAE4mNLd0EGqTn3aqDGu6+Bsh/RCIfB6TL+BBUz3RFojPS3lN1n3eMrk
a93sVEpS/1D3JftFdyKbdjPhwe46LiLECdthKhiH9ZVNFCw4Ws6ZCd4/aw4VY1Hll/ZsuUnuTN6Z
Buk6q5S+1ihuZnv77eYn29yyd1+zhGh2XJ+HHt1pb/tkuTDIiYYiVeUIEI+Gqw7Xn3KbAne2513a
DuHR4xjZmugYddNEaOdyLeE4MvXdA932ThmdnrzEnPKSPfrMPicMVA8p8OGyKda3NYay7/eN6ULn
I8HkDmpm4uBro5HqFBtKSR51XEjJHFs73T9If36M29apt8KT+ZOJ14V1CMzRdq1JqoOaQIQTbcFX
MuTCFZo+102r5JB6csdLUv/tPgSHSBRizX1LySzTWNBocwi41jc4x5TFUq2NAAQOqQsV+n62GkAW
GkrzDJEuhDpBgSVtrrtq81IWTP1kSu2x5K8rCJhIqbYpXdhllqhNJEJhfQ+ozz876sz0x4OqZYMB
mJDYKLwXjXamz55S254LDxH/IgfuXZg5GfJ3GmjKIJ1JsNJeW4fk+VYbI2huKgkMvqlyORrCkbKT
pjIRMKJ8AMpeOnUzKN1cZOa0vXBve1kR6W1b2anq1FiexGEw92y71xxCUV02k5wHGJDIndnRRbFm
mO/acdyx0xcqDMIv/NQEwOVB5THL/avxp05FgqyiZhzHnp6oOWo9zre+AzPzxNDamPHHrLvWvTtL
MAM9+AhpxQUOUj6b9gaBL730L5WxnnZ5WwRDsCpCVPnUkI5+bNTrXTP+E+ru3ugtJKdFAfWe43Sv
trGWgC0cm9o2WdhvbmGgHPDpQYbLuXktH8Rw7Z78PkfTMwSu2z+bZsbHNYUmQDTKjjfFZ776QLFk
cewnTFRXvUv/3mKOtvRibJgtr+RKDI1QTl58s2vTK71sOHenFcVslJ+yR+iYlnTABhli7v3fEA0o
zrwf3folOg0LOKaAqY1Hxiz0ZY9fIRTAXYGrKK7aGyKEhcPeCPI5VZ7PfqmDoJ6Hull8GBvEsZki
Ry8PtjwTc8/2YT7yBn3l5FQAp+k8JpDiyK9wBxhXBePDUHEhM1GeB6mrld/7HI+G8FTfdxTbBcQ8
/E4qlEuZjZk4lkCIIy99WuYspwq9rgbwFdyU2ZPsvv22KsGkaaRtU2G+hxFlfYPbPq8tAfAOn0mW
8J4BtcdaV8vNGZ4WbZ+/9v3CP9GvU3zTbobTPzNYODvOcfIQ+4B7YR/GrCA0itiwQPCYT9DnIybR
iD6Y2h7LWVMR2s4pn6pIYAQVRbyuH7VAihsBRa8ZSH1FJrzaCM8JR/Lldm7d7LjhPw8gcFu7FSIC
B56OcX8lxZO3UoNx4ED67ACbS0Sme7zmJbAE6FWoIsp1mIKYWL+F0XzV6ssq4IYMmu1svTIObQCG
PBvZ85WhALHB2bGirdmKk7KurH77WvEy3JxIRZI5uOI/dWqNJggl23x61WPlREVJRYgrghybY3GW
7MxOUa/isTmUZJd/1IDDlyyILSldJ+ja7wJC4diAXqzPqNbwZNQH9z7fb93l5QZxf2A74H07OQ7H
Of6sybF72dK8Uqc8xMk54H07/RRg4s+ZQE/NVPODtcQNnFYp5DU4UiksEl4YAbUGfvzanebkBo+a
cEYgHkmg1vUJ5LI4o9fIu8DCUZQqMj7Gc0wdjMxWpYnHz5n3VWLn6L0z3RfZhObJwizudw6IiLda
wu4qx5lloc3JAxpiMvgj8+RAFyoXV7cPeskqWYhJw7yJMDN0ImtxL/QaGUkC88A7hTv8fVzsa1KP
v3vR4yWH2mwh4N8EH9JBjzkRadfSn3rP+K9a9oiSJnGPiSpFqSQBNnf0zMteUW1aQCxYqXcoJNE7
g8UOZK+e0Zs4lC52uucCXkWa85sQnFE/uRtpBItOnh4htoeZ4IdCfMlaPL9gdykOiC11WVU60SJ8
P6Ntf3oJswtwARW+jUH/kmBqxi6EiYLnHMyrrUfuPUiJUlBeHVjKHl4RW/K+OiSvATT212pxpli8
xiBK2IkO29/Q2s1Bsu1mKrF3U1ZYaaVct7TM+BMqQo3a3BLiDaS4iOQ7CspUz3wkvIiefjFyWGIb
BoXYhIteh+bzv96mCzi1xBldhE4kw3W6UBcA+MR49USlP55rHNjEhxP5Tb/zmrGrdM9L8i18bIqj
hD2ZxgCISKhtd+OgWr26n/eAjpcI+2G7tFxLHa/PLcwkHssA44ym8i6eSBa1Xd3VAvN+B99juN0+
lrmsv7wcvwZ3oo/M3IGvSnT4eDALzte570kdMS5BpYzRSMKRkrh6D8+2IbpLBpVuP3ACcv5dAL92
d5xxfteDYq2wRUSEjkbMII9WTnFAgmXPbYCVcNJ+W9tJRt6DvmJ8BlsKTl8tQ3umswo9qnHtK4Oz
CTE6yufUU+yJp0PA+z9kn2c+ub4bEFpX40+ajlVjeNo9UNEfPINS8nWKQBHDQP/LQH05O06m+V3m
lwKlbLCIHXWelkLq7N5s08kf1o25MkMYfW5PIhhdCndeohq3oh3p36zAX8MO12w9LG0kd7kitVMP
ojxfVdlfKZEJ50pC8q64KsA8hnklevE20IRcnii1kAZ0XS/qB3NAId93SH8gK/3LYKJ/Vw1Ym0Vz
UQka6+qzyILTV8124ZIUMkKRSaatE2n2NyiBLVb1rKm1kkpspgRz7eaJ29ZTYf1kHyYKwU/8vDBD
GewP3MEbA9wzSpCSWZvxhrbWEobmkbFcsZvtINmGNEqeTZd2pbHnRWQxJE+c5tGzlS9iz85nKP3i
EWq3x4iWwtxtXuInR9akhsCWuexU7bUEpED3W6Ag3wqo8z1jAyG6LHuDlQcnmx6WRyeT0BCx9X8y
3gm3FpdRiHYN5MXzF3QSywbKUCA0vmZeXIppCol4lDtwWfgSlrCP+Cjp2/T1YpQ9zWoj+Wc62nIg
1G6yFr8yNuIYXCH7IevmLg4ugDt9yhM8nzxphwc7/PdYa4c0LJ5wb1HnXuZzas6de3p4LjsB+IMI
SaH9p33tFZ59zge/QQ8plscOw0jfXUSipVbaNYtb+/p60dTZNZAkYNF4v/6pBE7CCfCx2axC5+wD
DYopuOYvmgkyNiaXewvCnwnPrLbMCtxUi15ZjFWY3GwD42927gmS9JT+ZBGH7KD4oeGDuQsKFyHU
s7tbN0HaKpvu+ZovmdrYhR1leCsda03peOzayPl8cNwmQR/Ip6atdsjE30RywLLWQmmKWLSE6I0Q
JQtLRvuXKX+EeiQrEvGu0N2hWYjAVjjSfRqSGszPCgc2yPd/ZQRDX5P8xBLfCKeX/XZEeE4HFDoA
qdExzxOg7HaFYI01RzIBDNmnEij3eqZIgvksrHbV4QPA0u0uBlID79lZYSi9T505EoYzaS1C4K7A
T89pv7SzRshmMdcuNWhMjxVkzEYo9FRR49nsIL9YBEGNW9XS73z2M642jf4V0eim8wRg5a32Vejc
CkV/fVwHhbz6qJ3+z8O895tQ2Wsriw+WPccjag0CFRor7PoYhoHigvhuS27rsFhODxi6nKa3k8SF
7Gs9CxIM8zMxK+M2+biz3AmWq3doWeR3U7y5wbMIfRXDNfmR4x4PQLbq51IFcyZlETWbQYrgdCJz
x08FSmfjcGG80I8aYSNnqIwk2JSMTqaODd/RkpVVRiV141k7wNPrvTduWHjo2puWjKNY9pMP4yy4
W9ijCwEUYPCxuuck5y/IRsIID4+dZBcTEMtjwjkYrhAatrVmW32NKdsqS+SphvtvgF1swMcIZ9Fl
JqqBh2w4ZLtYCMxyce5zll83dJ72WCFekmrnzxkvi+mItN2NK0tRI/Cpee10om1zGwAt0qfUCI/1
VuJCcNCBlY9wUui//EOTux9dWeezAwTjMZx5bkhvaifMqC0bxBlfaSxneqDBmvwDBYpb/Gh42XCX
XhWfVIArdwAp4kUTpdh8SixKzPeZayLyJ4JJxNcm181yM2v6mL29bHfp1OnBusuDd3GyK5TfQT7r
DM/ieTc11CpCC9SzgiuDWm7dch9Dz3QOnco+oRTdMRkRKlFrz0tvA9I21SaOsmZH3SlBoArTi/a3
h0LWs6Zhcrg+8Mz5ezJ2/SwWhuQ6U8JAZ13Q+VtdWtqWIAxBp93d3N1Ve8ZkCGifbV6fDA8vd3FL
J6WCMpSB0J+AuIIti5jrt8+dKgneF8L+yUpCu1KUwh1z07BdIMhl7VD3B+uVXCjwhrH2+kENPrhv
Du3oZ+2vT/hbYKXsBeNqOchm5TESP/6TBmgy9ItcFR34XSpnZ6SvWFnY16wxbKevqQXxXFfLq2L1
qoJ4kgjvxyJJVd/XhtBhxEusc03OsxnqMOMeRaP4setZ7Ac+SZn6qhVXf6S3Kza+oRe2G3LPD4NN
pX+pUJYJHXtf3DCmW/O7Y3rqgWwtBaTUQaHY9fzR1qnxUanknR9HZigbcGFnLl+7NVq5u29rc0VW
4rvS+kzUfNX3Sa+WDYtllbfqC+MO7+TYKYuf6LXhJmps71a5OeqINjTO01YV41wsPjC0SyXhOt2A
QvBUsoixDdUxiP6oavvDjkQYEmS2kHrSpyAzeaMkyeUDmx0bsOlqeEDobdKDV04tcgUcPktGS3qh
5xzfX8GgkI4gR3adFqm1zjSZ4n6j/kiZMywC9FdGKaXmoAPLNHuRG/i24iYsMWSM+6PtMxCbVWWQ
eU7zZY8dDhr6z+lC9DYsG9tQEsvrUtDL9LJ5DmEuOj9DSYdrQkvthrxLVdGJkhTyD2AmWvMp8UwO
S6i5dyAKNh1tZswNgOqZFvOie8bdRDt8ODAChHJAQV85Lwt/4D2ocFK6jNMngiCXZUri8Mvin94D
AZVdEJI9yB5J2+le8sqOiOcYge9Y9lkmWRCXgnah1w0gv7SL1ufAcAUQdLsMX0m5MKsbglQcldwr
ZQrfku9Rm6N+0CazYNzuvO2/th5Wq8pk4+gSawuLdnJLfYnxH15uuAX5eDrYiw17DPRQfaYq5yAJ
osW7NjqOPbMuhfDwrlUNIsvlrWek2gHbk422/eV8lTRx4Xizh1OGMw204/t37xkLluU9bvB3SdNF
Nzf0zzI2noQeSedv4VTbMRFgNU6/glkJ35i1WUfbadUCaM+f57dhZXwdi4pp4Cs+fTkMa66TH9HX
8j+ikGqOI2FmTx0HC9jBR+i3R2b478uKxAKJR/dNT7SO9DyD0WUImKvRqQNvENJCH6JZuInO1i4c
u/bjdTcYKViycXg6o8U6qJPIm8HqrUtlt51R78XkGr2bwRBXqz1mPUWkKbLAzEkfV57yLblryjiG
33w0OlxzIjAqNDl3zigu/8wV5QwD9YOlM/JB0BjlcJ4/CkrZuSEQpB9FtwgwkKWz8KOZf601gdzk
E4Juc80/RVA=
`protect end_protected
| gpl-3.0 | 18254ac97ec4f5f4aa9122a09dfd4b44 | 0.918269 | 1.927335 | false | false | false | false |
JuanMarcosRamirez/WeightedMedianDisenoLogico | misc/FPGA/otros/auditoría_imagen_16x16/rc_counter.vhd | 1 | 1,039 | --------------------------------------------------------------------------
-- filename: rc_counter.vhd-- author: Tony Nelson-- date: 12/22/99---- detail: row/column counter---- limits: none------------------------------------------- --------------------------------
library IEEE;use IEEE.std_logic_1164.all;
entity rc_counter is
generic (
num_cols: integer:=16;
num_rows: integer:=16
);
port (
Clk : in std_logic;
RSTn : in std_logic;
En : in std_logic;
ColPos : out integer;
RowPos : out integer
);
end rc_counter;
architecture rc_counter of rc_counter is
begin
process(RSTn,Clk,En)
variable ColPos_var: integer:=0;
variable RowPos_var: integer:=0;
begin
if RSTn = '0' then
ColPos_var := -1;
ColPos <= 0;
RowPos_var := 0;
RowPos <= 0;
elsif rising_edge(Clk) then
if En = '1' then
ColPos_var := ColPos_var +1;
if ColPos_var = num_cols then
RowPos_var := RowPos_var +1;
ColPos_var := 0;
if RowPos_var = num_rows then
RowPos_var := 0;
end if;
end if;
ColPos <= ColPos_var;
RowPos <= RowPos_var;
end if;
end if;
end process;
end rc_counter;
| gpl-3.0 | bccbd9dd5304641a035e386f5c3d8469 | 0.590953 | 3.073964 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/OpenMAC_rmii2mii.vhd | 5 | 9,192 | ------------------------------------------------------------------------------------------------------------------------
-- RMII to MII converter
-- ex: openMAC - openHUB - RMII2MII - MII PHY
--
-- Copyright (C) 2009 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Note: Used DPR is specific to Altera/Xilinx. Use one of the following files:
-- OpenMAC_DPR_Altera.vhd
-- OpenMAC_DPR_Xilinx.vhd
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2010-09-13 V0.01 first version
-- 2010-11-15 V0.02 bug fix: increased size of rx fifo, because of errors with marvel 88e1111 mii phy
-- 2010-11-30 V0.03 bug fix: in case of no link some phys confuse tx fifo during tx => aclr fifo
-- 2011-05-06 V0.10 bug fix: use the RX_ER signal, it has important meaning!
-- 2011-07-23 V0.11 forward RxErr to RMII
-- 2011-10-13 V0.20 abuse openMAC_DMAFifo for the converter to use it in Altera/Xilinx easily
-- 2011-11-07 V0.21 increased fifo word size to be on the save side
-- 2011-11-18 V0.22 forward of RxErr not necessary
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity rmii2mii is
port (
clk50 : in std_logic; --used by RMII as well!!!
rst : in std_logic;
--RMII (MAC)
rTxEn : in std_logic;
rTxDat : in std_logic_vector(1 downto 0);
rRxDv : out std_logic;
rRxDat : out std_logic_vector(1 downto 0);
rRxEr : out std_logic;
--MII (PHY)
mTxEn : out std_logic;
mTxDat : out std_logic_vector(3 downto 0);
mTxClk : in std_logic;
mRxDv : in std_logic;
mRxEr : in std_logic;
mRxDat : in std_logic_vector(3 downto 0);
mRxClk : in std_logic
);
end rmii2mii;
architecture rtl of rmii2mii is
constant DIBIT_SIZE : integer := 2;
constant NIBBLE_SIZE : integer := 4;
begin
TX_BLOCK : block
--fifo size must not be larger than 2**5
constant FIFO_NIBBLES_LOG2 : integer := 5;
signal fifo_half, fifo_full, fifo_empty, fifo_valid, fifo_wrempty : std_logic;
signal fifo_wr, fifo_rd : std_logic;
signal fifo_din : std_logic_vector(NIBBLE_SIZE-1 downto 0);
signal fifo_dout : std_logic_vector(NIBBLE_SIZE-1 downto 0);
signal fifo_rdUsedWord : std_logic_vector (FIFO_NIBBLES_LOG2-1 downto 0);
signal fifo_wrUsedWord : std_logic_vector (FIFO_NIBBLES_LOG2-1 downto 0);
--necessary for clr fifo
signal aclr, rTxEn_l : std_logic;
--convert dibits to nibble
signal sel_dibit : std_logic;
signal fifo_din_reg : std_logic_vector(rTxDat'range);
begin
fifo_din <= rTxDat & fifo_din_reg;
fifo_wr <= sel_dibit;
--convert dibits to nibble (to fit to fifo)
process(clk50, rst)
begin
if rst = '1' then
sel_dibit <= '0';
fifo_din_reg <= (others => '0');
elsif clk50 = '1' and clk50'event then
if rTxEn = '1' then
sel_dibit <= not sel_dibit;
if sel_dibit = '0' then
fifo_din_reg <= rTxDat;
end if;
else
sel_dibit <= '0';
end if;
end if;
end process;
mTxDat <= fifo_dout; --brauch ma net... when fifo_valid = '1' else (others => '0');
mTxEn <= fifo_valid;
fifo_half <= fifo_rdUsedWord(fifo_rdUsedWord'left);
process(mTxClk, rst)
begin
if rst = '1' then
fifo_rd <= '0';
fifo_valid <= '0';
elsif mTxClk = '1' and mTxClk'event then
if fifo_rd = '0' and fifo_half = '1' then
fifo_rd <= '1';
elsif fifo_rd = '1' and fifo_empty = '1' then
fifo_rd <= '0';
end if;
if fifo_rd = '1' and fifo_rdUsedWord > conv_std_logic_vector(1, fifo_rdUsedWord'length) then
fifo_valid <= '1';
else
fifo_valid <= '0';
end if;
end if;
end process;
--abuse openMAC's DMA FIFO
theRMII2MII_TXFifo : entity work.openMAC_DMAfifo
generic map (
fifo_data_width_g => NIBBLE_SIZE,
fifo_word_size_g => 2**FIFO_NIBBLES_LOG2,
fifo_word_size_log2_g => FIFO_NIBBLES_LOG2
)
port map (
aclr => aclr,
rd_clk => mTxClk,
wr_clk => clk50,
--read port
rd_req => fifo_rd,
rd_data => fifo_dout,
rd_empty => fifo_empty,
rd_full => open,
rd_usedw => fifo_rdUsedWord,
--write port
wr_req => fifo_wr,
wr_data => fifo_din,
wr_empty => fifo_wrempty,
wr_full => fifo_full,
wr_usedw => fifo_wrUsedWord
);
--sync Mii Tx En (=fifo_valid) to wr clk
process(clk50, rst)
begin
if rst = '1' then
aclr <= '1'; --reset fifo
rTxEn_l <= '0';
elsif clk50 = '1' and clk50'event then
rTxEn_l <= rTxEn;
aclr <= '0'; --default
--clear the full fifo after TX on RMII side is done
if fifo_full = '1' and rTxEn_l = '1' and rTxEn = '0' then
aclr <= '1';
end if;
end if;
end process;
end block;
RX_BLOCK : block
--fifo size must not be larger than 2**5
constant FIFO_NIBBLES_LOG2 : integer := 5;
signal fifo_half, fifo_full, fifo_empty, fifo_valid : std_logic;
signal fifo_wr, fifo_rd : std_logic;
signal fifo_din : std_logic_vector(NIBBLE_SIZE-1 downto 0);
signal fifo_dout : std_logic_vector(NIBBLE_SIZE-1 downto 0);
signal fifo_rdUsedWord : std_logic_vector(FIFO_NIBBLES_LOG2-1 downto 0);
signal fifo_wrUsedWord : std_logic_vector(FIFO_NIBBLES_LOG2-1 downto 0);
--convert nibble to dibits
signal sel_dibit : std_logic;
signal fifo_rd_s : std_logic;
begin
fifo_din <= mRxDat;
fifo_wr <= mRxDv and not mRxEr;
rRxDat <= fifo_dout(fifo_dout'right+1 downto 0) when sel_dibit = '1' else
fifo_dout(fifo_dout'left downto fifo_dout'left-1);
rRxDv <= fifo_valid;
fifo_rd <= fifo_rd_s and not sel_dibit;
process(clk50, rst)
begin
if rst = '1' then
sel_dibit <= '0';
elsif clk50 = '1' and clk50'event then
if fifo_rd_s = '1' or fifo_valid = '1' then
sel_dibit <= not sel_dibit;
else
sel_dibit <= '0';
end if;
end if;
end process;
fifo_half <= fifo_rdUsedWord(fifo_rdUsedWord'left);
rRxEr <= '0';
process(clk50, rst)
begin
if rst = '1' then
fifo_rd_s <= '0';
fifo_valid <= '0';
elsif clk50 = '1' and clk50'event then
if fifo_rd_s = '0' and fifo_half = '1' then
fifo_rd_s <= '1';
elsif fifo_rd_s = '1' and fifo_empty = '1' then
fifo_rd_s <= '0';
end if;
if fifo_rd_s = '1' then
fifo_valid <= '1';
else
fifo_valid <= '0';
end if;
end if;
end process;
--abuse openMAC's DMA FIFO
theMII2RMII_RXFifo : entity work.openMAC_DMAfifo
generic map (
fifo_data_width_g => NIBBLE_SIZE,
fifo_word_size_g => 2**FIFO_NIBBLES_LOG2,
fifo_word_size_log2_g => FIFO_NIBBLES_LOG2
)
port map (
aclr => rst,
rd_clk => clk50,
wr_clk => mRxClk,
--read port
rd_req => fifo_rd,
rd_data => fifo_dout,
rd_empty => fifo_empty,
rd_full => open,
rd_usedw => fifo_rdUsedWord,
--write port
wr_req => fifo_wr,
wr_data => fifo_din,
wr_empty => open,
wr_full => fifo_full,
wr_usedw => fifo_wrUsedWord
);
end block;
end rtl;
| gpl-2.0 | 1b037ff75a5df4fe181d9499dfd7dac2 | 0.582463 | 3.149024 | false | false | false | false |
hgunicamp/Mips8B | src_test/tests/simulacoes/test_Mips_Processor-slt2.vhdl | 1 | 5,312 | -- Teste geral para a estrutura do Processador Mips8B
Library Ieee;
Use Ieee.Std_Logic_1164.all;
Use Ieee.Numeric_Std.all;
Entity test_processor is
End Entity test_processor;
Architecture test_general of test_processor is
Component Mips8B is
Port(Reset_n: In Std_Logic;
Clock: In Std_Logic;
MAddr: Out Std_Logic_Vector(7 downto 0);
MCmd: Out Std_Logic_Vector(1 downto 0);
MData: Out Std_Logic_Vector(7 downto 0);
SData: In Std_Logic_Vector(7 downto 0);
SCmdAccept: In Std_Logic);
End Component Mips8B;
Type Memory_Array is Array(Natural Range <>) of Std_Logic_Vector(7 downto 0);
Use Work.MIPS8B_Base.ocpIDLE_little;
Use Work.MIPS8B_Base.ocpWR_little;
Use Work.MIPS8B_Base.ocpRD_little;
Use Work.MIPS8B_Base.ocpNULL_little;
Use Work.MIPS8B_Base.ocpDVA_little;
Signal Reset_n: Std_Logic;
Signal Clock: Std_Logic := '0';
Signal Clock_Mem: Std_Logic := '0';
Signal MAddr: Std_Logic_Vector(7 downto 0);
Signal MCmd: Std_Logic_Vector(1 downto 0);
Signal MData: Std_Logic_Vector(7 downto 0);
Signal SData: Std_Logic_Vector(7 downto 0);
Signal SCmdAccept: Std_Logic;
Begin
Reset_n <= '1', '0' after 20 ns, '1' after 40 ns;
Clock <= not Clock after 10 ns;
Clock_Mem <= not Clock_Mem after 15 ns;
Memory: Process
Variable int_SCmdAccept: Std_Logic;
Variable address: Unsigned(7 downto 0);
Variable mem_int: Memory_Array(0 to 255) := (
"00100000", "00000001", "00000000", "01111111",
"00100000", "00000010", "00000000", "11111111",
"00100000", "00000011", "00000000", "10000000",
"00100000", "00000100", "00000000", "00000000",
"00100000", "00000101", "00000000", "11111100",
"00100000", "00000110", "00000000", "00000001",
"00100000", "00000111", "00000000", "00010001",
"00000000", "00000111", "00111000", "00101010",
"00000000", "11000000", "00110000", "00101010",
"00000000", "00000101", "00101000", "00101010",
"00000000", "10000000", "00100000", "00101010",
"00000000", "00000011", "00011000", "00101010",
"00000000", "01000000", "00010000", "00101010",
"00000000", "00000010", "00001000", "00101010",
"00100000", "00000001", "00000000", "10000000",
"00100000", "00000010", "00000000", "10000001",
"00100000", "00000011", "00000000", "01111111",
"00100000", "00000100", "00000000", "01111110",
"00000000", "01000001", "00101000", "00101010",
"00000000", "00100010", "00110000", "00101010",
"00000000", "01000011", "00111000", "00101010",
"00000000", "01100010", "00101000", "00101010",
"00000000", "10000011", "00110000", "00101010",
"00000000", "01100100", "00111000", "00101010",
"00000000", "01100001", "00101000", "00101010",
"00000000", "00100011", "00110000", "00101010",
"00100000", "00000100", "00000000", "00000010",
"00100000", "00000101", "00000000", "00000001",
"00100000", "00000110", "00000000", "11111111",
"00100000", "00000111", "00000000", "11111110",
"00000000", "10000101", "00001000", "00101010",
"00000000", "10100100", "00010000", "00101010",
"00000000", "10100110", "00011000", "00101010",
"00000000", "11000101", "00001000", "00101010",
"00000000", "11000111", "00010000", "00101010",
"00000000", "11100110", "00011000", "00101010",
"00000000", "11000100", "00001000", "00101010",
"00000000", "10000110", "00010000", "00101010",
"00000000", "11100101", "00011000", "00101010",
"00000000", "10100111", "00001000", "00101010",
Others => "00000000");
Begin
Wait Until Clock_Mem'Event and Clock_Mem='1';
Case MCmd is
When ocpWR_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
mem_int(to_integer(address)) := MData;
Else
int_SCmdAccept := ocpNULL_little;
End If;
SData <= "ZZZZZZZZ";
When ocpRD_little =>
If int_SCmdAccept = ocpNULL_little then
int_SCmdAccept := ocpDVA_little;
address := Unsigned(MAddr);
SData <= mem_int(to_integer(address));
Else
int_SCmdAccept := ocpNULL_little;
End If;
When Others =>
int_SCmdAccept := ocpNULL_little;
SData <= "ZZZZZZZZ";
End Case;
SCmdAccept <= int_SCmdAccept;
End Process Memory;
DUV: Mips8B
Port Map( Reset_n => Reset_n,
Clock => Clock,
MAddr => MAddr,
MCmd => MCmd,
MData => MData,
SData => SData,
SCmdAccept => SCmdAccept);
End Architecture test_general;
Configuration general_test of test_processor is
For test_general
For DUV: Mips8B Use Configuration Work.Mips8B_struct_conf;
End For;
End For;
End Configuration general_test;
| unlicense | 8378a05de53e795564a04efd4f5e7955 | 0.567959 | 3.849275 | false | true | false | false |
dummylink/plnk_fpga-stack | Examples/xilinx_microblaze/avnet_lx9/pcores/plb_powerlink_v1_00_a/hdl/vhdl/openMAC_DMAmaster.vhd | 5 | 20,401 | -------------------------------------------------------------------------------
--
-- Title : openMAC_DMAmaster
-- Design : POWERLINK
--
-------------------------------------------------------------------------------
--
-- File : C:\git\VHDL_IP-Cores\active_hdl\compile\openMAC_DMAmaster.vhd
-- Generated : Mon Dec 5 07:44:35 2011
-- From : C:\git\VHDL_IP-Cores\active_hdl\src\openMAC_DMAmaster.bde
-- By : Bde2Vhdl ver. 2.6
--
-------------------------------------------------------------------------------
--
-- (c) B&R, 2011
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-------------------------------------------------------------------------------
-- Design unit header --
--
-- This is the toplevel of the openMAC DMA master component.
-- It introduces a generic master device applying burst transfers for
-- RX and TX packet data transfers via a common bus.
--
-------------------------------------------------------------------------------
--
-- 2011-08-03 V0.01 zelenkaj First version
-- 2011-10-13 V0.02 zelenkaj changed names of instances
-- 2011-11-28 V0.03 zelenkaj Added DMA observer
-- 2011-11-29 V0.04 zelenkaj Changed clkXing of Dma Addr
-- 2011-11-30 V0.05 zelenkaj Added generic for DMA observer
-- 2011-12-02 V0.06 zelenkaj Added Dma Req Overflow
-- 2011-12-05 V0.07 zelenkaj Reduced Dma Req overflow
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
use ieee.math_real.log2;
use ieee.math_real.ceil;
entity openMAC_DMAmaster is
generic(
simulate : boolean := false;
dma_highadr_g : integer := 31;
gen_tx_fifo_g : boolean := true;
gen_rx_fifo_g : boolean := true;
m_burstcount_width_g : integer := 4;
m_burstcount_const_g : boolean := true;
m_tx_burst_size_g : integer := 16;
m_rx_burst_size_g : integer := 16;
tx_fifo_word_size_g : integer := 32;
rx_fifo_word_size_g : integer := 32;
fifo_data_width_g : integer := 16;
endian_g : string := "little";
gen_dma_observer_g : boolean := true
);
port(
dma_clk : in std_logic;
dma_req_overflow : in std_logic;
dma_req_rd : in std_logic;
dma_req_wr : in std_logic;
m_clk : in std_logic;
m_readdatavalid : in std_logic;
m_waitrequest : in std_logic;
mac_rx_off : in std_logic;
mac_tx_off : in std_logic;
rst : in std_logic;
dma_addr : in std_logic_vector(dma_highadr_g downto 1);
dma_dout : in std_logic_vector(15 downto 0);
m_readdata : in std_logic_vector(fifo_data_width_g-1 downto 0);
dma_ack_rd : out std_logic;
dma_ack_wr : out std_logic;
dma_rd_err : out std_logic;
dma_wr_err : out std_logic;
m_read : out std_logic;
m_write : out std_logic;
dma_din : out std_logic_vector(15 downto 0);
m_address : out std_logic_vector(dma_highadr_g downto 0);
m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0);
m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0);
m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0);
m_writedata : out std_logic_vector(fifo_data_width_g-1 downto 0)
);
end openMAC_DMAmaster;
architecture strct of openMAC_DMAmaster is
---- Component declarations -----
component dma_handler
generic(
dma_highadr_g : integer := 31;
gen_dma_observer_g : boolean := true;
gen_rx_fifo_g : boolean := true;
gen_tx_fifo_g : boolean := true;
rx_fifo_word_size_log2_g : natural := 5;
tx_fifo_word_size_log2_g : natural := 5
);
port (
dma_addr : in std_logic_vector(dma_highadr_g downto 1);
dma_clk : in std_logic;
dma_req_overflow : in std_logic;
dma_req_rd : in std_logic;
dma_req_wr : in std_logic;
mac_rx_off : in std_logic;
mac_tx_off : in std_logic;
rst : in std_logic;
rx_wr_clk : in std_logic;
rx_wr_empty : in std_logic;
rx_wr_full : in std_logic;
rx_wr_usedw : in std_logic_vector(rx_fifo_word_size_log2_g-1 downto 0);
tx_rd_clk : in std_logic;
tx_rd_empty : in std_logic;
tx_rd_full : in std_logic;
tx_rd_usedw : in std_logic_vector(tx_fifo_word_size_log2_g-1 downto 0);
dma_ack_rd : out std_logic;
dma_ack_wr : out std_logic;
dma_addr_out : out std_logic_vector(dma_highadr_g downto 1);
dma_new_addr_rd : out std_logic;
dma_new_addr_wr : out std_logic;
dma_rd_err : out std_logic;
dma_wr_err : out std_logic;
rx_aclr : out std_logic;
rx_wr_req : out std_logic;
tx_rd_req : out std_logic
);
end component;
component master_handler
generic(
dma_highadr_g : integer := 31;
fifo_data_width_g : integer := 16;
gen_rx_fifo_g : boolean := true;
gen_tx_fifo_g : boolean := true;
m_burst_wr_const_g : boolean := true;
m_burstcount_width_g : integer := 4;
m_rx_burst_size_g : integer := 16;
m_tx_burst_size_g : integer := 16;
rx_fifo_word_size_log2_g : natural := 5;
tx_fifo_word_size_log2_g : natural := 5
);
port (
dma_addr_in : in std_logic_vector(dma_highadr_g downto 1);
dma_new_addr_rd : in std_logic;
dma_new_addr_wr : in std_logic;
m_clk : in std_logic;
m_readdatavalid : in std_logic;
m_waitrequest : in std_logic;
mac_rx_off : in std_logic;
mac_tx_off : in std_logic;
rst : in std_logic;
rx_rd_clk : in std_logic;
rx_rd_empty : in std_logic;
rx_rd_full : in std_logic;
rx_rd_usedw : in std_logic_vector(rx_fifo_word_size_log2_g-1 downto 0);
tx_wr_clk : in std_logic;
tx_wr_empty : in std_logic;
tx_wr_full : in std_logic;
tx_wr_usedw : in std_logic_vector(tx_fifo_word_size_log2_g-1 downto 0);
m_address : out std_logic_vector(dma_highadr_g downto 0);
m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0);
m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0);
m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0);
m_read : out std_logic;
m_write : out std_logic;
rx_rd_req : out std_logic;
tx_aclr : out std_logic;
tx_wr_req : out std_logic
);
end component;
component OpenMAC_DMAFifo
generic(
fifo_data_width_g : natural := 16;
fifo_word_size_g : natural := 32;
fifo_word_size_log2_g : natural := 5
);
port (
aclr : in std_logic;
rd_clk : in std_logic;
rd_req : in std_logic;
wr_clk : in std_logic;
wr_data : in std_logic_vector(fifo_data_width_g-1 downto 0);
wr_req : in std_logic;
rd_data : out std_logic_vector(fifo_data_width_g-1 downto 0);
rd_empty : out std_logic;
rd_full : out std_logic;
rd_usedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0);
wr_empty : out std_logic;
wr_full : out std_logic;
wr_usedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0)
);
end component;
component slow2fastSync
generic(
doSync_g : boolean := TRUE
);
port (
clkDst : in std_logic;
clkSrc : in std_logic;
dataSrc : in std_logic;
rstDst : in std_logic;
rstSrc : in std_logic;
dataDst : out std_logic
);
end component;
---- Architecture declarations -----
--constants
constant tx_fifo_word_size_c : natural := natural(tx_fifo_word_size_g);
constant tx_fifo_word_size_log2_c : natural := natural(ceil(log2(real(tx_fifo_word_size_c))));
constant rx_fifo_word_size_c : natural := natural(rx_fifo_word_size_g);
constant rx_fifo_word_size_log2_c : natural := natural(ceil(log2(real(rx_fifo_word_size_c))));
---- Signal declarations used on the diagram ----
signal dma_new_addr_rd : std_logic;
signal dma_new_addr_wr : std_logic;
signal m_dma_new_addr_rd : std_logic;
signal m_dma_new_addr_wr : std_logic;
signal m_mac_rx_off : std_logic;
signal m_mac_tx_off : std_logic;
signal rx_aclr : std_logic;
signal rx_rd_clk : std_logic;
signal rx_rd_empty : std_logic;
signal rx_rd_full : std_logic;
signal rx_rd_req : std_logic;
signal rx_wr_clk : std_logic;
signal rx_wr_empty : std_logic;
signal rx_wr_full : std_logic;
signal rx_wr_req : std_logic;
signal rx_wr_req_s : std_logic;
signal tx_aclr : std_logic;
signal tx_rd_clk : std_logic;
signal tx_rd_empty : std_logic;
signal tx_rd_full : std_logic;
signal tx_rd_req : std_logic;
signal tx_rd_req_s : std_logic;
signal tx_rd_sel_word : std_logic;
signal tx_wr_clk : std_logic;
signal tx_wr_empty : std_logic;
signal tx_wr_full : std_logic;
signal tx_wr_req : std_logic;
signal dma_addr_trans : std_logic_vector (dma_highadr_g downto 1);
signal rd_data : std_logic_vector (fifo_data_width_g-1 downto 0);
signal rx_rd_usedw : std_logic_vector (rx_fifo_word_size_log2_c-1 downto 0);
signal rx_wr_usedw : std_logic_vector (rx_fifo_word_size_log2_c-1 downto 0);
signal tx_rd_usedw : std_logic_vector (tx_fifo_word_size_log2_c-1 downto 0);
signal tx_wr_usedw : std_logic_vector (tx_fifo_word_size_log2_c-1 downto 0);
signal wr_data : std_logic_vector (fifo_data_width_g-1 downto 0);
signal wr_data_s : std_logic_vector (fifo_data_width_g/2-1 downto 0);
begin
---- Component instantiations ----
THE_DMA_HANDLER : dma_handler
generic map (
dma_highadr_g => dma_highadr_g,
gen_dma_observer_g => gen_dma_observer_g,
gen_rx_fifo_g => gen_rx_fifo_g,
gen_tx_fifo_g => gen_tx_fifo_g,
rx_fifo_word_size_log2_g => rx_fifo_word_size_log2_c,
tx_fifo_word_size_log2_g => tx_fifo_word_size_log2_c
)
port map(
dma_ack_rd => dma_ack_rd,
dma_ack_wr => dma_ack_wr,
dma_addr => dma_addr( dma_highadr_g downto 1 ),
dma_addr_out => dma_addr_trans( dma_highadr_g downto 1 ),
dma_clk => dma_clk,
dma_new_addr_rd => dma_new_addr_rd,
dma_new_addr_wr => dma_new_addr_wr,
dma_rd_err => dma_rd_err,
dma_req_overflow => dma_req_overflow,
dma_req_rd => dma_req_rd,
dma_req_wr => dma_req_wr,
dma_wr_err => dma_wr_err,
mac_rx_off => mac_rx_off,
mac_tx_off => mac_tx_off,
rst => rst,
rx_aclr => rx_aclr,
rx_wr_clk => rx_wr_clk,
rx_wr_empty => rx_wr_empty,
rx_wr_full => rx_wr_full,
rx_wr_req => rx_wr_req,
rx_wr_usedw => rx_wr_usedw( rx_fifo_word_size_log2_c-1 downto 0 ),
tx_rd_clk => tx_rd_clk,
tx_rd_empty => tx_rd_empty,
tx_rd_full => tx_rd_full,
tx_rd_req => tx_rd_req,
tx_rd_usedw => tx_rd_usedw( tx_fifo_word_size_log2_c-1 downto 0 )
);
THE_MASTER_HANDLER : master_handler
generic map (
dma_highadr_g => dma_highadr_g,
fifo_data_width_g => fifo_data_width_g,
gen_rx_fifo_g => gen_rx_fifo_g,
gen_tx_fifo_g => gen_tx_fifo_g,
m_burst_wr_const_g => m_burstcount_const_g,
m_burstcount_width_g => m_burstcount_width_g,
m_rx_burst_size_g => m_rx_burst_size_g,
m_tx_burst_size_g => m_tx_burst_size_g,
rx_fifo_word_size_log2_g => rx_fifo_word_size_log2_c,
tx_fifo_word_size_log2_g => tx_fifo_word_size_log2_c
)
port map(
dma_addr_in => dma_addr_trans( dma_highadr_g downto 1 ),
dma_new_addr_rd => m_dma_new_addr_rd,
dma_new_addr_wr => m_dma_new_addr_wr,
m_address => m_address( dma_highadr_g downto 0 ),
m_burstcount => m_burstcount( m_burstcount_width_g-1 downto 0 ),
m_burstcounter => m_burstcounter( m_burstcount_width_g-1 downto 0 ),
m_byteenable => m_byteenable( fifo_data_width_g/8-1 downto 0 ),
m_clk => m_clk,
m_read => m_read,
m_readdatavalid => m_readdatavalid,
m_waitrequest => m_waitrequest,
m_write => m_write,
mac_rx_off => m_mac_rx_off,
mac_tx_off => m_mac_tx_off,
rst => rst,
rx_rd_clk => rx_rd_clk,
rx_rd_empty => rx_rd_empty,
rx_rd_full => rx_rd_full,
rx_rd_req => rx_rd_req,
rx_rd_usedw => rx_rd_usedw( rx_fifo_word_size_log2_c-1 downto 0 ),
tx_aclr => tx_aclr,
tx_wr_clk => tx_wr_clk,
tx_wr_empty => tx_wr_empty,
tx_wr_full => tx_wr_full,
tx_wr_req => tx_wr_req,
tx_wr_usedw => tx_wr_usedw( tx_fifo_word_size_log2_c-1 downto 0 )
);
rx_rd_clk <= m_clk;
tx_rd_clk <= dma_clk;
rx_wr_clk <= dma_clk;
tx_wr_clk <= m_clk;
sync1 : slow2fastSync
port map(
clkDst => m_clk,
clkSrc => dma_clk,
dataDst => m_mac_tx_off,
dataSrc => mac_tx_off,
rstDst => rst,
rstSrc => rst
);
sync2 : slow2fastSync
port map(
clkDst => m_clk,
clkSrc => dma_clk,
dataDst => m_mac_rx_off,
dataSrc => mac_rx_off,
rstDst => rst,
rstSrc => rst
);
---- Generate statements ----
gen16bitFifo : if fifo_data_width_g = 16 generate
begin
txFifoGen : if gen_tx_fifo_g generate
begin
TX_FIFO_16 : OpenMAC_DMAFifo
generic map (
fifo_data_width_g => fifo_data_width_g,
fifo_word_size_g => tx_fifo_word_size_c,
fifo_word_size_log2_g => tx_fifo_word_size_log2_c
)
port map(
aclr => tx_aclr,
rd_clk => tx_rd_clk,
rd_data => rd_data( fifo_data_width_g-1 downto 0 ),
rd_empty => tx_rd_empty,
rd_full => tx_rd_full,
rd_req => tx_rd_req,
rd_usedw => tx_rd_usedw( tx_fifo_word_size_log2_c-1 downto 0 ),
wr_clk => tx_wr_clk,
wr_data => m_readdata( fifo_data_width_g-1 downto 0 ),
wr_empty => tx_wr_empty,
wr_full => tx_wr_full,
wr_req => tx_wr_req,
wr_usedw => tx_wr_usedw( tx_fifo_word_size_log2_c-1 downto 0 )
);
end generate txFifoGen;
rxFifoGen : if gen_rx_fifo_g generate
begin
RX_FIFO_16 : OpenMAC_DMAFifo
generic map (
fifo_data_width_g => fifo_data_width_g,
fifo_word_size_g => rx_fifo_word_size_c,
fifo_word_size_log2_g => rx_fifo_word_size_log2_c
)
port map(
aclr => rx_aclr,
rd_clk => rx_rd_clk,
rd_data => m_writedata( fifo_data_width_g-1 downto 0 ),
rd_empty => rx_rd_empty,
rd_full => rx_rd_full,
rd_req => rx_rd_req,
rd_usedw => rx_rd_usedw( rx_fifo_word_size_log2_c-1 downto 0 ),
wr_clk => rx_wr_clk,
wr_data => wr_data( fifo_data_width_g-1 downto 0 ),
wr_empty => rx_wr_empty,
wr_full => rx_wr_full,
wr_req => rx_wr_req,
wr_usedw => rx_wr_usedw( rx_fifo_word_size_log2_c-1 downto 0 )
);
end generate rxFifoGen;
--endian conversion
wr_data <= dma_dout(7 downto 0) & dma_dout(15 downto 8) when endian_g = "little" else
dma_dout;
dma_din <= rd_data(7 downto 0) & rd_data(15 downto 8) when endian_g = "little" else
rd_data;
end generate gen16bitFifo;
genRxAddrSync : if gen_rx_fifo_g generate
begin
sync4 : slow2fastSync
port map(
clkDst => m_clk,
clkSrc => dma_clk,
dataDst => m_dma_new_addr_wr,
dataSrc => dma_new_addr_wr,
rstDst => rst,
rstSrc => rst
);
end generate genRxAddrSync;
genTxAddrSync : if gen_tx_fifo_g generate
begin
sync5 : slow2fastSync
port map(
clkDst => m_clk,
clkSrc => dma_clk,
dataDst => m_dma_new_addr_rd,
dataSrc => dma_new_addr_rd,
rstDst => rst,
rstSrc => rst
);
end generate genTxAddrSync;
gen32bitFifo : if fifo_data_width_g = 32 generate
begin
txFifoGen32 : if gen_tx_fifo_g generate
begin
TX_FIFO_32 : OpenMAC_DMAFifo
generic map (
fifo_data_width_g => fifo_data_width_g,
fifo_word_size_g => tx_fifo_word_size_c,
fifo_word_size_log2_g => tx_fifo_word_size_log2_c
)
port map(
aclr => tx_aclr,
rd_clk => tx_rd_clk,
rd_data => rd_data( fifo_data_width_g-1 downto 0 ),
rd_empty => tx_rd_empty,
rd_full => tx_rd_full,
rd_req => tx_rd_req_s,
rd_usedw => tx_rd_usedw( tx_fifo_word_size_log2_c-1 downto 0 ),
wr_clk => tx_wr_clk,
wr_data => m_readdata( fifo_data_width_g-1 downto 0 ),
wr_empty => tx_wr_empty,
wr_full => tx_wr_full,
wr_req => tx_wr_req,
wr_usedw => tx_wr_usedw( tx_fifo_word_size_log2_c-1 downto 0 )
);
tx_rd_proc :
process (tx_rd_clk, rst)
begin
if rst = '1' then
tx_rd_sel_word <= '0';
elsif rising_edge(tx_rd_clk) then
if mac_tx_off = '1' then
tx_rd_sel_word <= '0';
elsif tx_rd_req = '1' then
if tx_rd_sel_word = '0' then
tx_rd_sel_word <= '1';
else
tx_rd_sel_word <= '0';
end if;
end if;
end if;
end process;
tx_rd_req_s <= tx_rd_req when tx_rd_sel_word = '0' else '0';
dma_din <= rd_data(31 downto 16) when tx_rd_sel_word = '1' else
rd_data(15 downto 0);
end generate txFifoGen32;
rxFifoGen32 : if gen_rx_fifo_g generate
begin
RX_FIFO_32 : OpenMAC_DMAFifo
generic map (
fifo_data_width_g => fifo_data_width_g,
fifo_word_size_g => rx_fifo_word_size_c,
fifo_word_size_log2_g => rx_fifo_word_size_log2_c
)
port map(
aclr => rx_aclr,
rd_clk => rx_rd_clk,
rd_data => m_writedata( fifo_data_width_g-1 downto 0 ),
rd_empty => rx_rd_empty,
rd_full => rx_rd_full,
rd_req => rx_rd_req,
rd_usedw => rx_rd_usedw( rx_fifo_word_size_log2_c-1 downto 0 ),
wr_clk => rx_wr_clk,
wr_data => wr_data( fifo_data_width_g-1 downto 0 ),
wr_empty => rx_wr_empty,
wr_full => rx_wr_full,
wr_req => rx_wr_req_s,
wr_usedw => rx_wr_usedw( rx_fifo_word_size_log2_c-1 downto 0 )
);
rx_wr_proc :
process (rx_wr_clk, rst)
variable toggle : std_logic;
begin
if rst = '1' then
wr_data_s <= (others => '0');
toggle := '0';
rx_wr_req_s <= '0';
elsif rising_edge(rx_wr_clk) then
rx_wr_req_s <= '0';
if mac_rx_off = '1' then
toggle := '0';
elsif rx_wr_req = '1' then
if toggle = '0' then
--capture data
wr_data_s <= dma_dout;
toggle := '1';
else
rx_wr_req_s <= '1';
toggle := '0';
end if;
end if;
end if;
end process;
wr_data <= wr_data_s & dma_dout;
end generate rxFifoGen32;
end generate gen32bitFifo;
end strct;
| gpl-2.0 | 4da5d7d301ef1972e3896a95a0ac0033 | 0.575413 | 3.079396 | false | false | false | false |
dummylink/plnk_fpga-stack | Examples/altera_nios2/TERASIC_DE2-115/design_nios2_directIO/POWERLINK/src/OpenMAC_DMAFifo_Altera.vhd | 3 | 6,936 | ------------------------------------------------------------------------------------------------------------------------
-- OpenMAC DMA FIFO
--
-- Copyright (C) 2011 B&R
--
-- Redistribution and use in source and binary forms, with or without
-- modification, are permitted provided that the following conditions
-- are met:
--
-- 1. Redistributions of source code must retain the above copyright
-- notice, this list of conditions and the following disclaimer.
--
-- 2. Redistributions in binary form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- 3. Neither the name of B&R nor the names of its
-- contributors may be used to endorse or promote products derived
-- from this software without prior written permission. For written
-- permission, please contact [email protected]
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
-- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
-- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
-- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
-- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
-- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
-- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
-- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
-- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
------------------------------------------------------------------------------------------------------------------------
-- Version History
------------------------------------------------------------------------------------------------------------------------
-- 2011-06-06 V0.01 added generic and export fifo word vector
-- 2011-08-03 V0.10 changed to dual clocked fifo (DCFIFO)
------------------------------------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library altera_mf;
use altera_mf.all;
entity openMAC_DMAfifo is
generic (
fifo_data_width_g : natural := 16;
fifo_word_size_g : natural := 32;
fifo_word_size_log2_g : natural := 5
);
port
(
aclr : in std_logic;
rd_clk : in std_logic;
wr_clk : in std_logic;
--read port
rd_req : in std_logic;
rd_data : out std_logic_vector(fifo_data_width_g-1 downto 0);
rd_empty : out std_logic;
rd_full : out std_logic;
rd_usedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0);
--write port
wr_req : in std_logic;
wr_data : in std_logic_vector(fifo_data_width_g-1 downto 0);
wr_empty : out std_logic;
wr_full : out std_logic;
wr_usedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0)
);
end openmac_dmafifo;
architecture struct of openMAC_DMAfifo is
component dcfifo
generic (
lpm_width : natural; --width of data and q ports (input/output)
lpm_widthu : natural; --width of wrusedw and rdusedw
lpm_numwords : natural; --depth of fifo
lpm_showahead : string; --fifo showahead off/on (rdreq works as req/ack)
lpm_type : string; --SCFIFO or DCFIFO (single/dual clocked)
overflow_checking : string; --protection circuit for wrreq
underflow_checking : string; --protection circuit for rdreq
rdsync_delaypipe : natural; --number of sync from wr to rd
wrsync_delaypipe : natural; --number of sync from rd to wr
use_eab : string; --construct fifo as LE/RAM (off/on)
write_aclr_synch : string; --sync async. clear to wr clk (avoids race cond.)
intended_device_family : string --specifies the intended device for functional simulation
);
port (
wrclk : in std_logic; --clock for wr port
rdclk : in std_logic; --clock for rd port
data : in std_logic_vector(fifo_data_width_g-1 downto 0); --data to be written
wrreq : in std_logic; --write request
rdreq : in std_logic; --read request
aclr : in std_logic; --asynchronous clear fifo
q : out std_logic_vector(fifo_data_width_g-1 downto 0); --read data
wrfull : out std_logic; --fifo is full on wr port
rdfull : out std_logic; --fifo is full on rd port
wrempty : out std_logic; --fifo is empty on wr port
rdempty : out std_logic; --fifo is empty on rd port
wrusedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0); --number of words stored on wr port
rdusedw : out std_logic_vector(fifo_word_size_log2_g-1 downto 0) --number of words stored on rd port
);
end component;
constant fifo_useRam_c : string := "ON";
constant fifo_words_c : natural := fifo_word_size_g; --e.g. 32
constant fifo_usedw_c : natural := fifo_word_size_log2_g; --e.g. log2(32) = 5
--constant fifo_rd_usedw_c : natural := 5;
--constant fifo_wr_usedw_c : natural := 5;
constant fifo_data_width_c : natural := fifo_data_width_g;
--constant fifo_rd_data_width_c : natural := 16;
--constant fifo_wr_data_width_c : natural := 16;
begin
dcfifo_inst : dcfifo
generic map (
lpm_width => fifo_data_width_c, --width of data and q ports (input/output)
lpm_widthu => fifo_usedw_c, --width of wrusedw and rdusedw
lpm_numwords => fifo_words_c, --depth of fifo
lpm_showahead => "OFF", --fifo showahead off/on (rdreq works as req/ack)
lpm_type => "DCFIFO", --SCFIFO or DCFIFO (single/dual clocked)
overflow_checking => "ON", --protection circuit for wrreq
underflow_checking => "ON", --protection circuit for rdreq
rdsync_delaypipe => 4, --number of sync from wr to rd
wrsync_delaypipe => 4, --number of sync from rd to wr
use_eab => fifo_useRam_c, --construct fifo as LE/RAM (off/on)
write_aclr_synch => "ON", --sync async. clear to wr clk (avoids race cond.)
intended_device_family => "Cyclone IV" --specifies the intended device for functional simulation
)
port map (
wrclk => wr_clk, --clock for wr port
rdclk => rd_clk, --clock for rd port
data => wr_data, --data to be written
wrreq => wr_req, --write request
rdreq => rd_req, --read request
aclr => aclr, --asynchronous clear fifo
q => rd_data, --read data
wrfull => wr_full, --fifo is full on wr port
rdfull => rd_full, --fifo is full on rd port
wrempty => wr_empty, --fifo is empty on wr port
rdempty => rd_empty, --fifo is empty on rd port
wrusedw => wr_usedw, --number of words stored on wr port
rdusedw => rd_usedw --number of words stored on rd port
);
end struct;
| gpl-2.0 | 0eeebbff7a0c272a7554f277d589eb9e | 0.620675 | 3.480181 | false | false | false | false |
hgunicamp/Mips8B | src_design/mips8b_core.vhdl | 1 | 8,835 | Library Ieee;
Use Ieee.Std_Logic_1164.all;
Entity Mips8B_Core is
Port(Reset_n: in Std_Logic;
Clock: in Std_Logic;
MAddr: out Std_Logic_Vector(7 downto 0);
MCmd: out Std_Logic_Vector(1 downto 0);
MData: out Std_Logic_Vector(7 downto 0);
SData: in Std_Logic_Vector(7 downto 0);
SCmdAccept: in Std_Logic);
End Entity Mips8B_Core;
Architecture struct of Mips8B_Core is
-- Interfaces dos componentes internos.
Use Work.MIPS8B_Components.all;
-- Importando biblioteca de tipos básicos.
Use Work.MIPS8B_Base.all;
-- Conexões para o PC.
Signal en_Out_PC: Std_Logic;
Signal load_PC: Std_Logic;
Signal inc_PC: Std_Logic;
Signal out_PC: Std_Logic_Vector(5 downto 0);
Signal address_PC: Std_Logic_Vector(5 downto 0);
-- Interface para o sistema de I/O.
-- Controle do endereço fornecido pelo sistema
Signal en_RMem: Std_Logic;
Signal en_RMem_Inc: Std_Logic;
Signal crt_Mux_IO: Std_Logic;
Signal crt_MEM: MemoryOP;
-- Controle dos Dados de I/O.
Signal en_RData_in: Std_Logic;
Signal en_RData_out: Std_Logic;
-- Registradores para dados de I/O.
Signal RData_in: Std_Logic_Vector(7 downto 0);
-- Interface para o controlador principal.
Signal IO_OK: Std_Logic;
Signal eq_Flag: Std_Logic;
Signal en_ROpcode: Std_Logic;
Signal Opcode: Std_Logic_Vector(4 downto 0);
-- Controle para o Registrer File.
Signal crt_RFile: Std_Logic;
Signal en_Raddress_RF: Std_Logic;
Signal address_RF: Std_Logic_Vector(2 downto 0);
-- Controle para os registradores Intermediarios.
Signal en_R1A_ULA: Std_Logic;
Signal en_R1B_ULA: Std_Logic;
Signal en_R2_ULA: Std_Logic;
Signal en_Reg_SH: Std_Logic;
-- Controle para os multiplexadores.
Signal crt_Mux_ULA: Std_Logic_Vector(1 downto 0);
Signal crt_Mux_Acc: Std_Logic;
Signal crt_Mux_RF: Std_Logic;
-- Controle das unidades funcionais.
Signal crt_ULA: Std_Logic_Vector(2 downto 0);
Signal crt_SH: Std_Logic_Vector(1 downto 0);
Signal crt_Acc: Std_Logic_Vector(1 downto 0);
-- Valor do comprimento do shift.
Signal S_SH: Std_Logic_Vector(2 downto 0);
-- Valor do campo imediato
Signal out_IMM: Std_Logic_Vector(7 downto 0);
-- Saida do resultado do Acumulador.
Signal out_Acc: Std_Logic_Vector(7 downto 0);
Begin
---------------------------------------------------------------------------
-- Processo para registrar o opcode da instrução corrente.
OPCode_PROC: Process
Begin
Wait Until Clock'event and Clock = '1';
If en_ROpcode = '1' then
Opcode <= RData_in(7 downto 3);
End If;
End Process OPCode_PROC;
---------------------------------------------------------------------------
-- Instância de PC.
PC_Unity: PC_System
Generic Map(N => 8)
Port Map(clock => Clock,
Reset_n => Reset_n,
en_Out_PC => en_Out_PC,
load_PC => load_PC,
inc_PC => inc_PC,
in_PC => out_Acc(5 downto 0),
out_PC => out_PC,
address_PC => address_PC);
---------------------------------------------------------------------------
-- Instância do sistema de I/O.
IO_System: MIPS8B_IO_System
Generic Map(N => 8)
Port Map(clock => Clock,
Reset_n => Reset_n,
-- Controle do endereço fornecido pelo sistema
en_RMem => en_RMem,
en_RMem_Inc => en_RMem_Inc,
crt_Mux_IO => crt_Mux_IO,
crt_MEM => crt_MEM,
-- Controle dos Dados de I/O.
en_RData_in => en_RData_in,
en_RData_out => en_RData_out,
-- Valores de endereço para transações de I/O.
out_PC => address_PC,
out_DPath => out_Acc,
in_Data => SData,
-- Registradores para dados de I/O.
RMem => MAddr,
RData_in => RData_in,
RData_out => MData,
-- Interface de controle.
Cmd => MCmd,
CmdAccept => SCmdAccept,
IO_OK => IO_OK);
---------------------------------------------------------------------------
-- Instância do controlador principal.
Main_Control: MIPS8B_DP_Control
Generic Map(N => 8,
SH_SIZE => 3,
RF_ADDR_SIZE => 3)
Port Map(clock => Clock,
Reset_n => Reset_n,
IO_OK => IO_OK,
eq_Flag => eq_Flag,
Opcode => Opcode,
in_Bus => RData_in,
-- Controle para o Registrer File.
crt_RFile => crt_RFile,
en_Raddress_RF => en_Raddress_RF,
address_RF => address_RF,
-- Controle para os registradores Intermediarios.
en_R1A_ULA => en_R1A_ULA,
en_R1B_ULA => en_R1B_ULA,
en_R2_ULA => en_R2_ULA,
en_Reg_SH => en_Reg_SH,
-- Controle para os multiplexadores.
crt_Mux_ULA => crt_Mux_ULA,
crt_Mux_Acc => crt_Mux_Acc,
crt_Mux_RF => crt_Mux_RF,
-- Controle das unidades funcionais.
crt_ULA => crt_ULA,
crt_SH => crt_SH,
crt_Acc => crt_Acc,
-- Controle do PC.
en_Out_PC => en_Out_PC,
load_PC => load_PC,
inc_PC => inc_PC,
-- Controle para o sistema de IO.
en_ROpcode => en_ROpcode,
en_RMem => en_RMem,
en_RMem_Inc => en_RMem_Inc,
en_RData_in => en_RData_in,
en_RData_out => en_RData_out,
crt_Mux_IO => crt_Mux_IO,
crt_MEM => crt_MEM,
-- Valor do comprimento do shift.
S_SH => S_SH,
-- Valor do campo imediato
out_IMM => out_IMM);
---------------------------------------------------------------------------
-- Instância do Datapath.
DPATH: Mips8B_DataPath
Generic Map( N => 8,
RF_SIZE => 8,
SH_SIZE => 3,
RF_ADDR_SIZE => 3)
Port Map(clock => Clock,
-- Controle dos Registradores do Shift Register.
en_Reg_SH => en_Reg_SH,
-- Controle para Shifter.
crt_SH => crt_SH,
S_SH => S_SH,
-- Controle dos Registradores da ULA.
en_R1A_ULA => en_R1A_ULA,
en_R1B_ULA => en_R1B_ULA,
en_R2_ULA => en_R2_ULA,
-- Controle para ULA.
crt_ULA => crt_ULA,
crt_Mux_ULA => crt_Mux_ULA,
-- Controle para Register File.
crt_RFile => crt_RFile,
crt_Mux_RF => crt_Mux_RF,
address_RF => address_RF,
en_Raddress_RF => en_Raddress_RF,
-- Controle para o Acumulador.
crt_Acc => crt_Acc,
crt_Mux_Acc => crt_Mux_Acc,
-- Entradas do Datapath.
in_PC => out_PC,
in_IMM => out_IMM,
-- Flag de Igualdade de Operandos.
eq_Flag => eq_Flag,
-- Saida do resultado do Acumulador.
out_Acc => out_Acc);
End Architecture struct;
Configuration Mips8B_Core_struct_conf of Mips8B_Core is
For struct
For PC_Unity: PC_System Use Entity Work.PC_System(behave);
End For;
For IO_System: MIPS8B_IO_System Use Entity Work.MIPS8B_IO_System(behave);
End For;
For Main_Control: MIPS8B_DP_Control Use Entity Work.MIPS8B_DP_Control(behave);
End For;
For DPATH: Mips8B_DataPath Use Entity Work.Mips8B_DataPath(behave);
End For;
End For;
End Configuration Mips8B_Core_struct_conf;
| unlicense | 5e68931263161780186bd927366124ac | 0.453412 | 3.855769 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.