repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/boards/altera-c5ekit/ddr3ctrl1.vhd
3
42,951
-- megafunction wizard: %DDR3 SDRAM Controller with UniPHY v13.0% -- GENERATION: XML -- ddr3ctrl1.vhd -- Generated using ACDS version 13.0sp1 232 at 2013.09.05.09:14:26 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ddr3ctrl1 is port ( pll_ref_clk : in std_logic := '0'; -- pll_ref_clk.clk global_reset_n : in std_logic := '0'; -- global_reset.reset_n soft_reset_n : in std_logic := '0'; -- soft_reset.reset_n afi_clk : out std_logic; -- afi_clk.clk afi_half_clk : out std_logic; -- afi_half_clk.clk afi_reset_n : out std_logic; -- afi_reset.reset_n afi_reset_export_n : out std_logic; -- afi_reset_export.reset_n mem_a : out std_logic_vector(13 downto 0); -- memory.mem_a mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba mem_ck : out std_logic_vector(0 downto 0); -- .mem_ck mem_ck_n : out std_logic_vector(0 downto 0); -- .mem_ck_n mem_cke : out std_logic_vector(0 downto 0); -- .mem_cke mem_cs_n : out std_logic_vector(0 downto 0); -- .mem_cs_n mem_dm : out std_logic_vector(3 downto 0); -- .mem_dm mem_ras_n : out std_logic_vector(0 downto 0); -- .mem_ras_n mem_cas_n : out std_logic_vector(0 downto 0); -- .mem_cas_n mem_we_n : out std_logic_vector(0 downto 0); -- .mem_we_n mem_reset_n : out std_logic; -- .mem_reset_n mem_dq : inout std_logic_vector(31 downto 0) := (others => '0'); -- .mem_dq mem_dqs : inout std_logic_vector(3 downto 0) := (others => '0'); -- .mem_dqs mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => '0'); -- .mem_dqs_n mem_odt : out std_logic_vector(0 downto 0); -- .mem_odt avl_ready : out std_logic; -- avl.waitrequest_n avl_burstbegin : in std_logic := '0'; -- .beginbursttransfer avl_addr : in std_logic_vector(24 downto 0) := (others => '0'); -- .address avl_rdata_valid : out std_logic; -- .readdatavalid avl_rdata : out std_logic_vector(127 downto 0); -- .readdata avl_wdata : in std_logic_vector(127 downto 0) := (others => '0'); -- .writedata avl_be : in std_logic_vector(15 downto 0) := (others => '0'); -- .byteenable avl_read_req : in std_logic := '0'; -- .read avl_write_req : in std_logic := '0'; -- .write avl_size : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount local_init_done : out std_logic; -- status.local_init_done local_cal_success : out std_logic; -- .local_cal_success local_cal_fail : out std_logic; -- .local_cal_fail oct_rzqin : in std_logic := '0'; -- oct.rzqin pll_mem_clk : out std_logic; -- pll_sharing.pll_mem_clk pll_write_clk : out std_logic; -- .pll_write_clk pll_write_clk_pre_phy_clk : out std_logic; -- .pll_write_clk_pre_phy_clk pll_addr_cmd_clk : out std_logic; -- .pll_addr_cmd_clk pll_locked : out std_logic; -- .pll_locked pll_avl_clk : out std_logic; -- .pll_avl_clk pll_config_clk : out std_logic; -- .pll_config_clk pll_mem_phy_clk : out std_logic; -- .pll_mem_phy_clk afi_phy_clk : out std_logic; -- .afi_phy_clk pll_avl_phy_clk : out std_logic -- .pll_avl_phy_clk ); end entity ddr3ctrl1; architecture rtl of ddr3ctrl1 is component ddr3ctrl1_0002 is port ( pll_ref_clk : in std_logic := 'X'; -- clk global_reset_n : in std_logic := 'X'; -- reset_n soft_reset_n : in std_logic := 'X'; -- reset_n afi_clk : out std_logic; -- clk afi_half_clk : out std_logic; -- clk afi_reset_n : out std_logic; -- reset_n afi_reset_export_n : out std_logic; -- reset_n mem_a : out std_logic_vector(13 downto 0); -- mem_a mem_ba : out std_logic_vector(2 downto 0); -- mem_ba mem_ck : out std_logic_vector(0 downto 0); -- mem_ck mem_ck_n : out std_logic_vector(0 downto 0); -- mem_ck_n mem_cke : out std_logic_vector(0 downto 0); -- mem_cke mem_cs_n : out std_logic_vector(0 downto 0); -- mem_cs_n mem_dm : out std_logic_vector(3 downto 0); -- mem_dm mem_ras_n : out std_logic_vector(0 downto 0); -- mem_ras_n mem_cas_n : out std_logic_vector(0 downto 0); -- mem_cas_n mem_we_n : out std_logic_vector(0 downto 0); -- mem_we_n mem_reset_n : out std_logic; -- mem_reset_n mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n mem_odt : out std_logic_vector(0 downto 0); -- mem_odt avl_ready : out std_logic; -- waitrequest_n avl_burstbegin : in std_logic := 'X'; -- beginbursttransfer avl_addr : in std_logic_vector(24 downto 0) := (others => 'X'); -- address avl_rdata_valid : out std_logic; -- readdatavalid avl_rdata : out std_logic_vector(127 downto 0); -- readdata avl_wdata : in std_logic_vector(127 downto 0) := (others => 'X'); -- writedata avl_be : in std_logic_vector(15 downto 0) := (others => 'X'); -- byteenable avl_read_req : in std_logic := 'X'; -- read avl_write_req : in std_logic := 'X'; -- write avl_size : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount local_init_done : out std_logic; -- local_init_done local_cal_success : out std_logic; -- local_cal_success local_cal_fail : out std_logic; -- local_cal_fail oct_rzqin : in std_logic := 'X'; -- rzqin pll_mem_clk : out std_logic; -- pll_mem_clk pll_write_clk : out std_logic; -- pll_write_clk pll_write_clk_pre_phy_clk : out std_logic; -- pll_write_clk_pre_phy_clk pll_addr_cmd_clk : out std_logic; -- pll_addr_cmd_clk pll_locked : out std_logic; -- pll_locked pll_avl_clk : out std_logic; -- pll_avl_clk pll_config_clk : out std_logic; -- pll_config_clk pll_mem_phy_clk : out std_logic; -- pll_mem_phy_clk afi_phy_clk : out std_logic; -- afi_phy_clk pll_avl_phy_clk : out std_logic -- pll_avl_phy_clk ); end component ddr3ctrl1_0002; begin ddr3ctrl1_inst : component ddr3ctrl1_0002 port map ( pll_ref_clk => pll_ref_clk, -- pll_ref_clk.clk global_reset_n => global_reset_n, -- global_reset.reset_n soft_reset_n => soft_reset_n, -- soft_reset.reset_n afi_clk => afi_clk, -- afi_clk.clk afi_half_clk => afi_half_clk, -- afi_half_clk.clk afi_reset_n => afi_reset_n, -- afi_reset.reset_n afi_reset_export_n => afi_reset_export_n, -- afi_reset_export.reset_n mem_a => mem_a, -- memory.mem_a mem_ba => mem_ba, -- .mem_ba mem_ck => mem_ck, -- .mem_ck mem_ck_n => mem_ck_n, -- .mem_ck_n mem_cke => mem_cke, -- .mem_cke mem_cs_n => mem_cs_n, -- .mem_cs_n mem_dm => mem_dm, -- .mem_dm mem_ras_n => mem_ras_n, -- .mem_ras_n mem_cas_n => mem_cas_n, -- .mem_cas_n mem_we_n => mem_we_n, -- .mem_we_n mem_reset_n => mem_reset_n, -- .mem_reset_n mem_dq => mem_dq, -- .mem_dq mem_dqs => mem_dqs, -- .mem_dqs mem_dqs_n => mem_dqs_n, -- .mem_dqs_n mem_odt => mem_odt, -- .mem_odt avl_ready => avl_ready, -- avl.waitrequest_n avl_burstbegin => avl_burstbegin, -- .beginbursttransfer avl_addr => avl_addr, -- .address avl_rdata_valid => avl_rdata_valid, -- .readdatavalid avl_rdata => avl_rdata, -- .readdata avl_wdata => avl_wdata, -- .writedata avl_be => avl_be, -- .byteenable avl_read_req => avl_read_req, -- .read avl_write_req => avl_write_req, -- .write avl_size => avl_size, -- .burstcount local_init_done => local_init_done, -- status.local_init_done local_cal_success => local_cal_success, -- .local_cal_success local_cal_fail => local_cal_fail, -- .local_cal_fail oct_rzqin => oct_rzqin, -- oct.rzqin pll_mem_clk => pll_mem_clk, -- pll_sharing.pll_mem_clk pll_write_clk => pll_write_clk, -- .pll_write_clk pll_write_clk_pre_phy_clk => pll_write_clk_pre_phy_clk, -- .pll_write_clk_pre_phy_clk pll_addr_cmd_clk => pll_addr_cmd_clk, -- .pll_addr_cmd_clk pll_locked => pll_locked, -- .pll_locked pll_avl_clk => pll_avl_clk, -- .pll_avl_clk pll_config_clk => pll_config_clk, -- .pll_config_clk pll_mem_phy_clk => pll_mem_phy_clk, -- .pll_mem_phy_clk afi_phy_clk => afi_phy_clk, -- .afi_phy_clk pll_avl_phy_clk => pll_avl_phy_clk -- .pll_avl_phy_clk ); end architecture rtl; -- of ddr3ctrl1 -- Retrieval info: <?xml version="1.0"?> --<!-- -- Generated by Altera MegaWizard Launcher Utility version 1.0 -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- ************************************************************ -- Copyright (C) 1991-2013 Altera Corporation -- Any megafunction design, and related net list (encrypted or decrypted), -- support information, device programming or simulation file, and any other -- associated documentation or information provided by Altera or a partner -- under Altera's Megafunction Partnership Program may be used only to -- program PLD devices (but not masked PLD devices) from Altera. Any other -- use of such megafunction design, net list, support information, device -- programming or simulation file, or any other related documentation or -- information is prohibited for any other purpose, including, but not -- limited to modification, reverse engineering, de-compiling, or use with -- any other silicon devices, unless such use is explicitly licensed under -- a separate agreement with Altera or a megafunction partner. Title to -- the intellectual property, including patents, copyrights, trademarks, -- trade secrets, or maskworks, embodied in any such megafunction design, -- net list, support information, device programming or simulation file, or -- any other related documentation or information provided by Altera or a -- megafunction partner, remains with Altera, the megafunction partner, or -- their respective licensors. No other licenses, including any licenses -- needed under any third party's intellectual property, are provided herein. ----> -- Retrieval info: <instance entity-name="altera_mem_if_ddr3_emif" version="13.0" > -- Retrieval info: <generic name="MEM_VENDOR" value="Micron" /> -- Retrieval info: <generic name="MEM_FORMAT" value="DISCRETE" /> -- Retrieval info: <generic name="RDIMM_CONFIG" value="0" /> -- Retrieval info: <generic name="LRDIMM_EXTENDED_CONFIG" value="0x0" /> -- Retrieval info: <generic name="DISCRETE_FLY_BY" value="true" /> -- Retrieval info: <generic name="DEVICE_DEPTH" value="1" /> -- Retrieval info: <generic name="MEM_MIRROR_ADDRESSING" value="0" /> -- Retrieval info: <generic name="MEM_CLK_FREQ_MAX" value="666.667" /> -- Retrieval info: <generic name="MEM_ROW_ADDR_WIDTH" value="14" /> -- Retrieval info: <generic name="MEM_COL_ADDR_WIDTH" value="10" /> -- Retrieval info: <generic name="MEM_DQ_WIDTH" value="32" /> -- Retrieval info: <generic name="MEM_DQ_PER_DQS" value="8" /> -- Retrieval info: <generic name="MEM_BANKADDR_WIDTH" value="3" /> -- Retrieval info: <generic name="MEM_IF_DM_PINS_EN" value="true" /> -- Retrieval info: <generic name="MEM_IF_DQSN_EN" value="true" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_DIMMS" value="1" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_RANKS_PER_DIMM" value="1" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_RANKS_PER_DEVICE" value="1" /> -- Retrieval info: <generic name="MEM_RANK_MULTIPLICATION_FACTOR" value="1" /> -- Retrieval info: <generic name="MEM_CK_WIDTH" value="1" /> -- Retrieval info: <generic name="MEM_CS_WIDTH" value="1" /> -- Retrieval info: <generic name="MEM_CLK_EN_WIDTH" value="1" /> -- Retrieval info: <generic name="ALTMEMPHY_COMPATIBLE_MODE" value="false" /> -- Retrieval info: <generic name="NEXTGEN" value="true" /> -- Retrieval info: <generic name="MEM_IF_BOARD_BASE_DELAY" value="10" /> -- Retrieval info: <generic name="MEM_IF_SIM_VALID_WINDOW" value="0" /> -- Retrieval info: <generic name="MEM_GUARANTEED_WRITE_INIT" value="false" /> -- Retrieval info: <generic name="MEM_VERBOSE" value="true" /> -- Retrieval info: <generic name="PINGPONGPHY_EN" value="false" /> -- Retrieval info: <generic name="REFRESH_BURST_VALIDATION" value="false" /> -- Retrieval info: <generic name="MEM_BL" value="OTF" /> -- Retrieval info: <generic name="MEM_BT" value="Sequential" /> -- Retrieval info: <generic name="MEM_ASR" value="Manual" /> -- Retrieval info: <generic name="MEM_SRT" value="Normal" /> -- Retrieval info: <generic name="MEM_PD" value="DLL off" /> -- Retrieval info: <generic name="MEM_DRV_STR" value="RZQ/6" /> -- Retrieval info: <generic name="MEM_DLL_EN" value="true" /> -- Retrieval info: <generic name="MEM_RTT_NOM" value="ODT Disabled" /> -- Retrieval info: <generic name="MEM_RTT_WR" value="Dynamic ODT off" /> -- Retrieval info: <generic name="MEM_WTCL" value="6" /> -- Retrieval info: <generic name="MEM_ATCL" value="Disabled" /> -- Retrieval info: <generic name="MEM_TCL" value="7" /> -- Retrieval info: <generic name="MEM_AUTO_LEVELING_MODE" value="true" /> -- Retrieval info: <generic name="MEM_USER_LEVELING_MODE" value="Leveling" /> -- Retrieval info: <generic name="MEM_INIT_EN" value="false" /> -- Retrieval info: <generic name="MEM_INIT_FILE" value="" /> -- Retrieval info: <generic name="DAT_DATA_WIDTH" value="32" /> -- Retrieval info: <generic name="TIMING_TIS" value="190" /> -- Retrieval info: <generic name="TIMING_TIH" value="140" /> -- Retrieval info: <generic name="TIMING_TDS" value="30" /> -- Retrieval info: <generic name="TIMING_TDH" value="65" /> -- Retrieval info: <generic name="TIMING_TDQSQ" value="125" /> -- Retrieval info: <generic name="TIMING_TQH" value="0.38" /> -- Retrieval info: <generic name="TIMING_TDQSCK" value="255" /> -- Retrieval info: <generic name="TIMING_TDQSCKDS" value="450" /> -- Retrieval info: <generic name="TIMING_TDQSCKDM" value="900" /> -- Retrieval info: <generic name="TIMING_TDQSCKDL" value="1200" /> -- Retrieval info: <generic name="TIMING_TDQSS" value="0.25" /> -- Retrieval info: <generic name="TIMING_TQSH" value="0.4" /> -- Retrieval info: <generic name="TIMING_TDSH" value="0.2" /> -- Retrieval info: <generic name="TIMING_TDSS" value="0.2" /> -- Retrieval info: <generic name="MEM_TINIT_US" value="500" /> -- Retrieval info: <generic name="MEM_TMRD_CK" value="4" /> -- Retrieval info: <generic name="MEM_TRAS_NS" value="36.0" /> -- Retrieval info: <generic name="MEM_TRCD_NS" value="13.5" /> -- Retrieval info: <generic name="MEM_TRP_NS" value="13.5" /> -- Retrieval info: <generic name="MEM_TREFI_US" value="7.8" /> -- Retrieval info: <generic name="MEM_TRFC_NS" value="160.0" /> -- Retrieval info: <generic name="CFG_TCCD_NS" value="2.5" /> -- Retrieval info: <generic name="MEM_TWR_NS" value="15.0" /> -- Retrieval info: <generic name="MEM_TWTR" value="5" /> -- Retrieval info: <generic name="MEM_TFAW_NS" value="45.0" /> -- Retrieval info: <generic name="MEM_TRRD_NS" value="7.5" /> -- Retrieval info: <generic name="MEM_TRTP_NS" value="7.5" /> -- Retrieval info: <generic name="RATE" value="Half" /> -- Retrieval info: <generic name="MEM_CLK_FREQ" value="300.0" /> -- Retrieval info: <generic name="USE_MEM_CLK_FREQ" value="false" /> -- Retrieval info: <generic name="FORCE_DQS_TRACKING" value="AUTO" /> -- Retrieval info: <generic name="FORCE_SHADOW_REGS" value="AUTO" /> -- Retrieval info: <generic name="MRS_MIRROR_PING_PONG_ATSO" value="false" /> -- Retrieval info: <generic name="SYS_INFO_DEVICE_FAMILY" value="Cyclone V" /> -- Retrieval info: <generic name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM" value="" /> -- Retrieval info: <generic name="DEVICE_FAMILY_PARAM" value="" /> -- Retrieval info: <generic name="SPEED_GRADE" value="7" /> -- Retrieval info: <generic name="IS_ES_DEVICE" value="false" /> -- Retrieval info: <generic name="DISABLE_CHILD_MESSAGING" value="false" /> -- Retrieval info: <generic name="HARD_EMIF" value="false" /> -- Retrieval info: <generic name="HHP_HPS" value="false" /> -- Retrieval info: <generic name="HHP_HPS_VERIFICATION" value="false" /> -- Retrieval info: <generic name="HHP_HPS_SIMULATION" value="false" /> -- Retrieval info: <generic name="HPS_PROTOCOL" value="DEFAULT" /> -- Retrieval info: <generic name="CUT_NEW_FAMILY_TIMING" value="true" /> -- Retrieval info: <generic name="POWER_OF_TWO_BUS" value="false" /> -- Retrieval info: <generic name="SOPC_COMPAT_RESET" value="false" /> -- Retrieval info: <generic name="AVL_MAX_SIZE" value="4" /> -- Retrieval info: <generic name="BYTE_ENABLE" value="true" /> -- Retrieval info: <generic name="ENABLE_CTRL_AVALON_INTERFACE" value="true" /> -- Retrieval info: <generic name="CTL_DEEP_POWERDN_EN" value="false" /> -- Retrieval info: <generic name="CTL_SELF_REFRESH_EN" value="false" /> -- Retrieval info: <generic name="AUTO_POWERDN_EN" value="false" /> -- Retrieval info: <generic name="AUTO_PD_CYCLES" value="0" /> -- Retrieval info: <generic name="CTL_USR_REFRESH_EN" value="false" /> -- Retrieval info: <generic name="CTL_AUTOPCH_EN" value="false" /> -- Retrieval info: <generic name="CTL_ZQCAL_EN" value="false" /> -- Retrieval info: <generic name="ADDR_ORDER" value="0" /> -- Retrieval info: <generic name="CTL_LOOK_AHEAD_DEPTH" value="4" /> -- Retrieval info: <generic name="CONTROLLER_LATENCY" value="5" /> -- Retrieval info: <generic name="CFG_REORDER_DATA" value="true" /> -- Retrieval info: <generic name="STARVE_LIMIT" value="10" /> -- Retrieval info: <generic name="CTL_CSR_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_CSR_CONNECTION" value="INTERNAL_JTAG" /> -- Retrieval info: <generic name="CTL_ECC_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_HRB_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_ECC_AUTO_CORRECTION_ENABLED" value="false" /> -- Retrieval info: <generic name="MULTICAST_EN" value="false" /> -- Retrieval info: <generic name="CTL_DYNAMIC_BANK_ALLOCATION" value="false" /> -- Retrieval info: <generic name="CTL_DYNAMIC_BANK_NUM" value="4" /> -- Retrieval info: <generic name="DEBUG_MODE" value="false" /> -- Retrieval info: <generic name="ENABLE_BURST_MERGE" value="false" /> -- Retrieval info: <generic name="CTL_ENABLE_BURST_INTERRUPT" value="false" /> -- Retrieval info: <generic name="CTL_ENABLE_BURST_TERMINATE" value="false" /> -- Retrieval info: <generic name="LOCAL_ID_WIDTH" value="8" /> -- Retrieval info: <generic name="WRBUFFER_ADDR_WIDTH" value="6" /> -- Retrieval info: <generic name="MAX_PENDING_WR_CMD" value="8" /> -- Retrieval info: <generic name="MAX_PENDING_RD_CMD" value="16" /> -- Retrieval info: <generic name="USE_MM_ADAPTOR" value="true" /> -- Retrieval info: <generic name="USE_AXI_ADAPTOR" value="false" /> -- Retrieval info: <generic name="HCX_COMPAT_MODE" value="false" /> -- Retrieval info: <generic name="CTL_CMD_QUEUE_DEPTH" value="8" /> -- Retrieval info: <generic name="CTL_CSR_READ_ONLY" value="1" /> -- Retrieval info: <generic name="CFG_DATA_REORDERING_TYPE" value="INTER_BANK" /> -- Retrieval info: <generic name="NUM_OF_PORTS" value="1" /> -- Retrieval info: <generic name="ENABLE_BONDING" value="false" /> -- Retrieval info: <generic name="ENABLE_USER_ECC" value="false" /> -- Retrieval info: <generic name="AVL_DATA_WIDTH_PORT" value="32,32,32,32,32,32" /> -- Retrieval info: <generic name="PRIORITY_PORT" value="1,1,1,1,1,1" /> -- Retrieval info: <generic name="WEIGHT_PORT" value="0,0,0,0,0,0" /> -- Retrieval info: <generic name="CPORT_TYPE_PORT" value="Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional" /> -- Retrieval info: <generic name="ENABLE_EMIT_BFM_MASTER" value="false" /> -- Retrieval info: <generic name="FORCE_SEQUENCER_TCL_DEBUG_MODE" value="false" /> -- Retrieval info: <generic name="ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT" value="false" /> -- Retrieval info: <generic name="REF_CLK_FREQ" value="125.0" /> -- Retrieval info: <generic name="REF_CLK_FREQ_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="REF_CLK_FREQ_MIN_PARAM" value="0.0" /> -- Retrieval info: <generic name="REF_CLK_FREQ_MAX_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_DR_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_DR_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_DR_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_DR_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_DR_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_MEM_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_MEM_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_HR_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_HR_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_HR_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CLK_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="ENABLE_EXTRA_REPORTING" value="false" /> -- Retrieval info: <generic name="NUM_EXTRA_REPORT_PATH" value="10" /> -- Retrieval info: <generic name="ENABLE_ISS_PROBES" value="false" /> -- Retrieval info: <generic name="CALIB_REG_WIDTH" value="8" /> -- Retrieval info: <generic name="USE_SEQUENCER_BFM" value="false" /> -- Retrieval info: <generic name="DEFAULT_FAST_SIM_MODEL" value="true" /> -- Retrieval info: <generic name="PLL_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_PLL_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="EXPORT_AFI_HALF_CLK" value="false" /> -- Retrieval info: <generic name="ABSTRACT_REAL_COMPARE_TEST" value="false" /> -- Retrieval info: <generic name="INCLUDE_BOARD_DELAY_MODEL" value="false" /> -- Retrieval info: <generic name="INCLUDE_MULTIRANK_BOARD_DELAY_MODEL" value="false" /> -- Retrieval info: <generic name="USE_FAKE_PHY" value="false" /> -- Retrieval info: <generic name="FORCE_MAX_LATENCY_COUNT_WIDTH" value="0" /> -- Retrieval info: <generic name="ENABLE_NON_DESTRUCTIVE_CALIB" value="false" /> -- Retrieval info: <generic name="TRACKING_ERROR_TEST" value="false" /> -- Retrieval info: <generic name="TRACKING_WATCH_TEST" value="false" /> -- Retrieval info: <generic name="MARGIN_VARIATION_TEST" value="false" /> -- Retrieval info: <generic name="EXTRA_SETTINGS" value="" /> -- Retrieval info: <generic name="MEM_DEVICE" value="MISSING_MODEL" /> -- Retrieval info: <generic name="FORCE_SYNTHESIS_LANGUAGE" value="" /> -- Retrieval info: <generic name="FORCED_NUM_WRITE_FR_CYCLE_SHIFTS" value="0" /> -- Retrieval info: <generic name="SEQUENCER_TYPE" value="NIOS" /> -- Retrieval info: <generic name="ADVERTIZE_SEQUENCER_SW_BUILD_FILES" value="false" /> -- Retrieval info: <generic name="FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT" value="false" /> -- Retrieval info: <generic name="PHY_ONLY" value="false" /> -- Retrieval info: <generic name="SEQ_MODE" value="0" /> -- Retrieval info: <generic name="ADVANCED_CK_PHASES" value="false" /> -- Retrieval info: <generic name="COMMAND_PHASE" value="0.0" /> -- Retrieval info: <generic name="MEM_CK_PHASE" value="0.0" /> -- Retrieval info: <generic name="P2C_READ_CLOCK_ADD_PHASE" value="0.0" /> -- Retrieval info: <generic name="C2P_WRITE_CLOCK_ADD_PHASE" value="0.0" /> -- Retrieval info: <generic name="ACV_PHY_CLK_ADD_FR_PHASE" value="0.0" /> -- Retrieval info: <generic name="MEM_VOLTAGE" value="1.5V DDR3" /> -- Retrieval info: <generic name="PLL_LOCATION" value="Top_Bottom" /> -- Retrieval info: <generic name="SKIP_MEM_INIT" value="true" /> -- Retrieval info: <generic name="READ_DQ_DQS_CLOCK_SOURCE" value="INVERTED_DQS_BUS" /> -- Retrieval info: <generic name="DQ_INPUT_REG_USE_CLKN" value="false" /> -- Retrieval info: <generic name="DQS_DQSN_MODE" value="DIFFERENTIAL" /> -- Retrieval info: <generic name="AFI_DEBUG_INFO_WIDTH" value="32" /> -- Retrieval info: <generic name="CALIBRATION_MODE" value="Full" /> -- Retrieval info: <generic name="NIOS_ROM_DATA_WIDTH" value="32" /> -- Retrieval info: <generic name="READ_FIFO_SIZE" value="8" /> -- Retrieval info: <generic name="PHY_CSR_ENABLED" value="false" /> -- Retrieval info: <generic name="PHY_CSR_CONNECTION" value="INTERNAL_JTAG" /> -- Retrieval info: <generic name="USER_DEBUG_LEVEL" value="1" /> -- Retrieval info: <generic name="TIMING_BOARD_DERATE_METHOD" value="AUTO" /> -- Retrieval info: <generic name="TIMING_BOARD_CK_CKN_SLEW_RATE" value="2.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_SLEW_RATE" value="1.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQS_DQSN_SLEW_RATE" value="2.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_SLEW_RATE" value="1.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TIS" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TIH" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TDS" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TDH" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_ISI_METHOD" value="AUTO" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_EYE_REDUCTION_SU" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_EYE_REDUCTION_H" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_EYE_REDUCTION" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME" value="0.0" /> -- Retrieval info: <generic name="PACKAGE_DESKEW" value="false" /> -- Retrieval info: <generic name="AC_PACKAGE_DESKEW" value="false" /> -- Retrieval info: <generic name="TIMING_BOARD_MAX_CK_DELAY" value="0.6" /> -- Retrieval info: <generic name="TIMING_BOARD_MAX_DQS_DELAY" value="0.6" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_CKDQS_DIMM_MIN" value="-0.01" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_CKDQS_DIMM_MAX" value="0.01" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_BETWEEN_DIMMS" value="0.05" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_WITHIN_DQS" value="0.02" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_BETWEEN_DQS" value="0.02" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_TO_DQS_SKEW" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_SKEW" value="0.02" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_TO_CK_SKEW" value="0.0" /> -- Retrieval info: <generic name="ENABLE_EXPORT_SEQ_DEBUG_BRIDGE" value="false" /> -- Retrieval info: <generic name="CORE_DEBUG_CONNECTION" value="EXPORT" /> -- Retrieval info: <generic name="ADD_EXTERNAL_SEQ_DEBUG_NIOS" value="false" /> -- Retrieval info: <generic name="ED_EXPORT_SEQ_DEBUG" value="false" /> -- Retrieval info: <generic name="ADD_EFFICIENCY_MONITOR" value="false" /> -- Retrieval info: <generic name="ENABLE_ABS_RAM_MEM_INIT" value="false" /> -- Retrieval info: <generic name="ABS_RAM_MEM_INIT_FILENAME" value="meminit" /> -- Retrieval info: <generic name="DLL_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_DLL_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="OCT_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_OCT_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="AUTO_DEVICE" value="Unknown" /> -- Retrieval info: </instance> -- IPFS_FILES : ddr3ctrl1.vho -- RELATED_FILES: ddr3ctrl1.vhd, ddr3ctrl1_0002.v, ddr3ctrl1_pll0.sv, ddr3ctrl1_p0_clock_pair_generator.v, ddr3ctrl1_p0_read_valid_selector.v, ddr3ctrl1_p0_addr_cmd_datapath.v, ddr3ctrl1_p0_reset.v, ddr3ctrl1_p0_acv_ldc.v, ddr3ctrl1_p0_memphy.sv, ddr3ctrl1_p0_reset_sync.v, ddr3ctrl1_p0_new_io_pads.v, ddr3ctrl1_p0_fr_cycle_shifter.v, ddr3ctrl1_p0_fr_cycle_extender.v, ddr3ctrl1_p0_read_datapath.sv, ddr3ctrl1_p0_write_datapath.v, ddr3ctrl1_p0_core_shadow_registers.sv, ddr3ctrl1_p0_simple_ddio_out.sv, ddr3ctrl1_p0_phy_csr.sv, ddr3ctrl1_p0_iss_probe.v, ddr3ctrl1_p0_addr_cmd_pads.v, ddr3ctrl1_p0_flop_mem.v, ddr3ctrl1_p0.sv, ddr3ctrl1_p0_altdqdqs.v, altdq_dqs2_acv_cyclonev.sv, afi_mux_ddr3_ddrx.v, ddr3ctrl1_s0.v, rw_manager_bitcheck.v, rw_manager_datamux.v, altera_merlin_traffic_limiter.sv, sequencer_scc_sv_wrapper.sv, rw_manager_ac_ROM_reg.v, altera_merlin_burst_uncompressor.sv, rw_manager_read_datapath.v, ddr3ctrl1_s0_addr_router_001.sv, ddr3ctrl1_s0_cmd_xbar_demux.sv, rw_manager_lfsr12.v, rw_manager_lfsr36.v, ddr3ctrl1_s0_cmd_xbar_mux_003.sv, rw_manager_data_broadcast.v, altera_avalon_mm_bridge.v, rw_manager_lfsr72.v, sequencer_reg_file.sv, rw_manager_di_buffer_wrap.v, rw_manager_jumplogic.v, altera_merlin_slave_agent.sv, altera_mem_if_sequencer_mem_no_ifdef_params.sv, sequencer_data_mgr.sv, sequencer_scc_reg_file.v, ddr3ctrl1_s0_id_router.sv, rw_manager_ram.v, rw_manager_ram_csr.v, ddr3ctrl1_s0_id_router_005.sv, rw_manager_generic.sv, ddr3ctrl1_s0_rsp_xbar_demux_005.sv, ddr3ctrl1_s0_rsp_xbar_demux_003.sv, rw_manager_write_decoder.v, sequencer_scc_acv_wrapper.sv, rw_manager_ac_ROM_no_ifdef_params.v, rw_manager_core.sv, sequencer_phy_mgr.sv, ddr3ctrl1_s0_cmd_xbar_demux_001.sv, sequencer_scc_acv_phase_decode.v, ddr3ctrl1_s0_irq_mapper.sv, sequencer_scc_siii_phase_decode.v, ddr3ctrl1_s0_rsp_xbar_mux.sv, ddr3ctrl1_s0_rsp_xbar_mux_001.sv, ddr3ctrl1_s0_id_router_003.sv, altera_mem_if_sequencer_cpu_cv_synth_cpu_inst.v, rw_manager_dm_decoder.v, rw_manager_inst_ROM_reg.v, rw_manager_data_decoder.v, ddr3ctrl1_s0_cmd_xbar_demux_002.sv, sequencer_scc_mgr.sv, sequencer_scc_siii_wrapper.sv, rw_manager_ddr3.v, altera_mem_if_sequencer_cpu_cv_synth_cpu_inst_test_bench.v, rw_manager_di_buffer.v, rw_manager_pattern_fifo.v, altera_merlin_master_agent.sv, ddr3ctrl1_s0_addr_router.sv, altera_merlin_arbitrator.sv, sequencer_scc_sv_phase_decode.v, ddr3ctrl1_s0_addr_router_002.sv, ddr3ctrl1_s0_cmd_xbar_mux_005.sv, rw_manager_inst_ROM_no_ifdef_params.v, altera_mem_if_sequencer_rst.sv, ddr3ctrl1_dmaster.v, ddr3ctrl1_c0.v, altera_mem_if_oct_cyclonev.sv, altera_mem_if_dll_cyclonev.sv, altera_merlin_master_translator.sv, altera_merlin_slave_translator.sv, altera_avalon_st_jtag_interface.v, altera_jtag_dc_streaming.v, altera_jtag_sld_node.v, altera_jtag_streaming.v, altera_pli_streaming.v, altera_avalon_st_clock_crosser.v, altera_avalon_st_pipeline_base.v, altera_avalon_st_idle_remover.v, altera_avalon_st_idle_inserter.v, ddr3ctrl1_dmaster_timing_adt.v, altera_avalon_sc_fifo.v, altera_avalon_st_bytes_to_packets.v, altera_avalon_st_packets_to_bytes.v, altera_avalon_packets_to_master.v, ddr3ctrl1_dmaster_b2p_adapter.v, ddr3ctrl1_dmaster_p2b_adapter.v, altera_reset_controller.v, altera_reset_synchronizer.v, alt_mem_ddrx_addr_cmd.v, alt_mem_ddrx_addr_cmd_wrap.v, alt_mem_ddrx_ddr2_odt_gen.v, alt_mem_ddrx_ddr3_odt_gen.v, alt_mem_ddrx_lpddr2_addr_cmd.v, alt_mem_ddrx_odt_gen.v, alt_mem_ddrx_rdwr_data_tmg.v, alt_mem_ddrx_arbiter.v, alt_mem_ddrx_burst_gen.v, alt_mem_ddrx_cmd_gen.v, alt_mem_ddrx_csr.v, alt_mem_ddrx_buffer.v, alt_mem_ddrx_buffer_manager.v, alt_mem_ddrx_burst_tracking.v, alt_mem_ddrx_dataid_manager.v, alt_mem_ddrx_fifo.v, alt_mem_ddrx_list.v, alt_mem_ddrx_rdata_path.v, alt_mem_ddrx_wdata_path.v, alt_mem_ddrx_define.iv, alt_mem_ddrx_ecc_decoder.v, alt_mem_ddrx_ecc_decoder_32_syn.v, alt_mem_ddrx_ecc_decoder_64_syn.v, alt_mem_ddrx_ecc_encoder.v, alt_mem_ddrx_ecc_encoder_32_syn.v, alt_mem_ddrx_ecc_encoder_64_syn.v, alt_mem_ddrx_ecc_encoder_decoder_wrapper.v, alt_mem_ddrx_axi_st_converter.v, alt_mem_ddrx_input_if.v, alt_mem_ddrx_rank_timer.v, alt_mem_ddrx_sideband.v, alt_mem_ddrx_tbp.v, alt_mem_ddrx_timing_param.v, alt_mem_ddrx_controller.v, alt_mem_ddrx_controller_st_top.v, alt_mem_if_nextgen_ddr3_controller_core.sv, alt_mem_ddrx_mm_st_converter.v
gpl-2.0
08915e1c6729e89e0fdc295ca9eb5eb7
0.574725
3.216581
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-avnet-eval-xc4vlx25/config.vhd
1
6,044
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex4; constant CFG_MEMTECH : integer := virtex4; constant CFG_PADTECH : integer := virtex4; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex4; constant CFG_CLKMUL : integer := (7); constant CFG_CLKDIV : integer := (10); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 16; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 1; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 16; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 1; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0045#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000014#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDRSP : integer := 1; constant CFG_DDRSP_INIT : integer := 1; constant CFG_DDRSP_FREQ : integer := (100); constant CFG_DDRSP_COL : integer := (9); constant CFG_DDRSP_SIZE : integer := (32); constant CFG_DDRSP_RSKEW : integer := (0); -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (8); -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
847abe171c6cd9498e758523e6c9b2d9
0.643283
3.616996
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-altera-ep2sgx90-av/debugreg.vhd
3
1,166
-- debugreg.vhd -- very simple readable and adressable 64 bit (2x 32 bit) -- purpose: debug output library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; entity debugreg is port ( clk : in std_logic; rst_n : in std_logic; bus_addr : in std_logic_vector(2 downto 0); bus_datai : in std_logic_vector(31 downto 0); bus_datao : out std_logic_vector(31 downto 0); bus_wen : in std_logic; bus_cen : in std_logic; iopins : out std_logic_vector(63 downto 0) ); end; architecture rtl of debugreg is signal iopins_r : std_logic_vector(63 downto 0); begin process(clk) begin if(clk'event and clk='1') then if(rst_n = '0') then iopins_r <= (others => '0'); elsif(bus_cen='0' and bus_wen='0') then if(bus_addr(2)='0') then iopins_r(31 downto 0) <= bus_datai; else iopins_r(63 downto 32) <= bus_datai; end if; end if; iopins <= iopins_r; if(bus_addr(2)='0') then bus_datao <= iopins_r(31 downto 0); else bus_datao <= iopins_r(63 downto 32); end if; end if; end process; end;
gpl-2.0
fca173c7b4b939435e65f8a806676edf
0.587479
3.142857
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/virtex/clkgen_virtex.vhd
1
21,684
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: various -- File: clkgen_xilinx.vhd -- Author: Jiri Gaisler, Gaisler Research -- Author: Richard Pender, Pender Electronic Design -- Description: Clock generators for Virtex and Virtex-2 fpgas ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library grlib; use grlib.stdlib.all; library unisim; use unisim.BUFG; use unisim.CLKDLL; use unisim.BUFGDLL; -- pragma translate_on library techmap; use techmap.gencomp.all; entity clkgen_virtex is generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0); port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- double clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type ); end; architecture rtl of clkgen_virtex is component BUFG port (O : out std_logic; I : in std_logic); end component; component CLKDLL port ( CLK0 : out std_ulogic; CLK180 : out std_ulogic; CLK270 : out std_ulogic; CLK2X : out std_ulogic; CLK90 : out std_ulogic; CLKDV : out std_ulogic; LOCKED : out std_ulogic; CLKFB : in std_ulogic; CLKIN : in std_ulogic; RST : in std_ulogic); end component; component BUFGDLL port (O : out std_logic; I : in std_logic); end component; signal gnd, clk_i, clk_j, clk_k, dll0rst, dll0lock, dll1lock : std_logic; signal dll1rst : std_logic_vector(0 to 3); signal clk0B, clkint, CLK2XL, CLKDV, CLK180, pciclkint : std_logic; begin gnd <= '0'; clk <= clk_i; clkn <= not clk_i; c0 : if (PCISYSCLK = 0) or (PCIEN = 0) generate clkint <= clkin; end generate; c2 : if PCIEN /= 0 generate pciclkint <= pciclkin; p3 : if PCISYSCLK = 1 generate clkint <= pciclkint; end generate; p0 : if PCIDLL = 1 generate x1 : BUFGDLL port map (I => pciclkint, O => pciclk); end generate; p1 : if PCIDLL = 0 generate x1 : BUFG port map (I => pciclkint, O => pciclk); end generate; end generate; c3 : if PCIEN = 0 generate pciclk <= '0'; end generate; bufg0 : BUFG port map (I => clk0B, O => clk_i); bufg1 : BUFG port map (I => clk_j, O => clk_k); dll0rst <= not cgi.pllrst; dll0 : CLKDLL port map (CLKIN => clkint, CLKFB => clk_k, CLK0 => clk_j, CLK180 => CLK180, CLK2X => CLK2XL, CLKDV => CLKDV, LOCKED => dll0lock, RST => dll0rst); clk0B <= CLK2XL when clk_mul/clk_div = 2 else CLKDV when clk_div/clk_mul = 2 else clk_j; sd0 : if (SDRAMEN /= 0) and (NOCLKFB = 0) generate cgo.clklock <= dll1lock; dll1 : CLKDLL port map (CLKIN => clk_i, CLKFB => cgi.pllref, RST => dll1rst(0), CLK0 => sdclk, CLK2X => clk2x, LOCKED => dll1lock); rstdel : process (clk_i) begin if dll0lock = '0' then dll1rst <= (others => '1'); elsif rising_edge(clk_i) then dll1rst <= dll1rst(1 to 3) & '0'; end if; end process; end generate; sd1 : if not ((SDRAMEN /= 0) and (NOCLKFB = 0)) generate sdclk <= clk_i; cgo.clklock <= dll0lock; end generate; cgo.pcilock <= '1'; end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library grlib; use grlib.stdlib.all; library unisim; use unisim.IBUFG; use unisim.BUFG; use unisim.DCM; use unisim.BUFGDLL; use unisim.BUFGMUX; -- pragma translate_on library techmap; use techmap.gencomp.all; ------------------------------------------------------------------ -- Virtex2 clock generator --------------------------------------- ------------------------------------------------------------------ entity clkgen_virtex2 is generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clk2xen : integer := 0; clksel : integer := 0); -- enable clock select port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock clkn : out std_ulogic; -- inverted main clock clk2x : out std_ulogic; -- double clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic -- unscaled 2X clock ); end; architecture struct of clkgen_virtex2 is component BUFG port (O : out std_logic; I : in std_logic); end component; component IBUFG port (O : out std_logic; I : in std_logic); end component; component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; component BUFGDLL port (O : out std_logic; I : in std_logic); end component; constant VERSION : integer := 1; --constant CLKIN_PERIOD_ST : string := "20.0"; --attribute CLKIN_PERIOD : string; --attribute CLKIN_PERIOD of dll0: label is CLKIN_PERIOD_ST; signal gnd, clk_i, clk_j, clk_k, clk_l, clk_m, clk_x, clk_n, clk_o, clk_p, clk_i2, clk_sd, clk_r, dll0rst, dll0lock, dll1lock, dll2xlock : std_logic; signal dll1rst, dll2xrst : std_logic_vector(0 to 3); signal clk0B, clkint, pciclkint, pciclkl, pciclkfb, pciclk0 : std_logic; begin gnd <= '0'; clk <= clk_i when (CLK2XEN = 0) else clk_p; clkn <= clk_m; clk2x <= clk_i2; c0 : if (PCISYSCLK = 0) or (PCIEN = 0) generate clkint <= clkin; end generate; c2 : if PCIEN /= 0 generate pciclkint <= pciclkin; p3 : if PCISYSCLK = 1 generate clkint <= pciclkint; end generate; p0 : if PCIDLL = 1 generate x1 : BUFGDLL port map (I => pciclkint, O => pciclk); end generate; p1 : if PCIDLL = 0 generate x1 : BUFG port map (I => pciclkint, O => pciclk); end generate; p2 : if (PCIDLL /= 0) and ( PCIDLL /= 1) generate x1 : IBUFG port map (I => pciclkint, O => pciclkl); dll0 : DCM generic map (CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => PCIDLL) port map ( CLKIN => pciclkint, CLKFB => pciclkfb, DSSEN => gnd, PSCLK => gnd, RST => gnd, PSEN => gnd, PSINCDEC => gnd, CLK0 => pciclk0); x2 : BUFG port map (I => pciclk0, O => pciclkfb); pciclk <= pciclkfb; end generate; end generate; c3 : if PCIEN = 0 generate pciclk <= '0'; end generate; clk1xu <= clk_k; clk2xu <= clk_x; bufg0 : BUFG port map (I => clk0B, O => clk_i); bufg1 : BUFG port map (I => clk_j, O => clk_k); bufg2 : BUFG port map (I => clk_l, O => clk_m); buf34gen : if (CLK2XEN /= 0) generate cs0 : if (clksel = 0) generate bufg3 : BUFG port map (I => clk_n, O => clk_i2); end generate; cs1 : if (clksel /= 0) generate bufg3 : BUFGMUX port map (S => cgi.clksel(0), I0 => clk_o, I1 => clk_n, O => clk_i2); end generate; bufg4 : BUFG port map (I => clk_o, O => clk_p); end generate; dll0rst <= not cgi.pllrst; dll0 : DCM generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div) port map ( CLKIN => clkint, CLKFB => clk_k, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dll0rst, CLK0 => clk_j, CLKFX => clk0B, CLK2X => clk_x, CLKFX180 => clk_l, LOCKED => dll0lock); clk2xgen : if (CLK2XEN /= 0) generate dll2x : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2) port map ( CLKIN => clk_i, CLKFB => clk_p, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dll2xrst(0), CLK0 => clk_o, CLK2X => clk_n, LOCKED => dll2xlock); rstdel2x : process (clk_i, dll0lock) begin if dll0lock = '0' then dll2xrst <= (others => '1'); elsif rising_edge(clk_i) then dll2xrst <= dll2xrst(1 to 3) & '0'; end if; end process; end generate; clk_sd1 : if (CLK2XEN = 0) generate bufg3 : BUFG port map (I => clk_x, O => clk_i2); dll2xlock <= dll0lock; clk_sd <= clk_i; end generate; clk_sd2 : if (CLK2XEN = 1) generate clk_sd <= clk_p; end generate; clk_sd3 : if (CLK2XEN = 2) generate clk_sd <= clk_i2; end generate; sd0 : if (SDRAMEN /= 0) and (NOCLKFB=0) generate cgo.clklock <= dll1lock; dll1 : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2) port map ( CLKIN => clk_sd, CLKFB => cgi.pllref, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dll1rst(0), CLK0 => sdclk, --CLK2X => clk2x, LOCKED => dll1lock); rstdel : process (clk_sd, dll2xlock) begin if dll2xlock = '0' then dll1rst <= (others => '1'); elsif rising_edge(clk_sd) then dll1rst <= dll1rst(1 to 3) & '0'; end if; end process; end generate; sd1 : if ((SDRAMEN = 0) or (NOCLKFB = 1)) and (CLK2XEN /= 2) generate sdclk <= clk_i; cgo.clklock <= dll0lock when (CLK2XEN = 0) else dll2xlock; end generate; sd1_2x : if ((SDRAMEN = 0) or (NOCLKFB = 1)) and (CLK2XEN = 2) generate sdclk <= clk_i2; cgo.clklock <= dll2xlock; end generate; cgo.pcilock <= '1'; -- pragma translate_off bootmsg : report_version generic map ( "clkgen_virtex2" & ": virtex-2 sdram/pci clock generator, version " & tost(VERSION), "clkgen_virtex2" & ": Frequency " & tost(freq) & " KHz, DCM divisor " & tost(clk_mul) & "/" & tost(clk_div)); -- pragma translate_on end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library grlib; use grlib.stdlib.all; library unisim; use unisim.BUFG; use unisim.DCM; -- pragma translate_on library techmap; use techmap.gencomp.all; entity clkmul_virtex2 is generic ( clk_mul : integer := 2 ; clk_div : integer := 2); port ( resetin : in std_logic; clkin : in std_logic; clk : out std_logic; resetout: out std_logic ); end; architecture struct of clkmul_virtex2 is -- attribute CLKFX_MULTIPLY : string; -- attribute CLKFX_DIVIDE : string; -- attribute CLKIN_PERIOD : string; -- -- attribute CLKFX_MULTIPLY of dll0: label is "5"; -- attribute CLKFX_DIVIDE of dll0: label is "4"; -- attribute CLKIN_PERIOD of dll0: label is "20"; -- -- attribute CLKFX_MULTIPLY of dll1: label is "4"; -- attribute CLKFX_DIVIDE of dll1: label is "4"; -- attribute CLKIN_PERIOD of dll1: label is "25"; -- component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; component BUFG port ( O : out std_logic; I : in std_logic); end component; signal gnd, clk_i, clk_j, clk_k, clk_l : std_logic; signal clk0B, clk_FB, dll0rst, lock : std_logic; begin gnd <= '0'; clk <= clk_i; dll0rst <= not resetin; bufg0 : BUFG port map (I => clk0B, O => clk_i); bufg1 : BUFG port map (I => clk_j, O => clk_k); dll0 : DCM generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div) port map ( CLKIN => clkin, CLKFB => clk_k, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dll0rst, CLK0 => clk_j, LOCKED => resetout, CLKFX => clk0B ); end; library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library grlib; use grlib.stdlib.all; library unisim; use unisim.BUFG; use unisim.DCM; use unisim.BUFGDLL; use unisim.BUFGMUX; -- pragma translate_on library techmap; use techmap.gencomp.all; entity clkgen_spartan3 is generic ( clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 0; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 50000; -- clock frequency in KHz clk2xen : integer := 0; clksel : integer := 0); -- enable clock select port ( clkin : in std_ulogic; pciclkin: in std_ulogic; clk : out std_ulogic; -- main clock clkn : out std_ulogic; -- inverted main clock clk2x : out std_ulogic; -- double clock sdclk : out std_ulogic; -- SDRAM clock pciclk : out std_ulogic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk1xu : out std_ulogic; -- unscaled clock clk2xu : out std_ulogic -- unscaled 2X clock ); end; architecture struct of clkgen_spartan3 is component BUFG port (O : out std_logic; I : in std_logic); end component; component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic; I1 : in std_ulogic; S : in std_ulogic); end component; component DCM generic ( CLKDV_DIVIDE : real := 2.0; CLKFX_DIVIDE : integer := 1; CLKFX_MULTIPLY : integer := 4; CLKIN_DIVIDE_BY_2 : boolean := false; CLKIN_PERIOD : real := 10.0; CLKOUT_PHASE_SHIFT : string := "NONE"; CLK_FEEDBACK : string := "1X"; DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS"; DFS_FREQUENCY_MODE : string := "LOW"; DLL_FREQUENCY_MODE : string := "LOW"; DSS_MODE : string := "NONE"; DUTY_CYCLE_CORRECTION : boolean := true; FACTORY_JF : bit_vector := X"C080"; PHASE_SHIFT : integer := 0; STARTUP_WAIT : boolean := false ); port ( CLKFB : in std_logic; CLKIN : in std_logic; DSSEN : in std_logic; PSCLK : in std_logic; PSEN : in std_logic; PSINCDEC : in std_logic; RST : in std_logic; CLK0 : out std_logic; CLK90 : out std_logic; CLK180 : out std_logic; CLK270 : out std_logic; CLK2X : out std_logic; CLK2X180 : out std_logic; CLKDV : out std_logic; CLKFX : out std_logic; CLKFX180 : out std_logic; LOCKED : out std_logic; PSDONE : out std_logic; STATUS : out std_logic_vector (7 downto 0)); end component; component BUFGDLL port (O : out std_logic; I : in std_logic); end component; constant VERSION : integer := 1; --constant CLKIN_PERIOD_ST : string := "20.0"; --attribute CLKIN_PERIOD : string; --attribute CLKIN_PERIOD of dll0: label is CLKIN_PERIOD_ST; signal gnd, clk_i, clk_j, clk_k, clk_l, clk_m, clk_x, clk_n, clk_o, clk_p, clk_i2, clk_sd, clk_r, dll0rst, dll0lock, dll1lock, dll2xlock : std_logic; signal dll1rst, dll2xrst : std_logic_vector(0 to 3); signal clk0B, clkint, pciclkint : std_logic; begin gnd <= '0'; clk <= clk_i when (CLK2XEN = 0) else clk_p; clkn <= not clk_i when (CLK2XEN = 0) else not clk_p; clk2x <= clk_i2; c0 : if (PCISYSCLK = 0) or (PCIEN = 0) generate clkint <= clkin; end generate; c2 : if PCIEN /= 0 generate pciclkint <= pciclkin; p3 : if PCISYSCLK = 1 generate clkint <= pciclkint; end generate; p0 : if PCIDLL = 1 generate x1 : BUFGDLL port map (I => pciclkint, O => pciclk); end generate; p1 : if PCIDLL = 0 generate x1 : BUFG port map (I => pciclkint, O => pciclk); end generate; end generate; c3 : if PCIEN = 0 generate pciclk <= '0'; end generate; clk1xu <= clk_j; clk2xu <= clk_k; bufg0 : BUFG port map (I => clk0B, O => clk_i); bufg1 : BUFG port map (I => clk_x, O => clk_k); buf34gen : if (CLK2XEN /= 0) generate cs0 : if (clksel = 0) generate bufg3 : BUFG port map (I => clk_n, O => clk_i2); end generate; cs1 : if (clksel /= 0) generate bufg3 : BUFGMUX port map (S => cgi.clksel(0), I0 => clk_o, I1 => clk_n, O => clk_i2); end generate; bufg4 : BUFG port map (I => clk_o, O => clk_p); end generate; dll0rst <= not cgi.pllrst; dll0 : DCM generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div, CLK_FEEDBACK => "2X") port map ( CLKIN => clkint, CLKFB => clk_k, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dll0rst, CLK0 => clk_j, CLKFX => clk0B, CLK2X => clk_x, CLKFX180 => clk_l, LOCKED => dll0lock); clk2xgen : if (CLK2XEN /= 0) generate dll2x : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2) port map ( CLKIN => clk_i, CLKFB => clk_p, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dll2xrst(0), CLK0 => clk_o, CLK2X => clk_n, LOCKED => dll2xlock); rstdel2x : process (clk_i, dll0lock) begin if dll0lock = '0' then dll2xrst <= (others => '1'); elsif rising_edge(clk_i) then dll2xrst <= dll2xrst(1 to 3) & '0'; end if; end process; end generate; clk_sd1 : if (CLK2XEN = 0) generate clk_i2 <= clk_k; dll2xlock <= dll0lock; clk_sd <= clk_i; end generate; clk_sd2 : if (CLK2XEN = 1) generate clk_sd <= clk_p; end generate; clk_sd3 : if (CLK2XEN = 2) generate clk_sd <= clk_i2; end generate; sd0 : if (SDRAMEN /= 0) and (NOCLKFB=0) generate cgo.clklock <= dll1lock; dll1 : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2) port map ( CLKIN => clk_sd, CLKFB => cgi.pllref, DSSEN => gnd, PSCLK => gnd, PSEN => gnd, PSINCDEC => gnd, RST => dll1rst(0), CLK0 => sdclk, --CLK2X => clk2x, LOCKED => dll1lock); rstdel : process (clk_sd, dll2xlock) begin if dll2xlock = '0' then dll1rst <= (others => '1'); elsif rising_edge(clk_sd) then dll1rst <= dll1rst(1 to 3) & '0'; end if; end process; end generate; sd1 : if ((SDRAMEN = 0) or (NOCLKFB = 1)) and (CLK2XEN /= 2) generate sdclk <= clk_i; cgo.clklock <= dll0lock when (CLK2XEN = 0) else dll2xlock; end generate; sd1_2x : if ((SDRAMEN = 0) or (NOCLKFB = 1)) and (CLK2XEN = 2) generate sdclk <= clk_i2; cgo.clklock <= dll2xlock; end generate; cgo.pcilock <= '1'; -- pragma translate_off bootmsg : report_version generic map ( "clkgen_spartan3e" & ": spartan3/e sdram/pci clock generator, version " & tost(VERSION), "clkgen_spartan3e" & ": Frequency " & tost(freq) & " KHz, DCM divisor " & tost(clk_mul) & "/" & tost(clk_div)); -- pragma translate_on end;
gpl-2.0
908e96940da43dcd8d3aa2e6b11fc77c
0.586792
3.281477
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/uart/dcom.vhd
1
5,664
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: dcom -- File: dcom.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: DSU Communications module ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use gaisler.misc.all; use gaisler.libdcom.all; entity dcom is port ( rst : in std_ulogic; clk : in std_ulogic; dmai : out ahb_dma_in_type; dmao : in ahb_dma_out_type; uarti : out dcom_uart_in_type; uarto : in dcom_uart_out_type; ahbi : in ahb_mst_in_type ); end; architecture struct of dcom is type dcom_state_type is (idle, addr1, read1, read2, write1, write2); type reg_type is record addr : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); len : std_logic_vector(5 downto 0); write : std_ulogic; clen : std_logic_vector(1 downto 0); state : dcom_state_type; hresp : std_logic_vector(1 downto 0); end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RES : reg_type := ((others => '0'), (others => '0'), (others => '0'), '0', (others => '0'), idle, (others => '0')); signal r, rin : reg_type; begin comb : process(dmao, rst, uarto, ahbi, r) variable v : reg_type; variable enable : std_ulogic; variable newlen : std_logic_vector(5 downto 0); variable vuarti : dcom_uart_in_type; variable vdmai : ahb_dma_in_type; variable newaddr : std_logic_vector(31 downto 2); begin v := r; vuarti.read := '0'; vuarti.write := '0'; vuarti.data := r.data(31 downto 24); vdmai.start := '0'; vdmai.burst := '0'; vdmai.size := "010"; vdmai.busy := '0'; vdmai.address := r.addr; vdmai.wdata := ahbdrivedata(r.data); vdmai.write := r.write; vdmai.irq := '0'; -- save hresp if dmao.ready = '1' then v.hresp := ahbi.hresp; end if; -- address incrementer newlen := r.len - 1; newaddr := r.addr(31 downto 2) + 1; case r.state is when idle => -- idle state v.clen := "00"; if uarto.dready = '1' then if uarto.data(7) = '1' then v.state := addr1; end if; v.write := uarto.data(6); v.len := uarto.data(5 downto 0); vuarti.read := '1'; end if; when addr1 => -- receive address if uarto.dready = '1' then v.addr := r.addr(23 downto 0) & uarto.data; vuarti.read := '1'; v.clen := r.clen + 1; end if; if (r.clen(1) and not v.clen(1)) = '1' then if r.write = '1' then v.state := write1; else v.state := read1; end if; end if; when read1 => -- read AHB if dmao.active = '1' then if dmao.ready = '1' then v.data := ahbreadword(dmao.rdata); v.state := read2; end if; else vdmai.start := '1'; end if; v.clen := "00"; when read2 => -- send read-data on uart if uarto.thempty = '1' then v.data := r.data(23 downto 0) & uarto.data; vuarti.write := '1'; v.clen := r.clen + 1; if (r.clen(1) and not v.clen(1)) = '1' then v.addr(31 downto 2) := newaddr; v.len := newlen; if (v.len(5) and not r.len(5)) = '1' then v.state := idle; else v.state := read1; end if; end if; end if; when write1 => -- receive write-data if uarto.dready = '1' then v.data := r.data(23 downto 0) & uarto.data; vuarti.read := '1'; v.clen := r.clen + 1; end if; if (r.clen(1) and not v.clen(1)) = '1' then v.state := write2; end if; when write2 => -- write AHB if dmao.active = '1' then if dmao.ready = '1' then v.addr(31 downto 2) := newaddr; v.len := newlen; if (v.len(5) and not r.len(5)) = '1' then v.state := idle; else v.state := write1; end if; end if; else vdmai.start := '1'; end if; v.clen := "00"; when others => v.state := idle; v.write := '0'; end case; if (not RESET_ALL) and (uarto.lock and rst) = '0' then v.state := RES.state; v.write := RES.write; end if; rin <= v; dmai <= vdmai; uarti <= vuarti; end process; regs : process(clk) begin if rising_edge(clk) then r <= rin; if RESET_ALL and (uarto.lock and rst) = '0' then r <= RES; end if; end if; end process; end;
gpl-2.0
ec5d7e3fb4e8c77dbd93b7c621911999
0.55279
3.401802
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-altera-ep2sgx90-av/leon3mp.vhd
1
22,520
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.stdlib.all; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.ddrpkg.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.net.all; use gaisler.jtag.all; library esa; use esa.memoryctrl.all; use work.ft245.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( -- RESET, CLK, ERROR resetn : in std_ulogic; clk : in std_ulogic; errorn : out std_ulogic; -- combined flash/SSRAM/IO bus (fs_...) fs_addr : out std_logic_vector(24 downto 0); fs_data : inout std_logic_vector(31 downto 0); -- IO chip enable io_cen : out std_logic; io_wen : out std_logic; -- separate flash signals (flash_...) flash_cen : out std_ulogic; flash_oen : out std_logic; flash_wen : out std_logic; -- separate SSRAM signals (ssram_...) ssram_cen : out std_logic; ssram_wen : out std_logic; ssram_bw : out std_logic_vector (3 downto 0); ssram_oen : out std_ulogic; ssram_clk : out std_ulogic; ssram_adscn : out std_ulogic; ssram_adspn : out std_ulogic; ssram_advn : out std_ulogic; -- DDR2 ddr_clk : out std_logic_vector(2 downto 0); ddr_clkb : out std_logic_vector(2 downto 0); ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_odt : out std_logic_vector(1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (7 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (7 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (13 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (63 downto 0); -- ddr data -- ETHERNET PHY phy_gtx_clk : out std_logic; phy_mii_data: inout std_logic; -- ethernet PHY interface phy_tx_clk : in std_ulogic; phy_rx_clk : in std_ulogic; phy_rx_data : in std_logic_vector(7 downto 0); phy_dv : in std_ulogic; phy_rx_er : in std_ulogic; phy_col : in std_ulogic; phy_crs : in std_ulogic; phy_tx_data : out std_logic_vector(7 downto 0); phy_tx_en : out std_ulogic; phy_tx_er : out std_ulogic; phy_mii_clk : out std_ulogic; -- debug support unit dsuact : out std_ulogic; -- console/debug UART rxd1 : in std_logic; txd1 : out std_logic; -- FT245 UART ft245_data : inout std_logic_vector (7 downto 0); ft245_rdn : out std_logic; ft245_wr : out std_logic; ft245_rxfn : in std_logic; ft245_txen : in std_logic; ft245_pwrenn : in std_logic; -- GPIO gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0) ); end; architecture rtl of leon3mp is constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH; signal vcc, gnd : std_logic_vector(7 downto 0); signal memi, smemi : memory_in_type; signal memo, smemo : memory_out_type; signal wpo : wprot_out_type; signal ddrclkfb, ssrclkfb, ddr_clkl, ddr_clk90l, ddr_clknl, ddr_clk270l : std_ulogic; signal ddr_clkv : std_logic_vector(2 downto 0); signal ddr_clkbv : std_logic_vector(2 downto 0); signal ddr_ckev : std_logic_vector(1 downto 0); signal ddr_csbv : std_logic_vector(1 downto 0); signal ddr_adl : std_logic_vector (13 downto 0); signal clklock, lock, clkml, rst, ndsuact : std_ulogic; signal tck, tckn, tms, tdi, tdo : std_ulogic; signal ddrclk, ddrrst : std_ulogic; -- attribute syn_keep : boolean; -- attribute syn_preserve : boolean; -- attribute syn_keep of clkml : signal is true; -- attribute syn_preserve of clkml : signal is true; signal extd : std_logic_vector(31 downto 0); signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector; signal clkm, rstn, ssram_clkl : std_ulogic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to NCPU-1); signal irqo : irq_out_vector(0 to NCPU-1); signal dbgi : l3_debug_in_vector(0 to NCPU-1); signal dbgo : l3_debug_out_vector(0 to NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal ethi : eth_in_type; signal etho : eth_out_type; signal gpti : gptimer_in_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal ft245i : ft245_in_type; signal ft245o : ft245_out_type; signal ft245_vbdrive : std_logic_vector(7 downto 0); constant IOAEN : integer := 1; constant BOARD_FREQ : integer := 100000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; cgi.pllref <= '0'; clklock <= cgo.clklock and lock; clkgen0 : clkgen -- clock generator for main clock generic map (tech => CFG_CLKTECH, clk_mul => CFG_CLKMUL, clk_div => CFG_CLKDIV, sdramen => CFG_MCTRL_SDEN, pcien => 0, pcidll => 0, freq => BOARD_FREQ, clk2xen => 0, clksel => 0, clk_odiv => 0) port map (clkin => clk, pciclkin => gnd(0), clk => clkm, clkn => ssram_clkl, clk2x => open, sdclk => open, pciclk => open, cgi => cgi, cgo => cgo); -- ssram_clkl <= not clkm; ssrclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (ssram_clk, ssram_clkl); rst0 : rstgen -- reset generator port map (resetn, clkm, clklock, rstn); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => maxahbm, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsui.enable <= '1'; dsui.break <= '0'; dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); end generate; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart -- Debug UART generic map (hindex => NCPU, pindex => 4, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd); end generate; nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- mctrl0 : if CFG_MCTRL_LEON2 = 1 generate mctrl0 : mctrl generic map (hindex => 0, pindex => 0, romaddr => 16#000#, rommask => 16#E00#, ioaddr => 16#200#, iomask => 16#E00#, ramaddr => 16#C00#, rammask => 16#F00#, paddr => 0, pmask => 16#FFF#, srbanks => 1, wprot => 0, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN, invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, open); end generate; memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01"; mg0 : if CFG_MCTRL_LEON2 = 0 generate -- no prom/sram pads apbo(0) <= apb_none; ahbso(0) <= ahbs_none; rom_sel_pad : outpad generic map (tech => padtech) port map (flash_cen, vcc(0)); ssram_sel_pad : outpad generic map (tech => padtech) port map (ssram_cen, vcc(0)); io_sel_pad : outpad generic map (tech => padtech) port map (io_cen, vcc(0)); end generate; mgpads : if CFG_MCTRL_LEON2 = 1 generate -- flash/ssram data/address pads fsaddr_pad : outpadv generic map (width => 25, tech => padtech) port map (fs_addr, memo.address(25 downto 1)); fsdata_pad : iopadvv generic map (width => 32, tech => padtech) port map (fs_data, memo.data, memo.vbdrive, memi.data); -- flash only pads rom_sel_pad : outpad generic map (tech => padtech) port map (flash_cen, memo.romsn(0)); rom_oen_pad : outpad generic map (tech => padtech) port map (flash_oen, memo.oen); rom_wri_pad : outpad generic map (tech => padtech) port map (flash_wen, memo.writen); -- ssram only pads ssram_adv_n_pad : outpad generic map (tech => padtech) port map (ssram_advn, vcc(0)); ssram_adsp_n_pad : outpad generic map (tech => padtech) port map (ssram_adspn, vcc(0)); ssram_adscn_pad : outpad generic map (tech => padtech) port map (ssram_adscn, gnd(0)); ssram_sel_pad : outpad generic map ( tech => padtech) port map (ssram_cen, memo.ramsn(0)); ssram_oen_pad : outpad generic map (tech => padtech) port map (ssram_oen, memo.ramoen(0)); ssram_wen_pad : outpad generic map (tech => padtech) port map (ssram_wen, memo.wrn(0)); ssram_bw_pad : outpadv generic map (width => 4, tech => padtech) port map (ssram_bw, memo.mben); -- io data io_sel_pad : outpad generic map (tech => padtech) port map (io_cen, memo.iosn); io_wri_pad : outpad generic map (tech => padtech) port map (io_wen, memo.writen); end generate; ddrsp0 : if (CFG_DDR2SP /= 0) generate ddrc0 : ddr2spa generic map ( fabtech => fabtech, memtech => memtech, hindex => 3, haddr => 16#400#, hmask => 16#C00#, ioaddr => 1, pwron => CFG_DDR2SP_INIT, MHz => BOARD_FREQ/1000, clkmul => CFG_DDR2SP_FREQ/10, clkdiv => BOARD_FREQ/10000, ahbfreq => CPU_FREQ/1000, col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE, ddrbits => 64, readdly => 1, ddelayb0 => CFG_DDR2SP_DELAY0, ddelayb1 => CFG_DDR2SP_DELAY1, ddelayb2 => CFG_DDR2SP_DELAY2, ddelayb3 => CFG_DDR2SP_DELAY3, ddelayb4 => CFG_DDR2SP_DELAY4, ddelayb5 => CFG_DDR2SP_DELAY5, ddelayb6 => CFG_DDR2SP_DELAY6, ddelayb7 => CFG_DDR2SP_DELAY7, numidelctrl => 3, norefclk => 1, odten => 1, dqsse => 1) port map ( rst_ddr => resetn, rst_ahb => rstn, clk_ddr => clk, clk_ahb => clkm, clkref200 => gnd(0), lock => lock, clkddro => clkml, clkddri => clkml, ahbsi => ahbsi, ahbso => ahbso(3), ddr_clk => ddr_clkv, ddr_clkb => ddr_clkbv, ddr_clk_fb => gnd(0), ddr_cke => ddr_ckev, ddr_csb => ddr_csbv, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_dm => ddr_dm, ddr_dqs => ddr_dqs, ddr_dqsn => open, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dq => ddr_dq, ddr_odt => ddr_odt); ddr_clk <= ddr_clkv(2 downto 0); ddr_clkb <= ddr_clkbv(2 downto 0); ddr_cke <= ddr_ckev(1 downto 0); ddr_csb <= ddr_csbv(1 downto 0); end generate; noddr : if (CFG_DDR2SP = 0) generate ddr_cke <= (others => '0'); ddr_csb <= (others => '1'); lock <= '1'; end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth1 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map(hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG, pindex => 11, paddr => 11, pirq => 12, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 18, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi, apbo => apbo(11), ethi => ethi, etho => etho); emdio_pad : iopad generic map (tech => padtech) port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : inpad generic map (tech => padtech) port map (phy_tx_clk, ethi.tx_clk); erxc_pad : inpad generic map (tech => padtech) port map (phy_rx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 8) port map (phy_rx_data, ethi.rxd(7 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (phy_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (phy_rx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (phy_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (phy_crs, ethi.rx_crs); etxd_pad : outpadv generic map (tech => padtech, width => 8) port map (phy_tx_data, etho.txd(7 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map ( phy_tx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (phy_tx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (phy_mii_clk, etho.mdc); end generate; ---------------------------------------------------------------------- --- APB Bridge and various peripherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo); ua1 : if CFG_UART1_ENABLE = 1 generate uart1 : ft245uart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart) port map (rstn, clkm, apbi, apbo(1), ft245i, ft245o); ft245_vbdrive <= (others => ft245o.oen); ft245_data_pad : iopadvv generic map (width => 8, tech => padtech) port map (ft245_data, ft245o.wrdata, ft245_vbdrive, ft245i.rddata); ft245_rdn_pad : outpad generic map (tech => padtech) port map (ft245_rdn, ft245o.rdn); ft245_wr_pad : outpad generic map (tech => padtech) port map (ft245_wr, ft245o.wr); ft245_rxfn_pad : inpad generic map (tech => padtech) port map (ft245_rxfn, ft245i.rxfn); ft245_txen_pad : inpad generic map (tech => padtech) port map (ft245_txen, ft245i.txen); ft245_pwrenn_pad : inpad generic map (tech => padtech) port map (ft245_pwrenn, ft245i.pwrenn); end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio0: grgpio generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5), gpioi => gpioi, gpioo => gpioo); pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; ----------------------------------------------------------------------- --- AHB ROM ---------------------------------------------------------- ----------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(6)); end generate; nobpromgen : if CFG_AHBROMEN = 0 generate ahbso(6) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ahbramgen : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map (rstn, clkm, ahbsi, ahbso(7)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; -- nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- nah0 : for i in 7 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Altera EP2SGX90 SSRAM/DDR Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
91cfb71a2604a0abb953ac9d3db5a32d
0.549734
3.656438
false
false
false
false
aortiz49/MIPS-Processor
Hardware/single_cycle_tb.vhd
1
813
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity single_cycle_tb is end single_cycle_tb; architecture behv of single_cycle_tb is signal clk : std_logic := '0'; signal pc_rst : std_logic := '0'; signal programCounter : std_logic_vector(31 downto 0); signal instruction_out : std_logic_vector(31 downto 0); signal instr_addr : std_logic_vector(7 downto 0); begin single_cycle1: entity work.single_cycle port map ( clk => clk, pc_rst => pc_rst, programCounter => programCounter, instruction_out => instruction_out, instr_addr => instr_addr ); clk <= not clk after 10 ns; process begin pc_rst <= '1'; wait for 5 ns; pc_rst <= '0'; wait; end process; end behv;
mit
439a1179be77353e65033ce57a50cb77
0.608856
2.700997
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-sp605/pciahbmst.vhd
3
4,753
----------------------------------------------------------------------------- -- Entity: pciahbmst -- File: pciahbmst.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Generic AHB master interface ----------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.pci.all; entity pciahbmst is generic ( hindex : integer := 0; hirq : integer := 0; venid : integer := VENDOR_GAISLER; devid : integer := 0; version : integer := 0; chprot : integer := 3; incaddr : integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; dmai : in pci_ahb_dma_in_type; dmao : out pci_ahb_dma_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type ); end; architecture rtl of pciahbmst is constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( venid, devid, 0, version, 0), others => zero32); type reg_type is record start : std_ulogic; retry : std_ulogic; grant : std_ulogic; active : std_ulogic; end record; signal r, rin : reg_type; begin comb : process(ahbi, dmai, rst, r) variable v : reg_type; variable ready : std_ulogic; variable retry : std_ulogic; variable mexc : std_ulogic; variable inc : std_logic_vector(3 downto 0); -- address increment variable haddr : std_logic_vector(31 downto 0); -- AHB address variable hwdata : std_logic_vector(31 downto 0); -- AHB write data variable htrans : std_logic_vector(1 downto 0); -- transfer type variable hwrite : std_ulogic; -- read/write variable hburst : std_logic_vector(2 downto 0); -- burst type variable newaddr : std_logic_vector(10 downto 0); -- next sequential address variable hbusreq : std_ulogic; -- bus request variable hprot : std_logic_vector(3 downto 0); -- transfer type variable xhirq : std_logic_vector(NAHBIRQ-1 downto 0); variable kblimit : std_logic; -- 1 kB limit indicator begin v := r; ready := '0'; mexc := '0'; retry := '0'; inc := (others => '0'); hprot := conv_std_logic_vector(chprot, 4); -- non-cached supervisor data xhirq := (others => '0'); xhirq(hirq) := dmai.irq; kblimit := '0'; haddr := dmai.address; hbusreq := dmai.start; hwdata := dmai.wdata; newaddr := dmai.address(10 downto 0); if INCADDR > 0 then inc(conv_integer(dmai.size)) := '1'; newaddr := haddr(10 downto 0) + inc; if (newaddr(10) xor haddr(10)) = '1' then kblimit := '1'; end if; end if; -- hburst := HBURST_SINGLE; if dmai.burst = '0' then hburst := HBURST_SINGLE; else hburst := HBURST_INCR; end if; if dmai.start = '1' then -- hburst := HBURST_INCR; if (r.active and dmai.burst and not r.retry) = '1' then haddr(9 downto 0) := newaddr(9 downto 0); if dmai.busy = '1' then htrans := HTRANS_BUSY; elsif kblimit = '1' then htrans := HTRANS_IDLE; else htrans := HTRANS_SEQ; end if; else htrans := HTRANS_NONSEQ; end if; else htrans := HTRANS_IDLE; end if; if r.active = '1' then if ahbi.hready = '1' then case ahbi.hresp is when HRESP_OKAY => ready := '1'; when HRESP_RETRY | HRESP_SPLIT=> retry := '1'; when others => ready := '1'; mexc := '1'; end case; end if; if ((ahbi.hresp = HRESP_RETRY) or (ahbi.hresp = HRESP_SPLIT)) then v.retry := not ahbi.hready; else v.retry := '0'; end if; end if; if r.retry = '1' then htrans := HTRANS_IDLE; end if; v.start := '0'; if ahbi.hready = '1' then v.grant := ahbi.hgrant(hindex); if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) or (htrans = HTRANS_BUSY) then v.active := r.grant; v.start := r.grant; else v.active := '0'; end if; end if; if rst = '0' then v.retry := '0'; v.active := '0'; end if; rin <= v; ahbo.haddr <= haddr; ahbo.htrans <= htrans; ahbo.hbusreq <= hbusreq; ahbo.hwdata <= ahbdrivedata(dmai.wdata); ahbo.hconfig <= hconfig; ahbo.hlock <= '0'; ahbo.hwrite <= dmai.write; ahbo.hsize <= '0' & dmai.size; ahbo.hburst <= hburst; ahbo.hprot <= hprot; ahbo.hirq <= xhirq; ahbo.hindex <= hindex; dmao.start <= r.start; dmao.active <= r.active; dmao.ready <= ready; dmao.mexc <= mexc; dmao.retry <= retry; dmao.haddr <= newaddr(9 downto 0); dmao.rdata <= ahbreadword(ahbi.hrdata); end process; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; end;
gpl-2.0
55eb42edc16f8f193cf5c1177d237bd4
0.573322
3.449202
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/cycloneiii/alt/adqout.vhd
3
6,323
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library cycloneiii; use cycloneiii.all; library altera; use altera.all; entity adqout is port( clk : in std_logic; -- clk0 clk_oct : in std_logic; -- clk90 dq_h : in std_logic; dq_l : in std_logic; dq_oe : in std_logic; dq_oct : in std_logic; -- gnd = disable dq_pad : out std_logic -- DQ pad ); end; architecture rtl of adqout is component cycloneiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; lpm_type : string := "cycloneiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic; dfflo : out std_logic; dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; component cycloneiii_io_obuf generic( bus_hold : string := "false"; open_drain_output : string := "false"; lpm_type : string := "cycloneiii_io_obuf" ); port( i : in std_logic := '0'; oe : in std_logic := '1'; --devoe : in std_logic := '1'; o : out std_logic; obar : out std_logic--; --seriesterminationcontrol : in std_logic_vector(15 downto 0) := (others => '0') ); end component; component cycloneiii_ddio_oe is generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; lpm_type : string := "cycloneiii_ddio_oe" ); port ( oe : IN std_logic := '1'; clk : IN std_logic := '0'; ena : IN std_logic := '1'; areset : IN std_logic := '0'; sreset : IN std_logic := '0'; dataout : OUT std_logic--; --dfflo : OUT std_logic; --dffhi : OUT std_logic; --devclrn : IN std_logic := '1'; --devpor : IN std_logic := '1' ); end component; component DFF is port( d, clk, clrn, prn : in std_logic; q : out std_logic); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal dq_reg : std_logic; signal dq_oe_reg, dq_oe_reg_n, dq_oct_reg : std_logic; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute syn_keep of dq_oe_reg : signal is true; attribute syn_preserve of dq_oe_reg : signal is true; attribute syn_keep of dq_oe_reg_n : signal is true; attribute syn_preserve of dq_oe_reg_n : signal is true; begin vcc <= '1'; gnd <= (others => '0'); -- DQ output register -------------------------------------------------------------- dq_reg0 : cycloneiii_ddio_out generic map( power_up => "high", async_mode => "none", sync_mode => "none", lpm_type => "cycloneiii_ddio_out" ) port map( datainlo => dq_l, datainhi => dq_h, clk => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => dq_reg--, --dfflo => open, --dffhi => open, --devclrn => vcc, --devpor => vcc ); -- Outout enable and oct for DQ ----------------------------------------------------- -- dq_oe_reg0 : stratixiii_ddio_oe -- generic map( -- power_up => "low", -- async_mode => "none", -- sync_mode => "none", -- lpm_type => "stratixiii_ddio_oe" -- ) -- port map( -- oe => dq_oe, -- clk => clk, -- ena => vcc, -- areset => gnd(0), -- sreset => gnd(0), -- dataout => dq_oe_reg--, -- --dfflo => open, -- --dffhi => open, -- --devclrn => vcc, -- --devpor => vcc -- ); -- dq_oe_reg0 : dff -- port map( -- d => dq_oe, -- clk => clk, -- clrn => vcc, -- prn => vcc, -- q => dq_oe_reg -- ); dq_oe_reg0 : process(clk) begin if rising_edge(clk) then dq_oe_reg <= not dq_oe; end if; end process; dq_oe_reg_n <= not dq_oe_reg; -- dq_oct_reg0 : cycloneiii_ddio_oe -- generic map( -- power_up => "low", -- async_mode => "none", -- sync_mode => "none", -- lpm_type => "cycloneiii_ddio_oe" -- ) -- port map( -- oe => dq_oct, -- clk => clk_oct, -- ena => vcc, -- areset => gnd(0), -- sreset => gnd(0), -- dataout => dq_oct_reg--, -- --dfflo => open, -- --dffhi => open, -- --devclrn => vcc, -- --devpor => vcc -- ); -- Out buffer (DQ) ------------------------------------------------------------------ dq_buf0 : cycloneiii_io_obuf generic map( open_drain_output => "false", bus_hold => "false", lpm_type => "cycloneiii_io_obuf" ) port map( i => dq_reg, oe => dq_oe_reg,--_n, --devoe => vcc, o => dq_pad, obar => open --seriesterminationcontrol => gnd, ); end;
gpl-2.0
bba43e44f5e78effb9afe7eac9b8becb
0.396805
3.788496
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-terasic-de4/pll_125.vhd
3
5,558
library ieee; use ieee.std_logic_1164.all; library altera_mf; use altera_mf.all; entity pll_125 is port( inclk0 : in std_logic := '0'; c0 : out std_logic ); end pll_125; architecture syn of pll_125 is COMPONENT altpll GENERIC ( bandwidth_type : STRING; clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; clk1_divide_by : NATURAL; clk1_duty_cycle : NATURAL; clk1_multiply_by : NATURAL; clk1_phase_shift : STRING; clk2_divide_by : NATURAL; clk2_duty_cycle : NATURAL; clk2_multiply_by : NATURAL; clk2_phase_shift : STRING; clk3_divide_by : NATURAL; clk3_duty_cycle : NATURAL; clk3_multiply_by : NATURAL; clk3_phase_shift : STRING; clk4_divide_by : NATURAL; clk4_duty_cycle : NATURAL; clk4_multiply_by : NATURAL; clk4_phase_shift : STRING; clk5_divide_by : NATURAL; clk5_duty_cycle : NATURAL; clk5_multiply_by : NATURAL; clk5_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; pll_type : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_fbout : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clk6 : STRING; port_clk7 : STRING; port_clk8 : STRING; port_clk9 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; self_reset_on_loss_lock : STRING; using_fbmimicbidir_port : STRING; width_clock : NATURAL ); PORT ( phasestep : IN STD_LOGIC ; phaseupdown : IN STD_LOGIC ; inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); phasecounterselect : IN STD_LOGIC_VECTOR (3 DOWNTO 0); locked : OUT STD_LOGIC ; phasedone : OUT STD_LOGIC ; areset : IN STD_LOGIC ; clk : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); scanclk : IN STD_LOGIC ); END COMPONENT; signal sub_wire3 : std_logic_vector(1 downto 0); signal sub_wire0 : std_logic_vector(9 downto 0); begin sub_wire3 <= '0' & inclk0; c0 <= sub_wire0(0); altpll_component : altpll generic map ( bandwidth_type => "AUTO", clk0_divide_by => 2, clk0_duty_cycle => 50, clk0_multiply_by => 5, clk0_phase_shift => "0", clk1_divide_by => 2, clk1_duty_cycle => 50, clk1_multiply_by => 5, clk1_phase_shift => "0", clk2_divide_by => 2, clk2_duty_cycle => 50, clk2_multiply_by => 5, clk2_phase_shift => "0", clk3_divide_by => 2, clk3_duty_cycle => 50, clk3_multiply_by => 5, clk3_phase_shift => "0", clk4_divide_by => 2, clk4_duty_cycle => 50, clk4_multiply_by => 5, clk4_phase_shift => "0", clk5_divide_by => 2, clk5_duty_cycle => 50, clk5_multiply_by => 5, clk5_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 20000, intended_device_family => "Stratix IV", lpm_hint => "CBX_MODULE_PREFIX=pll_125", lpm_type => "altpll", operation_mode => "NORMAL", pll_type => "AUTO", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_fbout => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_UNUSED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_UNUSED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clk6 => "PORT_UNUSED", port_clk7 => "PORT_UNUSED", port_clk8 => "PORT_UNUSED", port_clk9 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", using_fbmimicbidir_port => "OFF", self_reset_on_loss_lock => "OFF", width_clock => 10 ) port map ( inclk => sub_wire3, clk => sub_wire0, areset => '0', phasecounterselect => "1111", phasestep => '1', phaseupdown => '1', scanclk => '0' ); end architecture syn;
gpl-2.0
55d274ce4685f92f15ed543f16c25d54
0.629003
2.624174
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-gr-cpci-xc4v/dprc_fir_demo/fir_v1.vhd
4
4,901
------------------------------------------------------------------------------ -- Copyright (c) 2014, Pascal Trotta - Testgroup (Politecnico di Torino) -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- -- 1. Redistributions of source code must retain the above copyright notice, this -- list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright notice, this -- list of conditions and the following disclaimer in the documentation and/or other -- materials provided with the distribution. -- -- THIS SOURCE CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY -- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF -- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE -- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE -- OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. ----------------------------------------------------------------------------- -- Entity: fir -- File: fir_v1.vhd -- Author: Pascal Trotta (TestGroup research group - Politecnico di Torino) -- Contacts: [email protected] www.testgroup.polito.it -- Description: FIR filter core (version 1) -- for dprc demo ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity fir is port ( clk : in std_ulogic; rst : in std_ulogic; start : in std_ulogic; in_data : in std_logic_vector(31 downto 0); in_data_read : out std_ulogic; out_data : out std_logic_vector (31 downto 0); out_data_write : out std_ulogic); end fir; architecture fir_rtl of fir is type fsm_state is (idle, running); signal pstate, nstate : fsm_state; type sh_reg_type is array (0 to 8) of unsigned(7 downto 0); signal sh_reg, rsh_reg : sh_reg_type; type mul_type is array (0 to 9) of unsigned(15 downto 0); signal mul, rmul : mul_type; signal rout_data : std_logic_vector(21 downto 0); signal dcount, rdcount : std_logic_vector(8 downto 0); type coeffT is array (0 to 9) of unsigned(7 downto 0); constant coeff : coeffT := (to_unsigned(21,8),to_unsigned(23,8),to_unsigned(21,8),to_unsigned(19,8),to_unsigned(13,8),to_unsigned(9,8),to_unsigned(13,8),to_unsigned(15,8),to_unsigned(21,8),to_unsigned(17,8)); begin comb: process(rsh_reg, rmul, start, pstate, rdcount, in_data) variable vsh_reg : sh_reg_type; variable vout_data : unsigned(21 downto 0); variable start_flag : std_ulogic; variable vdcount : std_logic_vector(8 downto 0); begin vdcount := rdcount; vout_data := to_unsigned(0,22); for i in 8 downto 1 loop vsh_reg(i) := rsh_reg(i-1); end loop; vsh_reg(0) := unsigned(in_data(7 downto 0)); for i in 0 to 9 loop vout_data := vout_data + rmul(i); end loop; in_data_read <= '0'; out_data_write <= '0'; case pstate is when idle => if start='1' then nstate <= running; else nstate <= idle; vdcount := (others=>'0'); end if; when running => if vdcount=std_logic_vector(to_unsigned(102,9)) then nstate<=idle; in_data_read <= '0'; else nstate<=running; in_data_read <= '1'; end if; if vdcount>=std_logic_vector(to_unsigned(12,9)) then --9+2latency out_data_write <= '1'; end if; end case; if pstate/= idle then vdcount := vdcount+1; end if; sh_reg <= vsh_reg; rout_data(21 downto 0) <= std_logic_vector(vout_data); dcount <= vdcount; end process; reg: process(clk,rst) begin if (rst='1') then for i in 0 to 8 loop rsh_reg(i) <= (others=>'0'); end loop; for i in 0 to 9 loop rmul(i) <= (others=>'0'); end loop; out_data <= (others=>'0'); rdcount <= (others=>'0'); pstate <= idle; elsif rising_edge(clk) then rsh_reg <= sh_reg; rmul <= mul; out_data <= "0000000000"&rout_data; rdcount <= dcount; pstate <= nstate; end if; end process; mul_gen: for i in 1 to 9 generate mul(i) <= rsh_reg(i-1) * coeff(i); end generate; mul(0) <= unsigned(in_data(7 downto 0))*coeff(0); end fir_rtl;
gpl-2.0
4e9a5e9cca4f7d44cb46d229f44dbb21
0.617629
3.619645
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/clkand.vhd
1
3,929
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: clkand -- File: clkand.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Clock gating ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.gencomp.all; use work.allclkgen.all; entity clkand is generic( tech : integer := 0; ren : integer range 0 to 1 := 0); -- registered enable port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end entity; architecture rtl of clkand is signal eni : std_ulogic; begin re : if ren = 1 generate renproc : process(i) begin if falling_edge(i) then eni <= en; end if; end process; end generate; ce : if ren = 0 generate eni <= en; end generate; struct : if has_clkand(tech) = 1 generate xil : if is_unisim(tech) = 1 generate clkgate : clkand_unisim port map(I => i, en => eni, O => o); end generate; ut : if (tech = ut25) generate clkgate : clkand_ut025crh port map(I => i, en => eni, O => o); end generate; rhl : if (tech = rhlib18t) generate clkgate : clkand_rh_lib18t port map(I => i, en => eni, O => o, tsten => tsten); end generate; ut13 : if (tech = ut130) generate clkgate : clkand_ut130hbd port map(I => i, en => eni, O => o, tsten => tsten); end generate; ut09 : if (tech = ut90) generate clkgate : clkand_ut90nhbd port map(I => i, en => eni, O => o, tsten => tsten); end generate; n2x : if (tech = easic45) generate clkgate : clkand_n2x port map(i => i, en => eni, o => o, tsten => tsten); end generate; saed : if (tech = saed32) generate clkgate : clkand_saed32 port map(i => i, en => eni, o => o, tsten => tsten); end generate; rhs : if (tech = rhs65) generate clkgate : clkand_rhs65 port map(i => i, en => eni, o => o, tsten => tsten); end generate; dar : if (tech = dare) generate clkgate : clkand_dare port map(i => i, en => eni, o => o, tsten => tsten); end generate; end generate; gen : if has_clkand(tech) = 0 generate o <= i and (eni or tsten); end generate; end architecture; library ieee; use ieee.std_logic_1164.all; use work.gencomp.all; use work.allclkgen.all; entity clkrand is generic( tech : integer := 0); port( i : in std_ulogic; en : in std_ulogic; o : out std_ulogic; tsten : in std_ulogic := '0' ); end entity; architecture rtl of clkrand is signal eni : std_ulogic; begin ut13 : if (tech = ut130) generate eni <= en or tsten; clkgate : clkrand_ut130hbd port map(I => i, en => en, O => o); end generate; nonut13 : if (tech /= ut130) generate clkgate : clkand generic map (tech, 1) port map (i, en, o, tsten); end generate; end;
gpl-2.0
a3d8e6c6f854c52ac4a3374a077124d4
0.587427
3.594694
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-terasic-de0-nano/config.vhd
1
6,412
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := cyclone3; constant CFG_MEMTECH : integer := cyclone3; constant CFG_PADTECH : integer := cyclone3; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := cyclone3; constant CFG_CLKMUL : integer := (5); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 0*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- SDRAM controller constant CFG_SDCTRL : integer := 1; constant CFG_SDCTRL_INVCLK : integer := 0; constant CFG_SDCTRL_SD64 : integer := 0; constant CFG_SDCTRL_PAGE : integer := 0 + 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- SPI memory controller constant CFG_SPIMCTRL : integer := 1; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0B#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 1; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := (1); constant CFG_SPIMCTRL_ASCALER : integer := (2); constant CFG_SPIMCTRL_PWRUPCNT : integer := (0); constant CFG_SPIMCTRL_OFFSET : integer := 16#50000#; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (16); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#fe#; constant CFG_GRGPIO_WIDTH : integer := (32); -- Second GPIO port constant CFG_GRGPIO2_ENABLE : integer := 1; constant CFG_GRGPIO2_IMASK : integer := 16#fe#; constant CFG_GRGPIO2_WIDTH : integer := (32); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
ef1ac26b34bf5507cc4dbac532002cc4
0.649875
3.685057
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-arrow-bemicro-sdk/ahbrom.vhd
3
8,961
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2010 Aeroflex Gaisler ---------------------------------------------------------------------------- -- Entity: ahbrom -- File: ahbrom.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: AHB rom. 0/1-waitstate read ---------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; entity ahbrom is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#fff#; pipe : integer := 0; tech : integer := 0; kbytes : integer := 1); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end; architecture rtl of ahbrom is constant abits : integer := 10; constant bytes : integer := 560; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0), 4 => ahb_membar(haddr, '1', '1', hmask), others => zero32); signal romdata : std_logic_vector(31 downto 0); signal addr : std_logic_vector(abits-1 downto 2); signal hsel, hready : std_ulogic; begin ahbso.hresp <= "00"; ahbso.hsplit <= (others => '0'); ahbso.hirq <= (others => '0'); ahbso.hconfig <= hconfig; ahbso.hindex <= hindex; reg : process (clk) begin if rising_edge(clk) then addr <= ahbsi.haddr(abits-1 downto 2); end if; end process; p0 : if pipe = 0 generate ahbso.hrdata <= ahbdrivedata(romdata); ahbso.hready <= '1'; end generate; p1 : if pipe = 1 generate reg2 : process (clk) begin if rising_edge(clk) then hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1); hready <= ahbsi.hready; ahbso.hready <= (not rst) or (hsel and hready) or (ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready); ahbso.hrdata <= ahbdrivedata(romdata); end if; end process; end generate; comb : process (addr) begin case conv_integer(addr) is when 16#00000# => romdata <= X"81D82000"; when 16#00001# => romdata <= X"03000004"; when 16#00002# => romdata <= X"821060E0"; when 16#00003# => romdata <= X"81884000"; when 16#00004# => romdata <= X"81900000"; when 16#00005# => romdata <= X"81980000"; when 16#00006# => romdata <= X"81800000"; when 16#00007# => romdata <= X"A1800000"; when 16#00008# => romdata <= X"01000000"; when 16#00009# => romdata <= X"03002040"; when 16#0000A# => romdata <= X"8210600F"; when 16#0000B# => romdata <= X"C2A00040"; when 16#0000C# => romdata <= X"84100000"; when 16#0000D# => romdata <= X"01000000"; when 16#0000E# => romdata <= X"01000000"; when 16#0000F# => romdata <= X"01000000"; when 16#00010# => romdata <= X"01000000"; when 16#00011# => romdata <= X"01000000"; when 16#00012# => romdata <= X"80108002"; when 16#00013# => romdata <= X"01000000"; when 16#00014# => romdata <= X"01000000"; when 16#00015# => romdata <= X"01000000"; when 16#00016# => romdata <= X"01000000"; when 16#00017# => romdata <= X"01000000"; when 16#00018# => romdata <= X"87444000"; when 16#00019# => romdata <= X"8608E01F"; when 16#0001A# => romdata <= X"88100000"; when 16#0001B# => romdata <= X"8A100000"; when 16#0001C# => romdata <= X"8C100000"; when 16#0001D# => romdata <= X"8E100000"; when 16#0001E# => romdata <= X"A0100000"; when 16#0001F# => romdata <= X"A2100000"; when 16#00020# => romdata <= X"A4100000"; when 16#00021# => romdata <= X"A6100000"; when 16#00022# => romdata <= X"A8100000"; when 16#00023# => romdata <= X"AA100000"; when 16#00024# => romdata <= X"AC100000"; when 16#00025# => romdata <= X"AE100000"; when 16#00026# => romdata <= X"90100000"; when 16#00027# => romdata <= X"92100000"; when 16#00028# => romdata <= X"94100000"; when 16#00029# => romdata <= X"96100000"; when 16#0002A# => romdata <= X"98100000"; when 16#0002B# => romdata <= X"9A100000"; when 16#0002C# => romdata <= X"9C100000"; when 16#0002D# => romdata <= X"9E100000"; when 16#0002E# => romdata <= X"86A0E001"; when 16#0002F# => romdata <= X"16BFFFEF"; when 16#00030# => romdata <= X"81E00000"; when 16#00031# => romdata <= X"82102002"; when 16#00032# => romdata <= X"81904000"; when 16#00033# => romdata <= X"03000004"; when 16#00034# => romdata <= X"821060E0"; when 16#00035# => romdata <= X"81884000"; when 16#00036# => romdata <= X"01000000"; when 16#00037# => romdata <= X"01000000"; when 16#00038# => romdata <= X"01000000"; when 16#00039# => romdata <= X"83480000"; when 16#0003A# => romdata <= X"8330600C"; when 16#0003B# => romdata <= X"80886001"; when 16#0003C# => romdata <= X"02800024"; when 16#0003D# => romdata <= X"01000000"; when 16#0003E# => romdata <= X"07000000"; when 16#0003F# => romdata <= X"8610E178"; when 16#00040# => romdata <= X"C108C000"; when 16#00041# => romdata <= X"C118C000"; when 16#00042# => romdata <= X"C518C000"; when 16#00043# => romdata <= X"C918C000"; when 16#00044# => romdata <= X"CD18C000"; when 16#00045# => romdata <= X"D118C000"; when 16#00046# => romdata <= X"D518C000"; when 16#00047# => romdata <= X"D918C000"; when 16#00048# => romdata <= X"DD18C000"; when 16#00049# => romdata <= X"E118C000"; when 16#0004A# => romdata <= X"E518C000"; when 16#0004B# => romdata <= X"E918C000"; when 16#0004C# => romdata <= X"ED18C000"; when 16#0004D# => romdata <= X"F118C000"; when 16#0004E# => romdata <= X"F518C000"; when 16#0004F# => romdata <= X"F918C000"; when 16#00050# => romdata <= X"FD18C000"; when 16#00051# => romdata <= X"01000000"; when 16#00052# => romdata <= X"01000000"; when 16#00053# => romdata <= X"01000000"; when 16#00054# => romdata <= X"01000000"; when 16#00055# => romdata <= X"01000000"; when 16#00056# => romdata <= X"89A00842"; when 16#00057# => romdata <= X"01000000"; when 16#00058# => romdata <= X"01000000"; when 16#00059# => romdata <= X"01000000"; when 16#0005A# => romdata <= X"01000000"; when 16#0005B# => romdata <= X"10800005"; when 16#0005C# => romdata <= X"01000000"; when 16#0005D# => romdata <= X"01000000"; when 16#0005E# => romdata <= X"00000000"; when 16#0005F# => romdata <= X"00000000"; when 16#00060# => romdata <= X"87444000"; when 16#00061# => romdata <= X"8730E01C"; when 16#00062# => romdata <= X"8688E00F"; when 16#00063# => romdata <= X"12800016"; when 16#00064# => romdata <= X"03200000"; when 16#00065# => romdata <= X"05040E00"; when 16#00066# => romdata <= X"8410A133"; when 16#00067# => romdata <= X"C4204000"; when 16#00068# => romdata <= X"0539A803"; when 16#00069# => romdata <= X"8410A261"; when 16#0006A# => romdata <= X"C4206004"; when 16#0006B# => romdata <= X"050003FC"; when 16#0006C# => romdata <= X"C4206008"; when 16#0006D# => romdata <= X"82103860"; when 16#0006E# => romdata <= X"C4004000"; when 16#0006F# => romdata <= X"8530A00C"; when 16#00070# => romdata <= X"03000004"; when 16#00071# => romdata <= X"82106009"; when 16#00072# => romdata <= X"80A04002"; when 16#00073# => romdata <= X"12800006"; when 16#00074# => romdata <= X"033FFC00"; when 16#00075# => romdata <= X"82106100"; when 16#00076# => romdata <= X"0539A81B"; when 16#00077# => romdata <= X"8410A260"; when 16#00078# => romdata <= X"C4204000"; when 16#00079# => romdata <= X"05000008"; when 16#0007A# => romdata <= X"82100000"; when 16#0007B# => romdata <= X"80A0E000"; when 16#0007C# => romdata <= X"02800005"; when 16#0007D# => romdata <= X"01000000"; when 16#0007E# => romdata <= X"82004002"; when 16#0007F# => romdata <= X"10BFFFFC"; when 16#00080# => romdata <= X"8620E001"; when 16#00081# => romdata <= X"3D1003FF"; when 16#00082# => romdata <= X"BC17A3E0"; when 16#00083# => romdata <= X"BC278001"; when 16#00084# => romdata <= X"9C27A060"; when 16#00085# => romdata <= X"03100000"; when 16#00086# => romdata <= X"81C04000"; when 16#00087# => romdata <= X"01000000"; when 16#00088# => romdata <= X"00000000"; when 16#00089# => romdata <= X"00000000"; when 16#0008A# => romdata <= X"00000000"; when 16#0008B# => romdata <= X"00000000"; when 16#0008C# => romdata <= X"00000000"; when others => romdata <= (others => '-'); end case; end process; -- pragma translate_off bootmsg : report_version generic map ("ahbrom" & tost(hindex) & ": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" ); -- pragma translate_on end;
gpl-2.0
20e2d047fbb8384ea8fb8c1f0341ff69
0.58085
3.28844
false
false
false
false
ECE492W2014G4/G4Capstone
fifo_buffer.vhd
1
1,626
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_misc.all; use IEEE.std_logic_arith.all; entity fifo_buffer is Generic ( constant data_width : positive := 4; constant fifo_depth : positive := 4 ); Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; write_en : in STD_LOGIC; data_in : in STD_LOGIC_VECTOR (data_width - 1 downto 0); read_en : in STD_LOGIC; data_out : out STD_LOGIC_VECTOR (data_width - 1 downto 0) ); end fifo_buffer; architecture Behavioral of fifo_buffer is begin -- Memory Pointer Process fifo_proc : process (CLK) type fifo_memory is array (0 to fifo_depth - 1) of STD_LOGIC_VECTOR (data_width - 1 downto 0); variable Memory : fifo_memory; variable write_pointer : natural range 0 to fifo_depth - 1; variable read_pointer : natural range 0 to fifo_depth - 1; --variable Looped : boolean; begin if reset = '1' then write_pointer := 0; read_pointer := 0; data_out <= X"00"; elsif (rising_edge(clk)) then -- write_pointer Process if write_en = '1' then Memory(write_pointer) := data_in; -- Check if write_pointer pointer is at the end of the buffer if (write_pointer = fifo_depth - 1) then write_pointer := 0; else write_pointer := write_pointer + 1; end if; end if; if read_en = '1' then -- data_out <= x"01"; data_out <= Memory(read_pointer); -- Check if read_pointer pointer is at the end of the buffer if (read_pointer = fifo_depth - 1) then read_pointer := 0; else read_pointer := read_pointer + 1; end if; end if; end if; end process; end Behavioral;
gpl-3.0
761c17413c318343526dc306800fe413
0.644526
2.92973
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/dware/mul_dware.vhd
1
4,116
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: misc -- File: mul_dware.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Dware multipliers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library Dware; use DWARE.DWpackages.all; use DWARE.DW_Foundation_comp_arith.all; entity mul_dw is generic ( a_width : positive := 2; -- multiplier word width b_width : positive := 2; -- multiplicand word width num_stages : positive := 2; -- number of pipeline stages stall_mode : natural range 0 to 1 := 1 -- '0': non-stallable; '1': stallable ); port(a : in std_logic_vector(a_width-1 downto 0); b : in std_logic_vector(b_width-1 downto 0); clk : in std_logic; en : in std_logic; sign : in std_logic; product : out std_logic_vector(a_width+b_width-1 downto 0)); end; architecture rtl of mul_dw is component DW02_mult generic( A_width: NATURAL; -- multiplier wordlength B_width: NATURAL); -- multiplicand wordlength port(A : in std_logic_vector(A_width-1 downto 0); B : in std_logic_vector(B_width-1 downto 0); TC : in std_logic; -- signed -> '1', unsigned -> '0' PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0)); end component; signal gnd : std_ulogic; begin gnd <= '0'; np : if num_stages = 1 generate u0 : DW02_mult generic map ( a_width => a_width, b_width => b_width) port map (a => a, b => b, TC => sign, product => product); end generate; pipe : if num_stages > 1 generate u0 : DW_mult_pipe generic map ( a_width => a_width, b_width => b_width, num_stages => num_stages, stall_mode => stall_mode, rst_mode => 0) port map (a => a, b => b, TC => sign, clk => clk, product => product, rst_n => gnd, en => en); end generate; end; library ieee; use ieee.std_logic_1164.all; library Dware; use DWARE.DWpackages.all; use DWARE.DW_Foundation_comp_arith.all; entity dw_mul_61x61 is port(A : in std_logic_vector(60 downto 0); B : in std_logic_vector(60 downto 0); CLK : in std_logic; PRODUCT : out std_logic_vector(121 downto 0)); end; architecture rtl of dw_mul_61x61 is signal gnd : std_ulogic; signal pin, p : std_logic_vector(121 downto 0); begin gnd <= '0'; -- u0 : DW02_mult_2_stage -- generic map ( A_width => A'length, B_width => B'length ) -- port map ( A => A, B => B, TC => gnd, CLK => CLK, PRODUCT => pin ); u0 : DW_mult_pipe generic map ( a_width => 61, b_width => 61, num_stages => 2, stall_mode => 0, rst_mode => 0) port map (a => a, b => b, TC => gnd, clk => clk, product => pin, rst_n => gnd, en => gnd); reg0 : process(CLK) begin if rising_edge(CLK) then p <= pin; end if; end process; PRODUCT <= p; end;
gpl-2.0
78ae45f99f6c87d6213df205a88d0643
0.566812
3.551337
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/uart/uart.vhd
1
2,858
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- package: uart -- File: uart.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: UART types and components ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; package uart is type uart_in_type is record rxd : std_ulogic; ctsn : std_ulogic; extclk : std_ulogic; end record; type uart_in_vector_type is array (natural range <>) of uart_in_type; type uart_out_type is record rtsn : std_ulogic; txd : std_ulogic; scaler : std_logic_vector(31 downto 0); txen : std_ulogic; flow : std_ulogic; rxen : std_ulogic; end record; type uart_out_vector_type is array (natural range <>) of uart_out_type; component apbuart generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; console : integer := 0; pirq : integer := 0; parity : integer := 1; flow : integer := 1; fifosize : integer range 1 to 32 := 1; abits : integer := 8; sbits : integer range 12 to 32 := 12); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; uarti : in uart_in_type; uarto : out uart_out_type); end component; component ahbuart generic ( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff# ); port ( rst : in std_ulogic; clk : in std_ulogic; uarti : in uart_in_type; uarto : out uart_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type); end component; end;
gpl-2.0
dba26e79971a1278924943242d0564fd
0.584675
3.659411
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-terasic-sockit/leon3mp.vhd
1
66,541
----------------------------------------------------------------------------- -- LEON3 Terasic Sockit demonstration design -- By Martin George ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib, techmap; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; --library techmap; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.jtag.all; use gaisler.spi.all; use gaisler.i2c.all; use gaisler.net.all; --pragma translate_off use gaisler.sim.all; --pragma translate_on library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( -- --DDR3-- DDR3_A : out std_logic_vector(14 downto 0); DDR3_BA : out std_logic_vector(2 downto 0); DDR3_CAS_n : out std_logic; DDR3_CKE : out std_logic; DDR_CK_n : out std_logic; DDR3_CK_p : out std_logic; DDR3_CS_n : out std_logic; DDR3_DM : out std_logic_vector(3 downto 0); DDR3_DQ : inout std_logic_vector(31 downto 0); DDR3_DQS_n : inout std_logic_vector(3 downto 0); DDR3_DQS_p : inout std_logic_vector(3 downto 0); DDR3_ODT : out std_logic; DDR3_RAS_n : out std_logic; DDR3_RESET_n : out std_logic; DDR3_RZQ : in std_logic; DDR3_WE_n : out std_logic; -- -- -- --FAN CONTROL-- -- FAN_CTRL : out std_logic; -- -- ---- --HPS-- HPS_CONV_USB_n : inout std_logic; HPS_DDR3_A : out std_logic_vector(14 downto 0); HPS_DDR3_BA : out std_logic_vector(2 downto 0); HPS_DDR3_CAS_n : out std_logic; HPS_DDR3_CKE : out std_logic; HPS_DDR3_CK_n : out std_logic; HPS_DDR3_CK_p : out std_logic; HPS_DDR3_CS_n : out std_logic; HPS_DDR3_DM : out std_logic_vector(3 downto 0); HPS_DDR3_DQ : inout std_logic_vector(31 downto 0); HPS_DDR3_DQS_n : inout std_logic_vector(3 downto 0); HPS_DDR3_DQS_p : inout std_logic_vector(3 downto 0); HPS_DDR3_ODT : out std_logic; --output HPS_DDR3_ODT, HPS_DDR3_RAS_n : out std_logic; --output HPS_DDR3_RAS_n, HPS_DDR3_RESET_n : out std_logic; --output HPS_DDR3_RESET_n, HPS_DDR3_RZQ : in std_logic; --input HPS_DDR3_RZQ, HPS_DDR3_WE_n : out std_logic; --output HPS_ENET_GTX_CLK : out std_logic; --output HPS_ENET_GTX_CLK, HPS_ENET_INT_n : inout std_logic; --inout HPS_ENET_INT_n, HPS_ENET_MDC : out std_logic; --output HPS_ENET_MDC, HPS_ENET_MDIO : inout std_logic; --inout HPS_ENET_MDIO, HPS_ENET_RX_CLK : in std_logic; --input HPS_ENET_RX_CLK, HPS_ENET_RX_DATA : in std_logic_vector(3 downto 0); --input [3:0] HPS_ENET_RX_DATA, HPS_ENET_RX_DV : in std_logic; --input HPS_ENET_RX_DV, HPS_ENET_TX_DATA : out std_logic_vector(3 downto 0); --output [3:0] HPS_ENET_TX_DATA, HPS_ENET_TX_EN : out std_logic; --output HPS_ENET_TX_EN, HPS_FLASH_DATA : inout std_logic_vector(3 downto 0); --inout [3:0] HPS_FLASH_DATA, HPS_FLASH_DCLK : out std_logic; --output HPS_FLASH_DCLK, HPS_FLASH_NCSO : out std_logic; --output HPS_FLASH_NCSO, HPS_GSENSOR_INT : inout std_logic; --inout HPS_GSENSOR_INT, HPS_I2C_CLK : inout std_logic; --inout HPS_I2C_CLK, HPS_I2C_SDA : inout std_logic; --inout HPS_I2C_SDA, -- HPS_KEY : inout std_logic_vector(3 downto 0); --inout [3:0] HPS_KEY, HPS_LCM_BK : inout std_logic; --inout HPS_LCM_BK, HPS_LCM_D_C : inout std_logic; --output HPS_LCM_D_C, HPS_LCM_RST_N : inout std_logic; --output HPS_LCM_RST_N, HPS_LCM_SPIM_CLK : out std_logic; --input HPS_LCM_SPIM_CLK, HPS_LCM_SPIM_MOSI : out std_logic; --output HPS_LCM_SPIM_MOSI, HPS_LCM_SPIM_SS : out std_logic; --output HPS_LCM_SPIM_SS, HPS_LCM_SPIM_MISO : in std_logic; HPS_LED : inout std_logic_vector(3 downto 0); --output [3:0] HPS_LED, HPS_LTC_GPIO : inout std_logic; --inout HPS_LTC_GPIO, HPS_SD_CLK : out std_logic; --output HPS_SD_CLK, HPS_SD_CMD : inout std_logic; --inout HPS_SD_CMD, HPS_SD_DATA : inout std_logic_vector(3 downto 0); --inout [3:0] HPS_SD_DATA, HPS_SPIM_CLK : out std_logic; --output HPS_SPIM_CLK, HPS_SPIM_MISO : in std_logic; --input HPS_SPIM_MISO, HPS_SPIM_MOSI : out std_logic; --output HPS_SPIM_MOSI, HPS_SPIM_SS : out std_logic; --output HPS_SPIM_SS, -- HPS_SW : in std_logic_vector(3 downto 0); --input [3:0] HPS_SW, HPS_UART_RX : in std_logic; --input HPS_UART_RX, HPS_UART_TX : out std_logic; --output HPS_UART_TX, HPS_USB_CLKOUT : in std_logic; --input HPS_USB_CLKOUT, HPS_USB_DATA : inout std_logic_vector(7 downto 0); --inout [7:0] HPS_USB_DATA, HPS_USB_DIR : in std_logic; --input HPS_USB_DIR, HPS_USB_NXT : in std_logic; --input HPS_USB_NXT, HPS_USB_STP : out std_logic; --output HPS_USB_STP, -- -- --Audio-- -- AUD_ADCDAT : in std_logic; --input AUD_ADCDAT, -- AUD_ADCLRCK : inout std_logic; --inout AUD_ADCLRCK, -- AUD_BCLK : inout std_logic; --inout AUD_BCLK, -- AUD_DACDAT : out std_logic; --output AUD_DACDAT, -- AUD_DACLRCK : inout std_logic; --inout AUD_DACLRCK, -- AUD_I2C_SCLK : out std_logic; --output AUD_I2C_SCLK, -- AUD_I2C_SDAT : inout std_logic; --inout AUD_I2C_SDAT, -- AUD_MUTE : out std_logic; --output AUD_MUTE, -- AUD_XCK : out std_logic; --output AUD_XCK, -- -- --HSMC-- -- HSMC_CLKIN_n : in std_logic_vector(2 downto 1); --input [2:1] HSMC_CLKIN_n, -- HSMC_CLKIN_p : in std_logic_vector(2 downto 1); --input [2:1] HSMC_CLKIN_p, -- HSMC_CLKOUT_n : out std_logic_vector(2 downto 1); --output [2:1] HSMC_CLKOUT_n, -- HSMC_CLKOUT_p : out std_logic_vector(2 downto 1); --output [2:1] HSMC_CLKOUT_p, -- HSMC_CLK_IN0 : out std_logic; --output HSMC_CLK_IN0, -- HSMC_CLK_OUT0 : out std_logic; --output HSMC_CLK_OUT0, -- HSMC_D : inout std_logic_vector(3 downto 0); --inout [3:0] HSMC_D, -- HSMC_GXB_RX_p : in std_logic_vector(7 downto 0); --input [7:0] HSMC_GXB_RX_p, -- HSMC_GXB_TX_p : out std_logic_vector(7 downto 0); --output [7:0] HSMC_GXB_TX_p, -- HSMC_REF_CLK_p : in std_logic; --input HSMC_REF_CLK_p, -- HSMC_RX_n : inout std_logic_vector(16 downto 0); --inout [16:0] HSMC_RX_n, -- HSMC_RX_p : inout std_logic_vector(16 downto 0); --inout [16:0] HSMC_RX_p, -- HSMC_SCL : out std_logic; --output HSMC_SCL, -- HSMC_SDA : inout std_logic; --inout HSMC_SDA, -- HSMC_TX_n : inout std_logic_vector(16 downto 0); --inout [16:0] HSMC_TX_n, -- HSMC_TX_p : inout std_logic_vector(16 downto 0); --inout [16:0] HSMC_TX_p, -- -- --IRDA-- -- IRDA_RXD : in std_logic; --input IRDA_RXD, -- -- --PCIE-- -- PCIE_PERST_n : in std_logic; --input PCIE_PERST_n, -- PCIE_WAKE_n : out std_logic; --output PCIE_WAKE_n, -- -- --SI5338-- -- SI5338_SCL : in std_logic; --inout SI5338_SCL, -- SI5338_SDA : in std_logic; --inout SI5338_SDA, -- --TEMP-- -- TEMP_CS_n : out std_logic; --output TEMP_CS_n, -- TEMP_DIN : out std_logic; --output TEMP_DIN, -- TEMP_DOUT : in std_logic; --input TEMP_DOUT, -- TEMP_SCLK : out std_logic; --output TEMP_SCLK, -- -- --USB-- -- USB_B2_CLK : in std_logic; --input USB_B2_CLK, -- USB_B2_DATA : inout std_logic_vector(7 downto 0); --inout [7:0] USB_B2_DATA, -- USB_EMPTY : out std_logic; --output USB_EMPTY, -- USB_FULL : out std_logic; --output USB_FULL, -- USB_OE_n : in std_logic; --input USB_OE_n, -- USB_RD_n : in std_logic; --input USB_RD_n, -- USB_RESET_n : in std_logic; --input USB_RESET_n, -- USB_SCL : inout std_logic; --inout USB_SCL, -- USB_SDA : inout std_logic; --inout USB_SDA, -- USB_WR_n : in std_logic; --input USB_WR_n, -- -- --VGA-- -- VGA_B : out std_logic_vector(7 downto 0); --output [7:0] VGA_B, -- VGA_BLANK_n : out std_logic; --output VGA_BLANK_n, -- VGA_CLK : out std_logic; --output VGA_CLK, -- VGA_G : out std_logic_vector(7 downto 0); --output [7:0] VGA_G, -- VGA_HS : out std_logic; --output VGA_HS, -- VGA_R : out std_logic_vector(7 downto 0); --output [7:0] VGA_R, -- VGA_SYNC_n : out std_logic; --output VGA_SYNC_n, -- VGA_VS : out std_logic; --output VGA_VS --OSC (CLOCKS)-- OSC_50_B3B : in std_logic; OSC_50_B4A : in std_logic; OSC_50_B5B : in std_logic; OSC_50_B8A : in std_logic; --RESET-- RESET_n : in std_logic; --KEY (PUSHBUTTONS)-- KEY : in std_logic_vector(3 downto 0); --LED-- LED : out std_logic_vector(3 downto 0); --SW (SWITCHES)-- SW : in std_logic_vector(3 downto 0) ); end; architecture rtl of leon3mp is constant USE_AHBREP: integer := 0 --pragma translate_off +1 --pragma translate_on ; -- Bus indexes constant hmi_cpu : integer := 0; constant hmi_ahbuart : integer := hmi_cpu + CFG_NCPU; constant hmi_ahbjtag : integer := hmi_ahbuart + CFG_AHB_UART; constant hmi_axi2ahb : integer := hmi_ahbjtag + CFG_AHB_JTAG; constant nahbm : integer := hmi_axi2ahb + CFG_HPS2FPGA; constant hsi_ahbrom : integer := 0; constant hsi_apbctrl : integer := hsi_ahbrom + CFG_AHBROMEN; constant hsi_dsu : integer := hsi_apbctrl + 1; constant hsi_ddr3 : integer := hsi_dsu + CFG_DSU; constant hsi_ahb2axi : integer := hsi_ddr3 + 1; constant hsi_ahbrep : integer := hsi_ahb2axi + CFG_FPGA2HPS; constant nahbs : integer := hsi_ahbrep + USE_AHBREP; constant pi_apbuart : integer := 0; constant pi_irqmp : integer := pi_apbuart + CFG_UART1_ENABLE; constant pi_gpt : integer := pi_irqmp + CFG_IRQ3_ENABLE; constant pi_ahbuart : integer := pi_gpt + CFG_GPT_ENABLE; constant napbs : integer := pi_ahbuart + CFG_AHB_UART; signal clklock: std_ulogic; signal clkm: std_ulogic; signal ssclk: std_ulogic; signal rstn: std_ulogic; signal rstraw: std_ulogic; signal ahbmi: ahb_mst_in_type; signal ahbmo: ahb_mst_out_vector; signal ahbsi: ahb_slv_in_type; signal ahbso: ahb_slv_out_vector; signal apbi: apb_slv_in_type; signal apbo: apb_slv_out_vector; signal irqi: irq_in_vector(CFG_NCPU-1 downto 0); signal irqo: irq_out_vector(CFG_NCPU-1 downto 0); signal dbgi: l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo: l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui: dsu_in_type; signal dsuo: dsu_out_type; signal gpti: gptimer_in_type; signal sri: memory_in_type; signal sro: memory_out_type; signal del_addr: std_logic_vector(26 downto 1); signal del_ce: std_logic; signal del_bwe, del_bwa, del_bwb: std_logic_vector(1 downto 0); signal dui, ui: uart_in_type; signal duo, uo: uart_out_type; signal vcc, gnd: std_ulogic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; ----------------------------------------------------------------------------- -- HPS signals and component ----------------------------------------------------------------------------- constant idsize : integer := 8; constant lensize : integer := 4; constant periph_addrsize : integer := 28; type f2h_axi is record araddr : STD_LOGIC_VECTOR ( 31 downto 0 ); arburst : STD_LOGIC_VECTOR ( 1 downto 0 ); arcache : STD_LOGIC_VECTOR ( 3 downto 0 ); arid : STD_LOGIC_VECTOR ( 11 downto 0 ); arlen : STD_LOGIC_VECTOR ( 3 downto 0 ); arlock : STD_LOGIC_VECTOR ( 1 downto 0 ); -- arprot : STD_LOGIC_VECTOR ( 2 downto 0 ); arqos : STD_LOGIC_VECTOR ( 3 downto 0 ); -- awqos : STD_LOGIC_VECTOR ( 3 downto 0 ); -- arready : STD_LOGIC; arsize : STD_LOGIC_VECTOR ( 2 downto 0 ); arvalid : STD_LOGIC; awaddr : STD_LOGIC_VECTOR ( 31 downto 0 ); awburst : STD_LOGIC_VECTOR ( 1 downto 0 ); awcache : STD_LOGIC_VECTOR ( 3 downto 0 ); awid : STD_LOGIC_VECTOR ( 11 downto 0 ); awlen : STD_LOGIC_VECTOR ( 3 downto 0 ); awlock : STD_LOGIC_VECTOR ( 1 downto 0 ); -- awprot : STD_LOGIC_VECTOR ( 2 downto 0 ); awready : STD_LOGIC; awsize : STD_LOGIC_VECTOR ( 2 downto 0 ); awvalid : STD_LOGIC; bid : STD_LOGIC_VECTOR ( 11 downto 0 ); bready : STD_LOGIC; bresp : STD_LOGIC_VECTOR ( 1 downto 0 ); bvalid : STD_LOGIC; rdata : STD_LOGIC_VECTOR ( 31 downto 0 ); rid : STD_LOGIC_VECTOR ( 11 downto 0 ); rlast : STD_LOGIC; rready : STD_LOGIC; rresp : STD_LOGIC_VECTOR ( 1 downto 0 ); rvalid : STD_LOGIC; wdata : STD_LOGIC_VECTOR ( 31 downto 0 ); wlast : STD_LOGIC; wready : STD_LOGIC; wstrb : STD_LOGIC_VECTOR ( 3 downto 0 ); wvalid : STD_LOGIC; wid : STD_LOGIC_VECTOR ( 11 downto 0 ); -- end record; signal h2f, f2h : f2h_axi; component hps is port ( clk_clk : in std_logic := 'X'; -- clk hps_hps_io_emac1_inst_TX_CLK : out std_logic; -- hps_io_emac1_inst_TX_CLK hps_hps_io_emac1_inst_TXD0 : out std_logic; -- hps_io_emac1_inst_TXD0 hps_hps_io_emac1_inst_TXD1 : out std_logic; -- hps_io_emac1_inst_TXD1 hps_hps_io_emac1_inst_TXD2 : out std_logic; -- hps_io_emac1_inst_TXD2 hps_hps_io_emac1_inst_TXD3 : out std_logic; -- hps_io_emac1_inst_TXD3 hps_hps_io_emac1_inst_RXD0 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD0 hps_hps_io_emac1_inst_MDIO : inout std_logic := 'X'; -- hps_io_emac1_inst_MDIO hps_hps_io_emac1_inst_MDC : out std_logic; -- hps_io_emac1_inst_MDC hps_hps_io_emac1_inst_RX_CTL : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CTL hps_hps_io_emac1_inst_TX_CTL : out std_logic; -- hps_io_emac1_inst_TX_CTL hps_hps_io_emac1_inst_RX_CLK : in std_logic := 'X'; -- hps_io_emac1_inst_RX_CLK hps_hps_io_emac1_inst_RXD1 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD1 hps_hps_io_emac1_inst_RXD2 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD2 hps_hps_io_emac1_inst_RXD3 : in std_logic := 'X'; -- hps_io_emac1_inst_RXD3 hps_hps_io_qspi_inst_IO0 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO0 hps_hps_io_qspi_inst_IO1 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO1 hps_hps_io_qspi_inst_IO2 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO2 hps_hps_io_qspi_inst_IO3 : inout std_logic := 'X'; -- hps_io_qspi_inst_IO3 hps_hps_io_qspi_inst_SS0 : out std_logic; -- hps_io_qspi_inst_SS0 hps_hps_io_qspi_inst_CLK : out std_logic; -- hps_io_qspi_inst_CLK hps_hps_io_sdio_inst_CMD : inout std_logic := 'X'; -- hps_io_sdio_inst_CMD hps_hps_io_sdio_inst_D0 : inout std_logic := 'X'; -- hps_io_sdio_inst_D0 hps_hps_io_sdio_inst_D1 : inout std_logic := 'X'; -- hps_io_sdio_inst_D1 hps_hps_io_sdio_inst_CLK : out std_logic; -- hps_io_sdio_inst_CLK hps_hps_io_sdio_inst_D2 : inout std_logic := 'X'; -- hps_io_sdio_inst_D2 hps_hps_io_sdio_inst_D3 : inout std_logic := 'X'; -- hps_io_sdio_inst_D3 hps_hps_io_usb1_inst_D0 : inout std_logic := 'X'; -- hps_io_usb1_inst_D0 hps_hps_io_usb1_inst_D1 : inout std_logic := 'X'; -- hps_io_usb1_inst_D1 hps_hps_io_usb1_inst_D2 : inout std_logic := 'X'; -- hps_io_usb1_inst_D2 hps_hps_io_usb1_inst_D3 : inout std_logic := 'X'; -- hps_io_usb1_inst_D3 hps_hps_io_usb1_inst_D4 : inout std_logic := 'X'; -- hps_io_usb1_inst_D4 hps_hps_io_usb1_inst_D5 : inout std_logic := 'X'; -- hps_io_usb1_inst_D5 hps_hps_io_usb1_inst_D6 : inout std_logic := 'X'; -- hps_io_usb1_inst_D6 hps_hps_io_usb1_inst_D7 : inout std_logic := 'X'; -- hps_io_usb1_inst_D7 hps_hps_io_usb1_inst_CLK : in std_logic := 'X'; -- hps_io_usb1_inst_CLK hps_hps_io_usb1_inst_STP : out std_logic; -- hps_io_usb1_inst_STP hps_hps_io_usb1_inst_DIR : in std_logic := 'X'; -- hps_io_usb1_inst_DIR hps_hps_io_usb1_inst_NXT : in std_logic := 'X'; -- hps_io_usb1_inst_NXT hps_hps_io_spim0_inst_CLK : out std_logic; -- hps_io_spim0_inst_CLK hps_hps_io_spim0_inst_MOSI : out std_logic; -- hps_io_spim0_inst_MOSI hps_hps_io_spim0_inst_MISO : in std_logic := 'X'; -- hps_io_spim0_inst_MISO hps_hps_io_spim0_inst_SS0 : out std_logic; -- hps_io_spim0_inst_SS0 hps_hps_io_spim1_inst_CLK : out std_logic; -- hps_io_spim1_inst_CLK hps_hps_io_spim1_inst_MOSI : out std_logic; -- hps_io_spim1_inst_MOSI hps_hps_io_spim1_inst_MISO : in std_logic := 'X'; -- hps_io_spim1_inst_MISO hps_hps_io_spim1_inst_SS0 : out std_logic; -- hps_io_spim1_inst_SS0 hps_hps_io_uart0_inst_RX : in std_logic := 'X'; -- hps_io_uart0_inst_RX hps_hps_io_uart0_inst_TX : out std_logic; -- hps_io_uart0_inst_TX hps_hps_io_i2c1_inst_SDA : inout std_logic := 'X'; -- hps_io_i2c1_inst_SDA hps_hps_io_i2c1_inst_SCL : inout std_logic := 'X'; -- hps_io_i2c1_inst_SCL hps_hps_io_gpio_inst_GPIO00 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO00 hps_hps_io_gpio_inst_GPIO09 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO09 hps_hps_io_gpio_inst_GPIO35 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO35 hps_hps_io_gpio_inst_GPIO40 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO40 hps_hps_io_gpio_inst_GPIO48 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO48 hps_hps_io_gpio_inst_GPIO53 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO53 hps_hps_io_gpio_inst_GPIO54 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO54 hps_hps_io_gpio_inst_GPIO55 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO55 hps_hps_io_gpio_inst_GPIO56 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO56 hps_hps_io_gpio_inst_GPIO61 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO61 hps_hps_io_gpio_inst_GPIO62 : inout std_logic := 'X'; -- hps_io_gpio_inst_GPIO62 hps_ddr_mem_a : out std_logic_vector(14 downto 0); -- mem_a hps_ddr_mem_ba : out std_logic_vector(2 downto 0); -- mem_ba hps_ddr_mem_ck : out std_logic; -- mem_ck hps_ddr_mem_ck_n : out std_logic; -- mem_ck_n hps_ddr_mem_cke : out std_logic; -- mem_cke hps_ddr_mem_cs_n : out std_logic; -- mem_cs_n hps_ddr_mem_ras_n : out std_logic; -- mem_ras_n hps_ddr_mem_cas_n : out std_logic; -- mem_cas_n hps_ddr_mem_we_n : out std_logic; -- mem_we_n hps_ddr_mem_reset_n : out std_logic; -- mem_reset_n hps_ddr_mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq hps_ddr_mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs hps_ddr_mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n hps_ddr_mem_odt : out std_logic; -- mem_odt hps_ddr_mem_dm : out std_logic_vector(3 downto 0); -- mem_dm hps_ddr_oct_rzqin : in std_logic := 'X'; -- oct_rzqin hps_f2h_axi_slave_awid : in std_logic_vector(7 downto 0) := (others => 'X'); -- awid hps_f2h_axi_slave_awaddr : in std_logic_vector(31 downto 0) := (others => 'X'); -- awaddr hps_f2h_axi_slave_awlen : in std_logic_vector(3 downto 0) := (others => 'X'); -- awlen hps_f2h_axi_slave_awsize : in std_logic_vector(2 downto 0) := (others => 'X'); -- awsize hps_f2h_axi_slave_awburst : in std_logic_vector(1 downto 0) := (others => 'X'); -- awburst hps_f2h_axi_slave_awlock : in std_logic_vector(1 downto 0) := (others => 'X'); -- awlock hps_f2h_axi_slave_awcache : in std_logic_vector(3 downto 0) := (others => 'X'); -- awcache hps_f2h_axi_slave_awprot : in std_logic_vector(2 downto 0) := (others => 'X'); -- awprot hps_f2h_axi_slave_awvalid : in std_logic := 'X'; -- awvalid hps_f2h_axi_slave_awready : out std_logic; -- awready hps_f2h_axi_slave_awuser : in std_logic_vector(4 downto 0) := (others => 'X'); -- awuser hps_f2h_axi_slave_wid : in std_logic_vector(7 downto 0) := (others => 'X'); -- wid hps_f2h_axi_slave_wdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- wdata hps_f2h_axi_slave_wstrb : in std_logic_vector(3 downto 0) := (others => 'X'); -- wstrb hps_f2h_axi_slave_wlast : in std_logic := 'X'; -- wlast hps_f2h_axi_slave_wvalid : in std_logic := 'X'; -- wvalid hps_f2h_axi_slave_wready : out std_logic; -- wready hps_f2h_axi_slave_bid : out std_logic_vector(7 downto 0); -- bid hps_f2h_axi_slave_bresp : out std_logic_vector(1 downto 0); -- bresp hps_f2h_axi_slave_bvalid : out std_logic; -- bvalid hps_f2h_axi_slave_bready : in std_logic := 'X'; -- bready hps_f2h_axi_slave_arid : in std_logic_vector(7 downto 0) := (others => 'X'); -- arid hps_f2h_axi_slave_araddr : in std_logic_vector(31 downto 0) := (others => 'X'); -- araddr hps_f2h_axi_slave_arlen : in std_logic_vector(3 downto 0) := (others => 'X'); -- arlen hps_f2h_axi_slave_arsize : in std_logic_vector(2 downto 0) := (others => 'X'); -- arsize hps_f2h_axi_slave_arburst : in std_logic_vector(1 downto 0) := (others => 'X'); -- arburst hps_f2h_axi_slave_arlock : in std_logic_vector(1 downto 0) := (others => 'X'); -- arlock hps_f2h_axi_slave_arcache : in std_logic_vector(3 downto 0) := (others => 'X'); -- arcache hps_f2h_axi_slave_arprot : in std_logic_vector(2 downto 0) := (others => 'X'); -- arprot hps_f2h_axi_slave_arvalid : in std_logic := 'X'; -- arvalid hps_f2h_axi_slave_arready : out std_logic; -- arready hps_f2h_axi_slave_aruser : in std_logic_vector(4 downto 0) := (others => 'X'); -- aruser hps_f2h_axi_slave_rid : out std_logic_vector(7 downto 0); -- rid hps_f2h_axi_slave_rdata : out std_logic_vector(31 downto 0); -- rdata hps_f2h_axi_slave_rresp : out std_logic_vector(1 downto 0); -- rresp hps_f2h_axi_slave_rlast : out std_logic; -- rlast hps_f2h_axi_slave_rvalid : out std_logic; -- rvalid hps_f2h_axi_slave_rready : in std_logic := 'X'; -- rready hps_f2h_irq0_irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq hps_f2h_irq1_irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq hps_f2h_stm_hw_events_stm_hwevents : in std_logic_vector(27 downto 0) := (others => 'X'); -- stm_hwevents hps_h2f_lw_axi_master_awid : out std_logic_vector(11 downto 0); -- awid hps_h2f_lw_axi_master_awaddr : out std_logic_vector(20 downto 0); -- awaddr hps_h2f_lw_axi_master_awlen : out std_logic_vector(3 downto 0); -- awlen hps_h2f_lw_axi_master_awsize : out std_logic_vector(2 downto 0); -- awsize hps_h2f_lw_axi_master_awburst : out std_logic_vector(1 downto 0); -- awburst hps_h2f_lw_axi_master_awlock : out std_logic_vector(1 downto 0); -- awlock hps_h2f_lw_axi_master_awcache : out std_logic_vector(3 downto 0); -- awcache hps_h2f_lw_axi_master_awprot : out std_logic_vector(2 downto 0); -- awprot hps_h2f_lw_axi_master_awvalid : out std_logic; -- awvalid hps_h2f_lw_axi_master_awready : in std_logic := 'X'; -- awready hps_h2f_lw_axi_master_wid : out std_logic_vector(11 downto 0); -- wid hps_h2f_lw_axi_master_wdata : out std_logic_vector(31 downto 0); -- wdata hps_h2f_lw_axi_master_wstrb : out std_logic_vector(3 downto 0); -- wstrb hps_h2f_lw_axi_master_wlast : out std_logic; -- wlast hps_h2f_lw_axi_master_wvalid : out std_logic; -- wvalid hps_h2f_lw_axi_master_wready : in std_logic := 'X'; -- wready hps_h2f_lw_axi_master_bid : in std_logic_vector(11 downto 0) := (others => 'X'); -- bid hps_h2f_lw_axi_master_bresp : in std_logic_vector(1 downto 0) := (others => 'X'); -- bresp hps_h2f_lw_axi_master_bvalid : in std_logic := 'X'; -- bvalid hps_h2f_lw_axi_master_bready : out std_logic; -- bready hps_h2f_lw_axi_master_arid : out std_logic_vector(11 downto 0); -- arid hps_h2f_lw_axi_master_araddr : out std_logic_vector(20 downto 0); -- araddr hps_h2f_lw_axi_master_arlen : out std_logic_vector(3 downto 0); -- arlen hps_h2f_lw_axi_master_arsize : out std_logic_vector(2 downto 0); -- arsize hps_h2f_lw_axi_master_arburst : out std_logic_vector(1 downto 0); -- arburst hps_h2f_lw_axi_master_arlock : out std_logic_vector(1 downto 0); -- arlock hps_h2f_lw_axi_master_arcache : out std_logic_vector(3 downto 0); -- arcache hps_h2f_lw_axi_master_arprot : out std_logic_vector(2 downto 0); -- arprot hps_h2f_lw_axi_master_arvalid : out std_logic; -- arvalid hps_h2f_lw_axi_master_arready : in std_logic := 'X'; -- arready hps_h2f_lw_axi_master_rid : in std_logic_vector(11 downto 0) := (others => 'X'); -- rid hps_h2f_lw_axi_master_rdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- rdata hps_h2f_lw_axi_master_rresp : in std_logic_vector(1 downto 0) := (others => 'X'); -- rresp hps_h2f_lw_axi_master_rlast : in std_logic := 'X'; -- rlast hps_h2f_lw_axi_master_rvalid : in std_logic := 'X'; -- rvalid hps_h2f_lw_axi_master_rready : out std_logic; -- rready hps_h2f_reset_reset_n : out std_logic; -- reset_n reset_reset_n : in std_logic := 'X'; -- reset_n hps_h2f_axi_master_awid : out std_logic_vector(11 downto 0); -- awid hps_h2f_axi_master_awaddr : out std_logic_vector(29 downto 0); -- awaddr hps_h2f_axi_master_awlen : out std_logic_vector(3 downto 0); -- awlen hps_h2f_axi_master_awsize : out std_logic_vector(2 downto 0); -- awsize hps_h2f_axi_master_awburst : out std_logic_vector(1 downto 0); -- awburst hps_h2f_axi_master_awlock : out std_logic_vector(1 downto 0); -- awlock hps_h2f_axi_master_awcache : out std_logic_vector(3 downto 0); -- awcache hps_h2f_axi_master_awprot : out std_logic_vector(2 downto 0); -- awprot hps_h2f_axi_master_awvalid : out std_logic; -- awvalid hps_h2f_axi_master_awready : in std_logic := 'X'; -- awready hps_h2f_axi_master_wid : out std_logic_vector(11 downto 0); -- wid hps_h2f_axi_master_wdata : out std_logic_vector(31 downto 0); -- wdata hps_h2f_axi_master_wstrb : out std_logic_vector(3 downto 0); -- wstrb hps_h2f_axi_master_wlast : out std_logic; -- wlast hps_h2f_axi_master_wvalid : out std_logic; -- wvalid hps_h2f_axi_master_wready : in std_logic := 'X'; -- wready hps_h2f_axi_master_bid : in std_logic_vector(11 downto 0) := (others => 'X'); -- bid hps_h2f_axi_master_bresp : in std_logic_vector(1 downto 0) := (others => 'X'); -- bresp hps_h2f_axi_master_bvalid : in std_logic := 'X'; -- bvalid hps_h2f_axi_master_bready : out std_logic; -- bready hps_h2f_axi_master_arid : out std_logic_vector(11 downto 0); -- arid hps_h2f_axi_master_araddr : out std_logic_vector(29 downto 0); -- araddr hps_h2f_axi_master_arlen : out std_logic_vector(3 downto 0); -- arlen hps_h2f_axi_master_arsize : out std_logic_vector(2 downto 0); -- arsize hps_h2f_axi_master_arburst : out std_logic_vector(1 downto 0); -- arburst hps_h2f_axi_master_arlock : out std_logic_vector(1 downto 0); -- arlock hps_h2f_axi_master_arcache : out std_logic_vector(3 downto 0); -- arcache hps_h2f_axi_master_arprot : out std_logic_vector(2 downto 0); -- arprot hps_h2f_axi_master_arvalid : out std_logic; -- arvalid hps_h2f_axi_master_arready : in std_logic := 'X'; -- arready hps_h2f_axi_master_rid : in std_logic_vector(11 downto 0) := (others => 'X'); -- rid hps_h2f_axi_master_rdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- rdata hps_h2f_axi_master_rresp : in std_logic_vector(1 downto 0) := (others => 'X'); -- rresp hps_h2f_axi_master_rlast : in std_logic := 'X'; -- rlast hps_h2f_axi_master_rvalid : in std_logic := 'X'; -- rvalid hps_h2f_axi_master_rready : out std_logic -- rready ); end component hps; -- constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz signal hpsrst : std_logic; signal sys_rst_n : std_logic; begin vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- Clocking and reset ----------------------------------------------------------------------------- LED(1) <= not clklock; rstgen0: if CFG_HPS_RESET = 1 generate sys_rst_n <= RESET_n and hpsrst; end generate; nohps: if CFG_HPS_RESET /= 1 generate sys_rst_n <= RESET_n; end generate; cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; clklock <= cgo.clklock; clkgen0 : clkgen -- clock generator using toplevel generic 'freq' generic map (tech => altera, clk_mul => 7, clk_div => 5, sdramen => 0, noclkfb => 0, freq => 50000) port map (clkin => OSC_50_B3B, pciclkin => gnd, clk => clkm, clkn => open, clk2x => open, sdclk => open, pciclk => open, cgi => cgi, cgo => cgo); rstgen1: rstgen generic map (syncrst => CFG_NOASYNC) port map (sys_rst_n, clkm, clklock, rstn, rstraw); ----------------------------------------------------------------------------- -- AMBA bus fabric ----------------------------------------------------------------------------- ahbctrl0: ahbctrl generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN,ioaddr => CFG_AHBIO, fpnpen => CFG_FPNPEN, enbusmon => CFG_AHB_MON, assertwarn => CFG_AHB_MONWAR, asserterr => CFG_AHB_MONERR, ahbtrace => CFG_AHB_DTRACE, nahbm => nahbm, nahbs => nahbs) port map (rstn,clkm,ahbmi,ahbmo,ahbsi,ahbso); apbctrl0: apbctrl generic map (hindex => hsi_apbctrl, haddr => CFG_APBADDR, nslaves => napbs) port map (rstn,clkm,ahbsi,ahbso(hsi_apbctrl),apbi,apbo); ahbmo(ahbmo'high downto nahbm) <= (others => ahbm_none); ahbso(ahbso'high downto nahbs) <= (others => ahbs_none); apbo(napbs to apbo'high) <= (others => apb_none); ----------------------------------------------------------------------------- -- LEON3 Processor(s), DSU ----------------------------------------------------------------------------- errorn_pad : outpad generic map (tech => padtech) port map (LED(3), dbgo(0).error); dsubre_pad : inpad generic map (tech => padtech) port map (KEY(3), dsui.break); LED(2) <= not dsuo.active; dsui.enable <= '1'; l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => hsi_dsu, haddr => 16#D00#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(hsi_dsu), dbgo, dbgi, dsui, dsuo); end generate; end generate; noleon: if CFG_LEON3 = 0 generate irqo <= (others => ('0',"0000",'0','0','0')); dbgo <= (others => dbgo_none); end generate; nodsu : if CFG_DSU = 0 or CFG_LEON3 = 0 generate dsuo.tstop <= '0'; dsuo.active <= '0'; dsuo.pwd <= (others => '0'); end generate; ----------------------------------------------------------------------------- -- APB Slaves ----------------------------------------------------------------------------- ua0 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart generic map (pindex => pi_apbuart, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(pi_apbuart), ui, uo); end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => pi_irqmp, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(pi_irqmp), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; irqi(i).rst <= '1'; irqi(i).run <= '1'; irqi(i).rstvec <= (others => '0'); irqi(i).iact <= '0'; irqi(i).index <= (others => '0'); irqi(i).hrdrst <= '1'; end generate; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => pi_gpt, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(pi_gpt), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; gpti.wdogen <= '0'; end generate; ----------------------------------------------------------------------------- -- Debug links ----------------------------------------------------------------------------- dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart -- Debug UART generic map (hindex => hmi_ahbuart, pindex => pi_ahbuart, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(pi_ahbuart), ahbmi, ahbmo(hmi_ahbuart)); end generate; nouah : if CFG_AHB_UART = 0 generate duo.rtsn <= '0'; duo.txd <= '0'; duo.scaler <= (others => '0'); duo.txen <= '0'; duo.flow <= '0'; duo.rxen <= '0'; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => hmi_ahbjtag, nsync => 2, versel => 0) port map(rstn, clkm, gnd, gnd, gnd, open, ahbmi, ahbmo(hmi_ahbjtag), open, open, open, open, open, open, open, gnd); end generate; ----------------------------------------------------------------------------- -- Memory controllers ----------------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => hsi_ahbrom, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(hsi_ahbrom)); end generate; ddr3if0: entity work.ddr3if generic map ( hindex => hsi_ddr3, haddr => 16#400#, hmask => 16#C00# ) port map ( pll_ref_clk => OSC_50_B5B, global_reset_n => RESET_n, mem_a => DDR3_A, mem_ba => DDR3_BA, mem_ck => DDR3_CK_p, mem_ck_n => DDR_CK_n, mem_cke => DDR3_CKE, mem_reset_n => DDR3_RESET_n, mem_cs_n => DDR3_CS_n, mem_dm => DDR3_DM, mem_ras_n => DDR3_RAS_n, mem_cas_n => DDR3_CAS_n, mem_we_n => DDR3_WE_n, mem_dq => DDR3_DQ, mem_dqs => DDR3_DQS_p, mem_dqs_n => DDR3_DQS_n, mem_odt => DDR3_ODT, oct_rzqin => DDR3_RZQ, ahb_clk => clkm, ahb_rst => rstn, ahbsi => ahbsi, ahbso => ahbso(hsi_ddr3) ); ----------------------------------------------------------------------------- -- Hard Processor System ----------------------------------------------------------------------------- -- FPGA2HPS Bridge fpga2hps: if CFG_FPGA2HPS = 1 generate ahb2axi0 : entity work.ahb2axi generic map( hindex => hsi_ahb2axi, haddr => 16#CF0#, hmask => 16#FF0#, idsize => idsize, lensize => lensize, addrsize => periph_addrsize) port map( rstn => rstn, clk => clkm, ahbsi => ahbsi, ahbso => ahbso(hsi_ahb2axi), M_AXI_araddr => f2h.araddr(periph_addrsize-1 downto 0), M_AXI_arburst(1 downto 0) => f2h.arburst(1 downto 0), M_AXI_arcache(3 downto 0) => f2h.arcache(3 downto 0), M_AXI_arid => f2h.arid(7 downto 0), M_AXI_arlen => f2h.arlen, M_AXI_arlock => f2h.arlock, M_AXI_arprot(2 downto 0) => f2h.arprot(2 downto 0), M_AXI_arqos => f2h.arqos, M_AXI_arready => f2h.arready, M_AXI_arsize(2 downto 0) => f2h.arsize(2 downto 0), M_AXI_arvalid => f2h.arvalid, M_AXI_awaddr => f2h.awaddr(periph_addrsize-1 downto 0), M_AXI_awburst(1 downto 0) => f2h.awburst(1 downto 0), M_AXI_awcache(3 downto 0) => f2h.awcache(3 downto 0), M_AXI_awid => f2h.awid(7 downto 0), M_AXI_awlen => f2h.awlen, M_AXI_awlock => f2h.awlock, M_AXI_awprot(2 downto 0) => f2h.awprot(2 downto 0), M_AXI_awqos => f2h.awqos, M_AXI_awready => f2h.awready, M_AXI_awsize(2 downto 0) => f2h.awsize(2 downto 0), M_AXI_awvalid => f2h.awvalid, M_AXI_bid => f2h.bid(7 downto 0), M_AXI_bready => f2h.bready, M_AXI_bresp(1 downto 0) => f2h.bresp(1 downto 0), M_AXI_bvalid => f2h.bvalid, M_AXI_rdata(31 downto 0) => f2h.rdata(31 downto 0), M_AXI_rid => f2h.rid(7 downto 0), M_AXI_rlast => f2h.rlast, M_AXI_rready => f2h.rready, M_AXI_rresp(1 downto 0) => f2h.rresp(1 downto 0), M_AXI_rvalid => f2h.rvalid, M_AXI_wdata(31 downto 0) => f2h.wdata(31 downto 0), M_AXI_wlast => f2h.wlast, M_AXI_wready => f2h.wready, M_AXI_wstrb(3 downto 0) => f2h.wstrb(3 downto 0), M_AXI_wvalid => f2h.wvalid ); f2h.araddr(31 downto periph_addrsize) <= (others => '1'); f2h.awaddr(31 downto periph_addrsize) <= (others => '1'); end generate; --HPS2FPGA bridge hps2fpga: if CFG_HPS2FPGA = 1 generate axi2ahb : entity work.axi2ahb generic map( hindex => hmi_axi2ahb, idsize => 12, lensize => lensize, fifo_depth => 16 ) port map( ahb_clk => clkm, axi_clk => clkm, resetn => rstn, ahbi => ahbmi, ahbo => ahbmo(hmi_axi2ahb), s_axi_araddr => h2f.araddr, s_axi_arburst => h2f.arburst, s_axi_arcache => h2f.arcache, s_axi_arid => h2f.arid(11 downto 0), s_axi_arlen => h2f.arlen, s_axi_arlock => h2f.arlock, s_axi_arprot => h2f.arprot, s_axi_arqos => h2f.arqos, s_axi_arready => h2f.arready, s_axi_arsize => h2f.arsize, s_axi_arvalid => h2f.arvalid, s_axi_awaddr => h2f.awaddr, s_axi_awburst => h2f.awburst, s_axi_awcache => h2f.awcache, s_axi_awid => h2f.awid(11 downto 0), s_axi_awlen => h2f.awlen, s_axi_awlock => h2f.awlock, s_axi_awprot => h2f.awprot, s_axi_awqos => h2f.awqos, s_axi_awready => h2f.awready, s_axi_awsize => h2f.awsize, s_axi_awvalid => h2f.awvalid, s_axi_bid => h2f.bid(11 downto 0), s_axi_bready => h2f.bready, s_axi_bresp => h2f.bresp, s_axi_bvalid => h2f.bvalid, s_axi_rdata => h2f.rdata(31 downto 0), s_axi_rid => h2f.rid(11 downto 0), s_axi_rlast => h2f.rlast, s_axi_rready => h2f.rready, s_axi_rresp => h2f.rresp, s_axi_rvalid => h2f.rvalid, s_axi_wdata => h2f.wdata(31 downto 0), s_axi_wid => h2f.wid(11 downto 0), s_axi_wlast => h2f.wlast, s_axi_wready => h2f.wready, s_axi_wstrb => h2f.wstrb(3 downto 0), s_axi_wvalid => h2f.wvalid ); h2f.araddr(31 downto 30) <= "10"; h2f.awaddr(31 downto 30) <= "10"; end generate; hps_inst : component hps port map ( clk_clk => clkm, hps_hps_io_emac1_inst_TX_CLK => HPS_ENET_GTX_CLK, hps_hps_io_emac1_inst_TXD0 => HPS_ENET_TX_DATA(0), hps_hps_io_emac1_inst_TXD1 => HPS_ENET_TX_DATA(1), hps_hps_io_emac1_inst_TXD2 => HPS_ENET_TX_DATA(2), hps_hps_io_emac1_inst_TXD3 => HPS_ENET_TX_DATA(3), hps_hps_io_emac1_inst_RXD0 => HPS_ENET_RX_DATA(0), hps_hps_io_emac1_inst_MDIO => HPS_ENET_MDIO, hps_hps_io_emac1_inst_MDC => HPS_ENET_MDC, hps_hps_io_emac1_inst_RX_CTL => HPS_ENET_RX_DV, hps_hps_io_emac1_inst_TX_CTL => HPS_ENET_TX_EN, hps_hps_io_emac1_inst_RX_CLK => HPS_ENET_RX_CLK, hps_hps_io_emac1_inst_RXD1 => HPS_ENET_RX_DATA(1), hps_hps_io_emac1_inst_RXD2 => HPS_ENET_RX_DATA(2), hps_hps_io_emac1_inst_RXD3 => HPS_ENET_RX_DATA(3), hps_hps_io_qspi_inst_IO0 => HPS_FLASH_DATA(0), hps_hps_io_qspi_inst_IO1 => HPS_FLASH_DATA(1), hps_hps_io_qspi_inst_IO2 => HPS_FLASH_DATA(2), hps_hps_io_qspi_inst_IO3 => HPS_FLASH_DATA(3), hps_hps_io_qspi_inst_SS0 => HPS_FLASH_NCSO, hps_hps_io_qspi_inst_CLK => HPS_FLASH_DCLK, hps_hps_io_sdio_inst_CMD => HPS_SD_CMD, hps_hps_io_sdio_inst_D0 => HPS_SD_DATA(0), hps_hps_io_sdio_inst_D1 => HPS_SD_DATA(1), hps_hps_io_sdio_inst_CLK => HPS_SD_CLK, hps_hps_io_sdio_inst_D2 => HPS_SD_DATA(2), hps_hps_io_sdio_inst_D3 => HPS_SD_DATA(3), hps_hps_io_usb1_inst_D0 => HPS_USB_DATA(0), hps_hps_io_usb1_inst_D1 => HPS_USB_DATA(1), hps_hps_io_usb1_inst_D2 => HPS_USB_DATA(2), hps_hps_io_usb1_inst_D3 => HPS_USB_DATA(3), hps_hps_io_usb1_inst_D4 => HPS_USB_DATA(4), hps_hps_io_usb1_inst_D5 => HPS_USB_DATA(5), hps_hps_io_usb1_inst_D6 => HPS_USB_DATA(6), hps_hps_io_usb1_inst_D7 => HPS_USB_DATA(7), hps_hps_io_usb1_inst_CLK => HPS_USB_CLKOUT, hps_hps_io_usb1_inst_STP => HPS_USB_STP, hps_hps_io_usb1_inst_DIR => HPS_USB_DIR, hps_hps_io_usb1_inst_NXT => HPS_USB_NXT, hps_hps_io_spim0_inst_CLK => HPS_SPIM_CLK, hps_hps_io_spim0_inst_MOSI => HPS_SPIM_MOSI, hps_hps_io_spim0_inst_MISO => HPS_SPIM_MISO, hps_hps_io_spim0_inst_SS0 => HPS_SPIM_SS, hps_hps_io_spim1_inst_CLK => HPS_LCM_SPIM_CLK, hps_hps_io_spim1_inst_MOSI => HPS_LCM_SPIM_MOSI, hps_hps_io_spim1_inst_MISO => HPS_LCM_SPIM_MISO, hps_hps_io_spim1_inst_SS0 => HPS_LCM_SPIM_SS, hps_hps_io_uart0_inst_RX => HPS_UART_RX, hps_hps_io_uart0_inst_TX => HPS_UART_TX, hps_hps_io_i2c1_inst_SDA => HPS_I2C_SDA, hps_hps_io_i2c1_inst_SCL => HPS_I2C_CLK, hps_hps_io_gpio_inst_GPIO00 => HPS_LTC_GPIO, hps_hps_io_gpio_inst_GPIO09 => HPS_CONV_USB_n, hps_hps_io_gpio_inst_GPIO35 => HPS_ENET_INT_n, hps_hps_io_gpio_inst_GPIO40 => HPS_LCM_BK, hps_hps_io_gpio_inst_GPIO48 => HPS_LCM_RST_N, hps_hps_io_gpio_inst_GPIO53 => HPS_LED(0), hps_hps_io_gpio_inst_GPIO54 => HPS_LED(1), hps_hps_io_gpio_inst_GPIO55 => HPS_LED(2), hps_hps_io_gpio_inst_GPIO56 => HPS_LED(3), hps_hps_io_gpio_inst_GPIO61 => HPS_GSENSOR_INT, hps_hps_io_gpio_inst_GPIO62 => HPS_LCM_D_C, hps_ddr_mem_a => HPS_DDR3_A, hps_ddr_mem_ba => HPS_DDR3_BA, hps_ddr_mem_ck => HPS_DDR3_CK_p, hps_ddr_mem_ck_n => HPS_DDR3_CK_n, hps_ddr_mem_cke => HPS_DDR3_CKE, hps_ddr_mem_cs_n => HPS_DDR3_CS_n, hps_ddr_mem_ras_n => HPS_DDR3_RAS_n, hps_ddr_mem_cas_n => HPS_DDR3_CAS_n, hps_ddr_mem_we_n => HPS_DDR3_WE_n, hps_ddr_mem_reset_n => HPS_DDR3_RESET_n, hps_ddr_mem_dq => HPS_DDR3_DQ, hps_ddr_mem_dqs => HPS_DDR3_DQS_p, hps_ddr_mem_dqs_n => HPS_DDR3_DQS_n, hps_ddr_mem_odt => HPS_DDR3_ODT, hps_ddr_mem_dm => HPS_DDR3_DM, hps_ddr_oct_rzqin => HPS_DDR3_RZQ, hps_f2h_irq0_irq => (others => 'X'), hps_f2h_irq1_irq => (others => 'X'), hps_f2h_stm_hw_events_stm_hwevents => (others => 'X'), hps_h2f_axi_master_awid => h2f.awid(11 downto 0),--er.awid hps_h2f_axi_master_awaddr => h2f.awaddr(29 downto 0),--.awaddr hps_h2f_axi_master_awlen => h2f.awlen,-- .awlen hps_h2f_axi_master_awsize => h2f.awsize,--.awsize hps_h2f_axi_master_awburst => h2f.awburst,--awburst hps_h2f_axi_master_awlock => h2f.awlock,--.awlock hps_h2f_axi_master_awcache => h2f.awcache,--awcache hps_h2f_axi_master_awprot => h2f.awprot,--.awprot hps_h2f_axi_master_awvalid => h2f.awvalid,--awvalid hps_h2f_axi_master_awready => h2f.wready,--wready hps_h2f_axi_master_wid => h2f.wid(11 downto 0),-- .wid hps_h2f_axi_master_wdata => h2f.wdata(31 downto 0),-- .wdata hps_h2f_axi_master_wstrb => h2f.wstrb(3 downto 0),-- .wstrb hps_h2f_axi_master_wlast => h2f.wlast,-- .wlast hps_h2f_axi_master_wvalid => h2f.wvalid,--.wvalid hps_h2f_axi_master_wready => h2f.wready,--wready hps_h2f_axi_master_bid => h2f.bid(11 downto 0),-- .bid hps_h2f_axi_master_bresp => h2f.bresp,-- .bresp hps_h2f_axi_master_bvalid => h2f.bvalid,--bvalid hps_h2f_axi_master_bready => h2f.bready,--.bready hps_h2f_axi_master_arid => h2f.arid(11 downto 0),-- .arid hps_h2f_axi_master_araddr => h2f.araddr(29 downto 0),--.araddr hps_h2f_axi_master_arlen => h2f.arlen,-- .arlen hps_h2f_axi_master_arsize => h2f.arsize,--.arsize hps_h2f_axi_master_arburst => h2f.arburst,--arburst hps_h2f_axi_master_arlock => h2f.arlock,-- .arlock hps_h2f_axi_master_arcache => h2f.arcache,--arcache hps_h2f_axi_master_arprot => h2f.arprot,--.arprot hps_h2f_axi_master_arvalid => h2f.arvalid,--arvalid hps_h2f_axi_master_arready => h2f.rready,--rready hps_h2f_axi_master_rid => h2f.rid(11 downto 0),-- .rid hps_h2f_axi_master_rdata => h2f.rdata(31 downto 0),-- .rdata hps_h2f_axi_master_rresp => h2f.rresp,-- .rresp hps_h2f_axi_master_rlast => h2f.rlast,--.rlast hps_h2f_axi_master_rvalid => h2f.rvalid,--rvalid hps_h2f_axi_master_rready => h2f.rready, --rready hps_h2f_lw_axi_master_awid => open, hps_h2f_lw_axi_master_awaddr => open, hps_h2f_lw_axi_master_awlen => open, hps_h2f_lw_axi_master_awsize => open, hps_h2f_lw_axi_master_awburst => open, hps_h2f_lw_axi_master_awlock => open, hps_h2f_lw_axi_master_awcache => open, hps_h2f_lw_axi_master_awprot => open, hps_h2f_lw_axi_master_awvalid => open, hps_h2f_lw_axi_master_awready => '0', hps_h2f_lw_axi_master_wid => open, hps_h2f_lw_axi_master_wdata => open, hps_h2f_lw_axi_master_wstrb => open, hps_h2f_lw_axi_master_wlast => open, hps_h2f_lw_axi_master_wvalid => open, hps_h2f_lw_axi_master_wready => '0', hps_h2f_lw_axi_master_bid => (others => '0'), hps_h2f_lw_axi_master_bresp => (others => '0'), hps_h2f_lw_axi_master_bvalid => '0', hps_h2f_lw_axi_master_bready => open, hps_h2f_lw_axi_master_arid => open, hps_h2f_lw_axi_master_araddr => open, hps_h2f_lw_axi_master_arlen => open, hps_h2f_lw_axi_master_arsize => open, hps_h2f_lw_axi_master_arburst => open, hps_h2f_lw_axi_master_arlock => open, hps_h2f_lw_axi_master_arcache => open, hps_h2f_lw_axi_master_arprot => open, hps_h2f_lw_axi_master_arvalid => open, hps_h2f_lw_axi_master_arready => '0', hps_h2f_lw_axi_master_rid => (others => '0'), hps_h2f_lw_axi_master_rdata => (others => '0'), hps_h2f_lw_axi_master_rresp => (others => '0'), hps_h2f_lw_axi_master_rlast => '0', hps_h2f_lw_axi_master_rvalid => '0', hps_h2f_lw_axi_master_rready => open, hps_h2f_reset_reset_n => hpsrst, reset_reset_n => rstn, hps_f2h_axi_slave_awid => f2h.awid(7 downto 0),--er.awid hps_f2h_axi_slave_awaddr => f2h.awaddr(31 downto 0),--.awaddr hps_f2h_axi_slave_awlen => f2h.awlen,-- .awlen hps_f2h_axi_slave_awsize => f2h.awsize,--.awsize hps_f2h_axi_slave_awburst => f2h.awburst,--awburst hps_f2h_axi_slave_awlock => f2h.awlock,--.awlock hps_f2h_axi_slave_awcache => f2h.awcache,--awcache hps_f2h_axi_slave_awprot => f2h.awprot,--.awprot hps_f2h_axi_slave_awvalid => f2h.awvalid,--awvalid hps_f2h_axi_slave_awready => f2h.awready,--wready hps_f2h_axi_slave_wid => f2h.wid(7 downto 0),-- .wid hps_f2h_axi_slave_wdata => f2h.wdata,-- .wdata hps_f2h_axi_slave_wstrb => f2h.wstrb,-- .wstrb hps_f2h_axi_slave_wlast => f2h.wlast,-- .wlast hps_f2h_axi_slave_wvalid => f2h.wvalid,--.wvalid hps_f2h_axi_slave_wready => f2h.wready,--wready hps_f2h_axi_slave_bid => f2h.bid(7 downto 0),-- .bid hps_f2h_axi_slave_bresp => f2h.bresp,-- .bresp hps_f2h_axi_slave_bvalid => f2h.bvalid,--bvalid hps_f2h_axi_slave_bready => f2h.bready,--.bready hps_f2h_axi_slave_arid => f2h.arid(7 downto 0),-- .arid hps_f2h_axi_slave_araddr => f2h.araddr(31 downto 0),--.araddr hps_f2h_axi_slave_arlen => f2h.arlen,-- .arlen hps_f2h_axi_slave_arsize => f2h.arsize,--.arsize hps_f2h_axi_slave_arburst => f2h.arburst,--arburst hps_f2h_axi_slave_arlock => f2h.arlock,-- .arlock hps_f2h_axi_slave_arcache => f2h.arcache,--arcache hps_f2h_axi_slave_arprot => f2h.arprot,--.arprot hps_f2h_axi_slave_arvalid => f2h.arvalid,--arvalid hps_f2h_axi_slave_arready => f2h.arready,--rready hps_f2h_axi_slave_rid => f2h.rid(7 downto 0),-- .rid hps_f2h_axi_slave_rdata => f2h.rdata,-- .rdata hps_f2h_axi_slave_rresp => f2h.rresp,-- .rresp hps_f2h_axi_slave_rlast => f2h.rlast,--.rlast hps_f2h_axi_slave_rvalid => f2h.rvalid,--rvalid hps_f2h_axi_slave_rready => f2h.rready --rready ); ----------------------------------------------------------------------------- -- Other ----------------------------------------------------------------------------- -- pragma translate_off rep: if USE_AHBREP/=0 generate ahbrep0: ahbrep generic map (hindex => hsi_ahbrep, haddr => 16#200#) port map (rstn,clkm,ahbsi,ahbso(hsi_ahbrep)); end generate; x : report_version generic map ( msg1 => "LEON3 Altera Cyclone V SX SoC Terasic Sockit Demonstration design", msg2 => "GRLIB Version " & tost(LIBVHDL_VERSION/1000) & "." & tost((LIBVHDL_VERSION mod 1000)/100) & "." & tost(LIBVHDL_VERSION mod 100) & ", build " & tost(LIBVHDL_BUILD), msg3 => "Target technology: " & tech_table(fabtech) & ", memory library: " & tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
4f0ab6adf85d3ab6724aa56211fb8c4b
0.454938
3.431894
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-ac701/leon3mp.vhd
1
44,082
----------------------------------------------------------------------------- -- LEON3 Xilinx KC705 Demonstration design ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.stdlib.all; use techmap.gencomp.all; use techmap.allclkgen.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.spi.all; use gaisler.i2c.all; use gaisler.net.all; use gaisler.jtag.all; use gaisler.l2cache.all; -- pragma translate_off use gaisler.sim.all; library unisim; use unisim.all; -- pragma translate_on library esa; use esa.memoryctrl.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := false; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false ); port ( reset : in std_ulogic; clk200p : in std_ulogic; -- 200 MHz clock clk200n : in std_ulogic; -- 200 MHz clock spi_sel_n : inout std_ulogic; spi_clk : out std_ulogic; spi_miso : in std_ulogic; spi_mosi : out std_ulogic; ddr3_dq : inout std_logic_vector(63 downto 0); ddr3_dqs_p : inout std_logic_vector(7 downto 0); ddr3_dqs_n : inout std_logic_vector(7 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(7 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); dsurx : in std_ulogic; dsutx : out std_ulogic; dsuctsn : in std_ulogic; dsurtsn : out std_ulogic; button : in std_logic_vector(3 downto 0); switch : inout std_logic_vector(3 downto 0); led : out std_logic_vector(3 downto 0); iic_scl : inout std_ulogic; iic_sda : inout std_ulogic; gtrefclk_p : in std_logic; gtrefclk_n : in std_logic; phy_txclk : out std_logic; phy_txd : out std_logic_vector(3 downto 0); phy_txctl_txen : out std_ulogic; phy_rxd : in std_logic_vector(3 downto 0); phy_rxctl_rxdv : in std_ulogic; phy_rxclk : in std_ulogic; phy_reset : out std_ulogic; phy_mdio : inout std_logic; phy_mdc : out std_ulogic; sfp_clock_mux : out std_logic_vector(1 downto 0); sdcard_spi_miso : in std_logic; sdcard_spi_mosi : out std_logic; sdcard_spi_cs_b : out std_logic; sdcard_spi_clk : out std_logic ); end; architecture rtl of leon3mp is component ahb2mig_7series generic( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false ); port( ddr3_dq : inout std_logic_vector(63 downto 0); ddr3_dqs_p : inout std_logic_vector(7 downto 0); ddr3_dqs_n : inout std_logic_vector(7 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(7 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); ahbso : out ahb_slv_out_type; ahbsi : in ahb_slv_in_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; calib_done : out std_logic; rst_n_syn : in std_logic; rst_n_async : in std_logic; clk_amba : in std_logic; sys_clk_p : in std_logic; sys_clk_n : in std_logic; clk_ref_i : in std_logic; ui_clk : out std_logic; ui_clk_sync_rst : out std_logic ); end component ; component ddr_dummy port ( ddr_dq : inout std_logic_vector(63 downto 0); ddr_dqs : inout std_logic_vector(7 downto 0); ddr_dqs_n : inout std_logic_vector(7 downto 0); ddr_addr : out std_logic_vector(13 downto 0); ddr_ba : out std_logic_vector(2 downto 0); ddr_ras_n : out std_logic; ddr_cas_n : out std_logic; ddr_we_n : out std_logic; ddr_reset_n : out std_logic; ddr_ck_p : out std_logic_vector(0 downto 0); ddr_ck_n : out std_logic_vector(0 downto 0); ddr_cke : out std_logic_vector(0 downto 0); ddr_cs_n : out std_logic_vector(0 downto 0); ddr_dm : out std_logic_vector(7 downto 0); ddr_odt : out std_logic_vector(0 downto 0) ); end component ; -- pragma translate_off component ahbram_sim generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#fff#; tech : integer := DEFMEMTECH; kbytes : integer := 1; pipe : integer := 0; maccsz : integer := AHBDW; fname : string := "ram.dat" ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end component ; -- pragma translate_on component IBUFDS_GTE2 port ( O : out std_ulogic; ODIV2 : out std_ulogic; CEB : in std_ulogic; I : in std_ulogic; IB : in std_ulogic ); end component; component IDELAYCTRL port ( RDY : out std_ulogic; REFCLK : in std_ulogic; RST : in std_ulogic ); end component; component IODELAYE1 generic ( DELAY_SRC : string := "I"; IDELAY_TYPE : string := "DEFAULT"; IDELAY_VALUE : integer := 0 ); port ( CNTVALUEOUT : out std_logic_vector(4 downto 0); DATAOUT : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; CINVCTRL : in std_ulogic; CLKIN : in std_ulogic; CNTVALUEIN : in std_logic_vector(4 downto 0); DATAIN : in std_ulogic; IDATAIN : in std_ulogic; INC : in std_ulogic; ODATAIN : in std_ulogic; RST : in std_ulogic; T : in std_ulogic ); end component; ----- component STARTUPE2 ----- component STARTUPE2 generic ( PROG_USR : string := "FALSE"; SIM_CCLK_FREQ : real := 0.0 ); port ( CFGCLK : out std_ulogic; CFGMCLK : out std_ulogic; EOS : out std_ulogic; PREQ : out std_ulogic; CLK : in std_ulogic; GSR : in std_ulogic; GTS : in std_ulogic; KEYCLEARB : in std_ulogic; PACK : in std_ulogic; USRCCLKO : in std_ulogic; USRCCLKTS : in std_ulogic; USRDONEO : in std_ulogic; USRDONETS : in std_ulogic ); end component; component BUFG port (O : out std_logic; I : in std_logic); end component; --constant maxahbm : integer := CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH; constant maxahbm : integer := 16; constant maxahbs : integer := 16; constant maxapbs : integer := CFG_IRQ3_ENABLE+CFG_GPT_ENABLE+CFG_GRGPIO_ENABLE+CFG_AHBSTAT+CFG_AHBSTAT; signal vcc, gnd : std_logic; signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdram_out_type; signal sdo2, sdo3 : sdctrl_out_type; signal spii : spi_in_type; signal spio : spi_out_type; signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0); signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal vahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal vahbmo : ahb_mst_out_type; signal mem_ahbsi : ahb_slv_in_type; signal mem_ahbso : ahb_slv_out_vector := (others => ahbs_none); signal mem_ahbmi : ahb_mst_in_type; signal mem_ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal ui_clk : std_ulogic; signal clkm : std_ulogic := '0'; signal rstn, rstraw, sdclkl : std_ulogic; signal clk_200 : std_ulogic; signal clk25, clk40, clk65 : std_ulogic; signal cgi, cgi2 : clkgen_in_type; signal cgo, cgo2 : clkgen_out_type; signal u1i, u2i, dui : uart_in_type; signal u1o, u2o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal gmiii : eth_in_type; signal gmiio : eth_out_type; signal rgmiii,rgmiii_buf : eth_in_type; signal rgmiio : eth_out_type; signal sgmiii : eth_sgmii_in_type; signal sgmiio : eth_sgmii_out_type; signal sgmiirst : std_logic; signal ethernet_phy_int : std_logic; signal rxd1 : std_logic; signal txd1 : std_logic; signal ethi : eth_in_type; signal etho : eth_out_type; signal gtx_clk,gtx_clk_nobuf,gtx_clk90 : std_ulogic; signal rstgtxn : std_logic; signal gpti : gptimer_in_type; signal gpto : gptimer_out_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal clklock, elock, ulock : std_ulogic; signal lock, calib_done, clkml, lclk, rst, ndsuact : std_ulogic; signal tck, tckn, tms, tdi, tdo : std_ulogic; signal lcd_datal : std_logic_vector(11 downto 0); signal lcd_hsyncl, lcd_vsyncl, lcd_del, lcd_reset_bl : std_ulogic; signal i2ci, dvi_i2ci : i2c_in_type; signal i2co, dvi_i2co : i2c_out_type; constant BOARD_FREQ : integer := 200000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz signal stati : ahbstat_in_type; signal fpi : grfpu_in_vector_type; signal fpo : grfpu_out_vector_type; signal dsurx_int : std_logic; signal dsutx_int : std_logic; signal dsuctsn_int : std_logic; signal dsurtsn_int : std_logic; signal dsu_sel : std_logic; signal idelay_reset_cnt : std_logic_vector(3 downto 0); signal idelayctrl_reset : std_logic; signal io_ref : std_logic; signal clkref : std_logic; signal migrstn : std_logic; signal spmi : spimctrl_in_type; signal spmo : spimctrl_out_type; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= '1'; gnd <= '0'; cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; clk_gen0 : if (CFG_MIG_7SERIES = 0) generate clk_pad_ds : clkpad_ds generic map (tech => padtech, level => sstl, voltage => x15v) port map (clk200p, clk200n, lclk); clkgen0 : clkgen -- clock generator generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ) port map (lclk, lclk, clkm, open, open, open, open, cgi, cgo, open, open, open); end generate; reset_pad : inpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (reset, rst); rst0 : rstgen -- reset generator generic map (acthigh => 1, syncin => 1) port map (rst, clkm, lock, rstn, rstraw); lock <= calib_done when CFG_MIG_7SERIES = 1 else cgo.clklock; rst1 : rstgen -- reset generator generic map (acthigh => 1) port map (rst, clkm, '1', migrstn, open); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, fpnpen => CFG_FPNPEN, nahbm => maxahbm, nahbs => maxahbs) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- nosh : if CFG_GRFPUSH = 0 generate cpu : for i in 0 to CFG_NCPU-1 generate l3ft : if CFG_LEON3FT_EN /= 0 generate leon3ft0 : leon3ft -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ, CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), clkm); end generate; l3s : if CFG_LEON3FT_EN = 0 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; end generate; end generate; sh : if CFG_GRFPUSH = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate l3ft : if CFG_LEON3FT_EN /= 0 generate leon3ft0 : leon3ftsh -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ, CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), clkm, fpi(i), fpo(i)); end generate; l3s : if CFG_LEON3FT_EN = 0 generate u0 : leon3sh -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i)); end generate; end generate; grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech) port map (clkm, rstn, fpi, fpo); end generate; led1_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(1), dbgo(0).error); -- LEON3 Debug Support Unit dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsui.enable <= '1'; dsui_break_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (button(0), dsui.break); dsuact_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(0), ndsuact); ndsuact <= not dsuo.active; end generate; nodsu : if CFG_DSU = 0 generate dsuo.tstop <= '0'; dsuo.active <= '0'; ahbso(2) <= ahbs_none; end generate; -- Debug UART dcomgen : if CFG_AHB_UART = 1 generate dcom0 : ahbuart generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU)); dui.extclk <= '0'; end generate; nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; duo.txd <= '0'; duo.rtsn <= '0'; dui.extclk <= '0'; end generate; sw4_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v) port map (switch(3), '0', '1', dsu_sel); dsutx_int <= duo.txd when dsu_sel = '1' else u1o.txd; dui.rxd <= dsurx_int when dsu_sel = '1' else '1'; u1i.rxd <= dsurx_int when dsu_sel = '0' else '1'; dsurtsn_int <= duo.rtsn when dsu_sel = '1' else u1o.rtsn; dui.ctsn <= dsuctsn_int when dsu_sel = '1' else '1'; u1i.ctsn <= dsuctsn_int when dsu_sel = '0' else '1'; dsurx_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsurx, dsurx_int); dsutx_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsutx, dsutx_int); dsuctsn_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsuctsn, dsuctsn_int); dsurtsn_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsurtsn, dsurtsn_int); ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd); end generate; --nojtag : if CFG_AHB_JTAG = 0 generate apbo(CFG_NCPU+CFG_AHB_UART) <= apb_none; end generate; ---------------------------------------------------------------------- --- SPI Memory Controller-------------------------------------------- ---------------------------------------------------------------------- spimc: if CFG_SPIMCTRL = 1 generate spimctrl0 : spimctrl -- SPI Memory Controller generic map (hindex => 0, hirq => 1, faddr => 16#100#, fmask => 16#ff8#, ioaddr => 16#002#, iomask => 16#fff#, spliten => CFG_SPLIT, oepol => 0, sdcard => CFG_SPIMCTRL_SDCARD, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => CFG_SPIMCTRL_DUALOUTPUT, scaler => CFG_SPIMCTRL_SCALER, altscaler => CFG_SPIMCTRL_ASCALER, pwrupcnt => CFG_SPIMCTRL_PWRUPCNT) port map (rstn, clkm, ahbsi, ahbso(0), spmi, spmo); miso_pad : inpad generic map (tech => padtech) port map (spi_miso, spmi.miso); mosi_pad : outpad generic map (tech => padtech) port map (spi_mosi, spmo.mosi); slvsel0_pad : odpad generic map (tech => padtech) port map (spi_sel_n, spmo.csn); -- To output SPI clock use Xilinx STARTUPE2 primitive --sck_pad : outpad generic map (tech => padtech) -- port map (spi_clk, spmo.sck); STARTUPE2_inst : STARTUPE2 generic map ( PROG_USR => "FALSE", SIM_CCLK_FREQ => 10.0 ) port map ( CFGCLK => open , CFGMCLK => open , EOS => open , PREQ => open , CLK => '0', GSR => '0', GTS => '0', KEYCLEARB => '0', PACK => '0', USRCCLKO => spmo.sck, USRCCLKTS => '0', USRDONEO => '1', USRDONETS => '1' ); end generate; nospimc: if CFG_SPIMCTRL = 0 generate miso_pad : inpad generic map (tech => padtech) port map (spi_miso, spmi.miso); mosi_pad : outpad generic map (tech => padtech) port map (spi_mosi, spmo.mosi); slvsel0_pad : odpad generic map (tech => padtech) port map (spi_sel_n, '1'); --sck_pad : outpad generic map (tech => padtech) -- port map (spi_clk, '0'); end generate; ---------------------------------------------------------------------- --- DDR3 memory controller ------------------------------------------ ---------------------------------------------------------------------- l2cdis : if CFG_L2_EN = 0 generate mig_gen : if (CFG_MIG_7SERIES = 1) generate gen_mig : if (USE_MIG_INTERFACE_MODEL /= true) generate ddrc : ahb2mig_7series generic map( hindex => 4, haddr => 16#400#, hmask => 16#C00#, pindex => 4, paddr => 4, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL) port map( ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_cs_n => ddr3_cs_n, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, ahbsi => ahbsi, ahbso => ahbso(4), apbi => apbi, apbo => apbo(4), calib_done => calib_done, rst_n_syn => migrstn, rst_n_async => rstraw, clk_amba => clkm, sys_clk_p => clk200p, sys_clk_n => clk200n, clk_ref_i => clkref, ui_clk => clkm, ui_clk_sync_rst => open ); clkgenmigref0 : clkgen generic map (clktech, 16, 8, 0,CFG_CLK_NOFB, 0, 0, 0, 100000) port map (clkm, clkm, clkref, open, open, open, open, cgi, cgo, open, open, open); end generate gen_mig; gen_mig_model : if (USE_MIG_INTERFACE_MODEL = true) generate -- pragma translate_off mig_ahbram : ahbram_sim generic map ( hindex => 4, haddr => 16#400#, hmask => 16#C00#, tech => 0, kbytes => 1000, pipe => 0, maccsz => AHBDW, fname => "ram.srec" ) port map( rst => rstn, clk => clkm, ahbsi => ahbsi, ahbso => ahbso(4) ); ddr3_dq <= (others => 'Z'); ddr3_dqs_p <= (others => 'Z'); ddr3_dqs_n <= (others => 'Z'); ddr3_addr <= (others => '0'); ddr3_ba <= (others => '0'); ddr3_ras_n <= '0'; ddr3_cas_n <= '0'; ddr3_we_n <= '0'; ddr3_reset_n <= '1'; ddr3_ck_p <= (others => '0'); ddr3_ck_n <= (others => '0'); ddr3_cke <= (others => '0'); ddr3_cs_n <= (others => '0'); ddr3_dm <= (others => '0'); ddr3_odt <= (others => '0'); --calib_done : out std_logic; calib_done <= '1'; --ui_clk : out std_logic; clkm <= not clkm after 5.0 ns; --ui_clk_sync_rst : out std_logic -- n/a -- pragma translate_on end generate gen_mig_model; end generate; no_mig_gen : if (CFG_MIG_7SERIES = 0) generate ahbram0 : ahbram generic map (hindex => 4, haddr => 16#400#, tech => CFG_MEMTECH, kbytes => 128) port map ( rstn, clkm, ahbsi, ahbso(4)); ddrdummy0 : ddr_dummy port map ( ddr_dq => ddr3_dq, ddr_dqs => ddr3_dqs_p, ddr_dqs_n => ddr3_dqs_n, ddr_addr => ddr3_addr, ddr_ba => ddr3_ba, ddr_ras_n => ddr3_ras_n, ddr_cas_n => ddr3_cas_n, ddr_we_n => ddr3_we_n, ddr_reset_n => ddr3_reset_n, ddr_ck_p => ddr3_ck_p, ddr_ck_n => ddr3_ck_n, ddr_cke => ddr3_cke, ddr_cs_n => ddr3_cs_n, ddr_dm => ddr3_dm, ddr_odt => ddr3_odt ); calib_done <= '1'; end generate no_mig_gen; end generate l2cdis; ----------------------------------------------------------------------------- -- L2 cache covering DDR3 SDRAM memory controller ----------------------------------------------------------------------------- l2cen : if CFG_L2_EN /= 0 generate l2c0 : l2c generic map(hslvidx => 4, hmstidx => 0, cen => CFG_L2_PEN, haddr => 16#400#, hmask => 16#c00#, ioaddr => 16#FF0#, cached => CFG_L2_MAP, repl => CFG_L2_RAN, ways => CFG_L2_WAYS, linesize => CFG_L2_LSZ, waysize => CFG_L2_SIZE, memtech => memtech, bbuswidth => AHBDW, bioaddr => 16#FFE#, biomask => 16#fff#, sbus => 0, mbus => 1, arch => CFG_L2_SHARE, ft => CFG_L2_EDAC) port map(rst => rstn, clk => clkm, ahbsi => ahbsi, ahbso => ahbso(4), ahbmi => mem_ahbmi, ahbmo => mem_ahbmo(0), ahbsov => mem_ahbso); memahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => 16#FFE#, ioen => 1, nahbm => 1, nahbs => 1) port map (rstn, clkm, mem_ahbmi, mem_ahbmo, mem_ahbsi, mem_ahbso); --mig_gen : if (CFG_MIG_7SERIES = 1) generate -- gen_mig : if (USE_MIG_INTERFACE_MODEL /= true) generate ddrc : ahb2mig_7series generic map(hindex => 0, haddr => 16#400#, hmask => 16#C00#, pindex => 4, paddr => 4, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL) port map(ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_cs_n => ddr3_cs_n, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, ahbsi => mem_ahbsi, ahbso => mem_ahbso(0), apbi => apbi, apbo => apbo(4), calib_done => calib_done, rst_n_syn => migrstn, rst_n_async => rstraw, clk_amba => clkm, sys_clk_p => clk200p, sys_clk_n => clk200n, clk_ref_i => clkref, ui_clk => clkm, ui_clk_sync_rst => open); clkgenmigref0 : clkgen generic map (clktech, 16, 8, 0,CFG_CLK_NOFB, 0, 0, 0, 100000) port map (clkm, clkm, clkref, open, open, open, open, cgi, cgo, open, open, open); -- end generate gen_mig; --end generate mig_gen; end generate l2cen; led2_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(2), calib_done); led3_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(3), lock); ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : grethm generic map( hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, pindex => 14, paddr => 16#C00#, pmask => 16#C00#, pirq => 3, memtech => memtech, mdcscaler => CPU_FREQ/1000, rmii => 0, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 2, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, phyrstadr => 7, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, enable_mdint => 1, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G, ramdebug => 0, gmiimode => 1) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi, apbo => apbo(14), ethi => ethi, etho => etho); ----------------------------------------------------------------------------- -- An IDELAYCTRL primitive needs to be instantiated for the Fixed Tap Delay -- mode of the IDELAY. -- All IDELAYs in Fixed Tap Delay mode and the IDELAYCTRL primitives have -- to be LOC'ed in the UCF file. ----------------------------------------------------------------------------- dlyctrl0 : IDELAYCTRL port map ( RDY => OPEN, REFCLK => io_ref, RST => idelayctrl_reset ); delay_rgmii_rx_ctl0 : IODELAYE1 generic map( DELAY_SRC => "I", IDELAY_TYPE => "FIXED", IDELAY_VALUE => 20 ) port map( IDATAIN => rgmiii_buf.rx_dv, ODATAIN => '0', DATAOUT => rgmiii.rx_dv, DATAIN => '0', C => '0', T => '1', CE => '0', INC => '0', CINVCTRL => '0', CLKIN => '0', CNTVALUEIN => "00000", CNTVALUEOUT => OPEN, RST => '0' ); rgmii_rxd : for i in 0 to 3 generate delay_rgmii_rxd0 : IODELAYE1 generic map( DELAY_SRC => "I", IDELAY_TYPE => "FIXED", IDELAY_VALUE => 20 ) port map( IDATAIN => rgmiii_buf.rxd(i), ODATAIN => '0', DATAOUT => rgmiii.rxd(i), DATAIN => '0', C => '0', T => '1', CE => '0', INC => '0', CINVCTRL => '0', CLKIN => '0', CNTVALUEIN => "00000", CNTVALUEOUT => OPEN, RST => '0' ); end generate; -- Generate a synchron delayed reset for Xilinx IO delay rst1 : rstgen generic map (acthigh => 1) port map (rst, io_ref, lock, rstgtxn, OPEN); process (io_ref,rstgtxn) begin if (rstgtxn = '0') then idelay_reset_cnt <= (others => '0'); idelayctrl_reset <= '1'; elsif rising_edge(io_ref) then if (idelay_reset_cnt > "1110") then idelay_reset_cnt <= (others => '1'); idelayctrl_reset <= '0'; else idelay_reset_cnt <= idelay_reset_cnt + 1; idelayctrl_reset <= '1'; end if; end if; end process; -- RGMII Interface rgmii0 : rgmii generic map (pindex => 11, paddr => 16#010#, pmask => 16#ff0#, tech => fabtech, gmii => CFG_GRETH1G, debugmem => 0, abits => 8, no_clk_mux => 1, pirq => 11, use90degtxclk => 1) port map (rstn, ethi, etho, rgmiii, rgmiio, clkm, rstn, apbi, apbo(11)); egtxc_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1) port map (phy_txclk, rgmiio.tx_clk); erxc_pad : clkpad generic map (tech => padtech, level => cmos, voltage => x25v, arch => 4) port map (phy_rxclk, rgmiii.rx_clk); erxd_pad : inpadv generic map (tech => padtech, level => cmos, voltage => x25v, width => 4) port map (phy_rxd, rgmiii_buf.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech, level => cmos, voltage => x25v) port map (phy_rxctl_rxdv, rgmiii_buf.rx_dv); etxd_pad : outpadv generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1, width => 4) port map (phy_txd, rgmiio.txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1) port map (phy_txctl_txen, rgmiio.tx_en); emdio_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v) port map (phy_mdio, rgmiio.mdio_o, rgmiio.mdio_oe, rgmiii.mdio_i); emdc_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v) port map (phy_mdc, rgmiio.mdc); rgmiii.mdint <= '0'; -- No interrupt on Marvell 88E1116R PHY erst_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v) port map (phy_reset, rstraw); sfp_clock_mux_pad : outpadv generic map (tech => padtech, level => cmos, voltage => x25v, width => 2) port map (sfp_clock_mux, "00"); -- GTX Clock rgmiii.gtx_clk <= gtx_clk; -- 125MHz input clock ibufds_gtrefclk : IBUFDS_GTE2 port map ( I => gtrefclk_p, IB => gtrefclk_n, CEB => '0', O => gtx_clk_nobuf, ODIV2 => open ); cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw; clkgen_gtrefclk : clkgen generic map (clktech, 8, 8, 0, 0, 0, 0, 0, 125000) port map (gtx_clk_nobuf, gtx_clk_nobuf, gtx_clk, rgmiii.tx_clk_90, io_ref, open, open, cgi2, cgo2, open, open, open); end generate; noeth0 : if CFG_GRETH = 0 generate -- TODO: end generate; ---------------------------------------------------------------------- --- I2C Controller -------------------------------------------------- ---------------------------------------------------------------------- --i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master i2c0 : i2cmst generic map (pindex => 9, paddr => 9, pmask => 16#FFF#, pirq => 4, filter => 9) port map (rstn, clkm, apbi, apbo(9), i2ci, i2co); i2c_scl_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v) port map (iic_scl, i2co.scl, i2co.scloen, i2ci.scl); i2c_sda_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v) port map (iic_sda, i2co.sda, i2co.sdaoen, i2ci.sda); --end generate i2cm; ---------------------------------------------------------------------- --- SPI Controller -------------------------------------------------- ---------------------------------------------------------------------- spic: if CFG_SPICTRL_ENABLE = 1 generate -- SPI controller spi1 : spictrl generic map (pindex => 12, paddr => 12, pmask => 16#fff#, pirq => 12, fdepth => CFG_SPICTRL_FIFO, slvselen => CFG_SPICTRL_SLVREG, slvselsz => CFG_SPICTRL_SLVS, odmode => 0, netlist => 0, syncram => CFG_SPICTRL_SYNCRAM, ft => CFG_SPICTRL_FT) port map (rstn, clkm, apbi, apbo(12), spii, spio, slvsel); spii.spisel <= '1'; -- Master only miso_pad : inpad generic map (level => cmos, voltage => x18v,tech => padtech) port map (sdcard_spi_miso, spii.miso); mosi_pad : outpad generic map (level => cmos, voltage => x18v,tech => padtech) port map (sdcard_spi_mosi, spio.mosi); sck_pad : outpad generic map (level => cmos, voltage => x18v,tech => padtech) port map (sdcard_spi_clk, spio.sck); slvsel_pad : outpad generic map (level => cmos, voltage => x18v,tech => padtech) port map (sdcard_spi_cs_b, slvsel(0)); end generate spic; nospi: if CFG_SPICTRL_ENABLE = 0 generate miso_pad : inpad generic map (level => cmos, voltage => x25v,tech => padtech) port map (sdcard_spi_miso, spii.miso); mosi_pad : outpad generic map (level => cmos, voltage => x25v,tech => padtech) port map (sdcard_spi_mosi, '1'); sck_pad : outpad generic map (level => cmos, voltage => x25v,tech => padtech) port map (sdcard_spi_clk, '0'); slvsel_pad : outpad generic map (level => cmos, voltage => x25v,tech => padtech) port map (sdcard_spi_cs_b, '1'); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16, debug => 2) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG) port map (rstn, clkm, apbi, apbo(3), gpti, gpto); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit grgpio0: grgpio generic map(pindex => 10, paddr => 10, imask => CFG_GRGPIO_IMASK, nbits => 7) port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(10), gpioi => gpioi, gpioo => gpioo); pio_pads : for i in 0 to 2 generate pio_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v) port map (switch(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; pio_pads2 : for i in 3 to 5 generate pio_pad : inpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (button(i-2), gpioi.din(i)); end generate; end generate; ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.extclk <= '0'; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); end generate; ----------------------------------------------------------------------- --- AHB ROM ---------------------------------------------------------- ----------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 7, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(7)); end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ocram : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 5, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ) port map ( rstn, clkm, ahbsi, ahbso(5)); end generate; ----------------------------------------------------------------------- --- Test report module ---------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off test0_gen : if (testahb = true) generate test0 : ahbrep generic map (hindex => 3, haddr => 16#200#) port map (rstn, clkm, ahbsi, ahbso(3)); end generate; -- pragma translate_on test1_gen : if (testahb = false) generate ahbram0 : ahbram generic map (hindex => 3, haddr => 16#200#, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ) port map ( rstn, clkm, ahbsi, ahbso(3)); end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH) to NAHBMST-1 generate ahbmo(i) <= ahbm_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Xilinx AC701 Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
e72a221676e41a5326e39ea54268e005
0.523411
3.566216
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/greth/greths.vhd
1
9,276
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: greths -- File: greths.vhd -- Authors: Andrea Gianarro -- Description: Gigabit Ethernet Media Access Controller with Ethernet Debug -- Communication Link and Serial GMII interface ------------------------------------------------------------------------------ library ieee; library grlib; library gaisler; use ieee.std_logic_1164.all; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use gaisler.net.all; use gaisler.ethernet_mac.all; library eth; use eth.ethcomp.all; entity greths is generic( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; fabtech : integer := 0; memtech : integer := 0; transtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 64 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; sim : integer range 0 to 1 := 0; giga : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer := 1500; pcs_phyaddr : integer range 0 to 32 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; -- High-speed Serial Interface clk_125 : in std_logic; rst_125 : in std_logic; eth_rx_p : in std_logic; eth_rx_n : in std_logic := '0'; eth_tx_p : out std_logic; eth_tx_n : out std_logic; -- MDIO interface reset : out std_logic; mdio_o : out std_logic; mdio_oe : out std_logic; mdio_i : in std_logic; mdc : out std_logic; mdint : in std_logic; -- Control signals phyrstaddr : in std_logic_vector(4 downto 0); edcladdr : in std_logic_vector(3 downto 0); edclsepahb : in std_logic; edcldisable : in std_logic; debug_pcs_mdio : in std_logic := '0'; -- added for igloo2_serdes apbin : in apb_in_serdes := apb_in_serdes_none; apbout : out apb_out_serdes; m2gl_padin : in pad_in_serdes := pad_in_serdes_none; m2gl_padout : out pad_out_serdes; serdes_clk125 : out std_logic; rx_aligned : out std_logic ); end entity; architecture rtl of greths is -- GMII and MII signals between MAC and PCS signal mac_ethi : eth_in_type; signal pcs_ethi : eth_in_type; signal mac_etho : eth_out_type; signal pcs_etho : eth_out_type; signal int_tx_rstn : std_logic; signal int_rx_rstn : std_logic; -- MDIO signals signal mdio_o_pcs : std_logic; signal mdio_oe_pcs : std_logic; signal mdio_i_pcs : std_logic; begin ------------------------------------------------------------------------------- -- Ethernet MAC ------------------------------------------------------------------------------- u0 : grethm generic map ( hindex => hindex, pindex => pindex, paddr => paddr, pmask => pmask, pirq => pirq, memtech => memtech, ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, slot_time => slot_time, mdcscaler => mdcscaler, enable_mdio => enable_mdio, fifosize => fifosize, nsync => nsync, edcl => edcl, edclbufsz => edclbufsz, burstlength => burstlength, macaddrh => macaddrh, macaddrl => macaddrl, ipaddrh => ipaddrh, ipaddrl => ipaddrl, phyrstadr => phyrstadr, rmii => rmii, sim => sim, giga => giga, oepol => oepol, scanen => scanen, ft => ft, edclft => edclft, mdint_pol => mdint_pol, enable_mdint => enable_mdint, multicast => multicast, ramdebug => ramdebug, mdiohold => mdiohold, maxsize => maxsize, gmiimode => 1 ) port map ( rst => rst, clk => clk, ahbmi => ahbmi, ahbmo => ahbmo, apbi => apbi, apbo => apbo, ethi => mac_ethi, etho => mac_etho ); ------------------------------------------------------------------------------- -- 1000baseX-compliant SGMII bridge ------------------------------------------------------------------------------- sgmii0: sgmii generic map ( fabtech => fabtech, memtech => memtech, transtech => transtech, phy_addr => pcs_phyaddr ) port map( clk_125 => clk_125, rst_125 => rst_125, ser_rx_p => eth_rx_p, ser_rx_n => eth_rx_n, ser_tx_p => eth_tx_p, ser_tx_n => eth_tx_n, txd => pcs_etho.txd, tx_en => pcs_etho.tx_en, tx_er => pcs_etho.tx_er, tx_clk => pcs_ethi.gtx_clk, tx_rstn => int_tx_rstn, rxd => pcs_ethi.rxd, rx_dv => pcs_ethi.rx_dv, rx_er => pcs_ethi.rx_er, rx_col => pcs_ethi.rx_col, rx_crs => pcs_ethi.rx_crs, rx_clk => pcs_ethi.rx_clk, rx_rstn => int_rx_rstn, -- optional MDIO interface to PCS mdc => pcs_etho.mdc, mdio_o => mdio_o_pcs, mdio_oe => mdio_oe_pcs, mdio_i => mdio_i_pcs, -- added for igloo2_serdes apbin => apbin, apbout => apbout, m2gl_padin => m2gl_padin, m2gl_padout => m2gl_padout, serdes_clk125 => serdes_clk125, rx_aligned => rx_aligned ); -- 10/100 Mbit GMII to MII adapter adapt_10_100_0 : gmii_to_mii port map ( tx_rstn => int_tx_rstn, rx_rstn => int_rx_rstn, gmiii => mac_ethi, -- OUT gmiio => mac_etho, -- IN miii => pcs_ethi, -- IN miio => pcs_etho -- OUT ); -- Drive MDIO signals (including PCS bypass to MAC) reset <= pcs_etho.reset; mdc <= pcs_etho.mdc; mdio_oe <= '1' when debug_pcs_mdio = '1' else pcs_etho.mdio_oe; mdio_o <= '0' when debug_pcs_mdio = '1' else pcs_etho.mdio_o; mdio_oe_pcs <= pcs_etho.mdio_oe when debug_pcs_mdio = '1' else '1'; mdio_o_pcs <= pcs_etho.mdio_o when debug_pcs_mdio = '1' else '0'; pcs_ethi.mdint <= mdint; pcs_ethi.mdio_i <= mdio_i_pcs when debug_pcs_mdio = '1' else mdio_i; -- MAC input signals integration pcs_ethi.tx_clk <= pcs_ethi.gtx_clk; pcs_ethi.phyrstaddr <= phyrstaddr; pcs_ethi.edcladdr <= edcladdr; pcs_ethi.edclsepahb <= edclsepahb; pcs_ethi.edcldisable <= edcldisable; end architecture;
gpl-2.0
b50191fce2282bb0b614b5e925b47048
0.495364
3.836228
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-ztex-ufm-115/config.vhd
1
5,748
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (3); constant CFG_CLKDIV : integer := (2); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (2); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (2); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 4; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 4; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#F80#; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 1; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
73292279a2c1abd84fd4a91b725ce09b
0.643876
3.665816
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-terasic-de0-nano/mt48lc16m16a2.vhd
6
69,329
--***************************************************************************** -- -- Micron Semiconductor Products, Inc. -- -- Copyright 1997, Micron Semiconductor Products, Inc. -- All rights reserved. -- --***************************************************************************** -- pragma translate_off library ieee; use ieee.std_logic_1164.ALL; use std.textio.all; PACKAGE mti_pkg IS FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC; FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER; FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER; FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER; PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR); END mti_pkg; PACKAGE BODY mti_pkg IS -- Convert BIT to STD_LOGIC FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC IS BEGIN CASE s IS WHEN '0' => RETURN ('0'); WHEN '1' => RETURN ('1'); WHEN OTHERS => RETURN ('0'); END CASE; END; -- Convert STD_LOGIC to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN IF input = '1' THEN result := weight; ELSE result := 0; -- if unknowns, default to logic 0 END IF; RETURN result; END TO_INTEGER; -- Convert BIT_VECTOR to INTEGER FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Convert STD_LOGIC_VECTOR to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Conver INTEGER to BIT_VECTOR PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS VARIABLE work,offset,outputlen,j : INTEGER := 0; BEGIN --length of vector IF output'LENGTH > 32 THEN --' outputlen := 32; offset := output'LENGTH - 32; --' IF input >= 0 THEN FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '0'; --' END LOOP; ELSE FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '1'; --' END LOOP; END IF; ELSE outputlen := output'LENGTH; --' END IF; --positive value IF (input >= 0) THEN work := input; j := outputlen - 1; FOR i IN 1 to 32 LOOP IF j >= 0 then IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '0'; --' ELSE output(output'HIGH-j-offset) := '1'; --' END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '0'; --' END IF; --negative value ELSE work := (-input) - 1; j := outputlen - 1; FOR i IN 1 TO 32 LOOP IF j>= 0 THEN IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '1'; --' ELSE output(output'HIGH-j-offset) := '0'; --' END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '1'; --' END IF; END IF; END TO_BITVECTOR; END mti_pkg; ----------------------------------------------------------------------------------------- -- -- File Name: MT48LC16M16A2.VHD -- Version: 0.0g -- Date: June 29th, 2000 -- Model: Behavioral -- Simulator: Model Technology (PC version 5.3 PE) -- -- Dependencies: None -- -- Author: Son P. Huynh -- Email: [email protected] -- Phone: (208) 368-3825 -- Company: Micron Technology, Inc. -- Part Number: MT48LC16M16A2 (4Mb x 16 x 4 Banks) -- -- Description: Micron 256Mb SDRAM -- -- Limitation: - Doesn't check for 4096-cycle refresh --' -- -- Note: - Set simulator resolution to "ps" accuracy -- -- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY -- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY -- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR -- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. -- -- Copyright (c) 1998 Micron Semiconductor Products, Inc. -- All rights researved -- -- Rev Author Phone Date Changes -- ---- ---------------------------- ---------- ------------------------------------- -- 0.0g Son Huynh 208-368-3825 06/29/2000 Add Load/Dump memory array -- Micron Technology Inc. Modify tWR + tRAS timing check -- -- 0.0f Son Huynh 208-368-3825 07/08/1999 Fix tWR = 1 Clk + 7.5 ns (Auto) -- Micron Technology Inc. Fix tWR = 15 ns (Manual) -- Fix tRP (Autoprecharge to AutoRefresh) -- -- 0.0c Son P. Huynh 208-368-3825 04/08/1999 Fix tWR + tRP in Write with AP -- Micron Technology Inc. Fix tRC check in Load Mode Register -- -- 0.0b Son P. Huynh 208-368-3825 01/06/1998 Derive from 64Mb SDRAM model -- Micron Technology Inc. -- ----------------------------------------------------------------------------------------- LIBRARY STD; USE STD.TEXTIO.ALL; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; LIBRARY WORK; USE WORK.MTI_PKG.ALL; use std.textio.all; library grlib; use grlib.stdlib.all; use grlib.stdio.all; ENTITY mt48lc16m16a2 IS GENERIC ( -- Timing Parameters for -75 (PC133) and CAS Latency = 2 tAC : TIME := 6.0 ns; tHZ : TIME := 7.0 ns; tOH : TIME := 2.7 ns; tMRD : INTEGER := 2; -- 2 Clk Cycles tRAS : TIME := 44.0 ns; tRC : TIME := 66.0 ns; tRCD : TIME := 20.0 ns; tRP : TIME := 20.0 ns; tRRD : TIME := 15.0 ns; tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns) tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns) tAH : TIME := 0.8 ns; tAS : TIME := 1.5 ns; tCH : TIME := 2.5 ns; tCL : TIME := 2.5 ns; tCK : TIME := 10.0 ns; tDH : TIME := 0.8 ns; tDS : TIME := 1.5 ns; tCKH : TIME := 0.8 ns; tCKS : TIME := 1.5 ns; tCMH : TIME := 0.8 ns; tCMS : TIME := 1.5 ns; addr_bits : INTEGER := 13; data_bits : INTEGER := 16; col_bits : INTEGER := 9; index : INTEGER := 0; fname : string := "ram.srec" -- File to read from ); PORT ( Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); Ba : IN STD_LOGIC_VECTOR := "00"; Clk : IN STD_LOGIC := '0'; Cke : IN STD_LOGIC := '1'; Cs_n : IN STD_LOGIC := '1'; Ras_n : IN STD_LOGIC := '1'; Cas_n : IN STD_LOGIC := '1'; We_n : IN STD_LOGIC := '1'; Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00" ); END mt48lc16m16a2; ARCHITECTURE behave OF mt48lc16m16a2 IS TYPE State IS (ACT, A_REF, BST, LMR, NOP, PRECH, READ, READ_A, WRITE, WRITE_A, LOAD_FILE, DUMP_FILE); TYPE Array4xI IS ARRAY (3 DOWNTO 0) OF INTEGER; TYPE Array4xT IS ARRAY (3 DOWNTO 0) OF TIME; TYPE Array4xB IS ARRAY (3 DOWNTO 0) OF BIT; TYPE Array4x2BV IS ARRAY (3 DOWNTO 0) OF BIT_VECTOR (1 DOWNTO 0); TYPE Array4xCBV IS ARRAY (4 DOWNTO 0) OF BIT_VECTOR (Col_bits - 1 DOWNTO 0); TYPE Array_state IS ARRAY (4 DOWNTO 0) OF State; SIGNAL Operation : State := NOP; SIGNAL Mode_reg : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL Active_enable, Aref_enable, Burst_term : BIT := '0'; SIGNAL Mode_reg_enable, Prech_enable, Read_enable, Write_enable : BIT := '0'; SIGNAL Burst_length_1, Burst_length_2, Burst_length_4, Burst_length_8 : BIT := '0'; SIGNAL Cas_latency_2, Cas_latency_3 : BIT := '0'; SIGNAL Ras_in, Cas_in, We_in : BIT := '0'; SIGNAL Write_burst_mode : BIT := '0'; SIGNAL RAS_clk, Sys_clk, CkeZ : BIT := '0'; -- Checking internal wires SIGNAL Pre_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; SIGNAL Act_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; SIGNAL Dq_in_chk, Dq_out_chk : BIT := '0'; SIGNAL Bank_chk : BIT_VECTOR (1 DOWNTO 0) := "00"; SIGNAL Row_chk : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); SIGNAL Col_chk : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN -- CS# Decode WITH Cs_n SELECT Cas_in <= TO_BIT (Cas_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; WITH Cs_n SELECT Ras_in <= TO_BIT (Ras_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; WITH Cs_n SELECT We_in <= TO_BIT (We_n, '1') WHEN '0', '1' WHEN '1', '1' WHEN OTHERS; -- Commands Decode Active_enable <= NOT(Ras_in) AND Cas_in AND We_in; Aref_enable <= NOT(Ras_in) AND NOT(Cas_in) AND We_in; Burst_term <= Ras_in AND Cas_in AND NOT(We_in); Mode_reg_enable <= NOT(Ras_in) AND NOT(Cas_in) AND NOT(We_in); Prech_enable <= NOT(Ras_in) AND Cas_in AND NOT(We_in); Read_enable <= Ras_in AND NOT(Cas_in) AND We_in; Write_enable <= Ras_in AND NOT(Cas_in) AND NOT(We_in); -- Burst Length Decode Burst_length_1 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND NOT(Mode_reg(0)); Burst_length_2 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND Mode_reg(0); Burst_length_4 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND NOT(Mode_reg(0)); Burst_length_8 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND Mode_reg(0); -- CAS Latency Decode Cas_latency_2 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND NOT(Mode_reg(4)); Cas_latency_3 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND Mode_reg(4); -- Write Burst Mode Write_burst_mode <= Mode_reg(9); -- RAS Clock for checking tWR and tRP PROCESS variable Clk0, Clk1 : integer := 0; begin RAS_clk <= '1'; wait for 0.5 ns; RAS_clk <= '0'; wait for 0.5 ns; if Clk0 > 100 or Clk1 > 100 then wait; else if Clk = '1' and Cke = '1' then Clk0 := 0; Clk1 := Clk1 + 1; elsif Clk = '0' and Cke = '1' then Clk0 := Clk0 + 1; Clk1 := 0; end if; end if; END PROCESS; -- System Clock int_clk : PROCESS (Clk) begin IF Clk'LAST_VALUE = '0' AND Clk = '1' THEN --' CkeZ <= TO_BIT(Cke, '1'); END IF; Sys_clk <= CkeZ AND TO_BIT(Clk, '0'); END PROCESS; state_register : PROCESS -- NOTE: The extra bits in RAM_TYPE is for checking memory access. A logic 1 means -- the location is in use. This will be checked when doing memory DUMP. TYPE ram_type IS ARRAY (2**col_bits - 1 DOWNTO 0) OF BIT_VECTOR (data_bits DOWNTO 0); TYPE ram_pntr IS ACCESS ram_type; TYPE ram_stor IS ARRAY (2**addr_bits - 1 DOWNTO 0) OF ram_pntr; VARIABLE Bank0 : ram_stor; VARIABLE Bank1 : ram_stor; VARIABLE Bank2 : ram_stor; VARIABLE Bank3 : ram_stor; VARIABLE Row_index, Col_index : INTEGER := 0; VARIABLE Dq_temp : BIT_VECTOR (data_bits DOWNTO 0) := (OTHERS => '0'); VARIABLE Col_addr : Array4xCBV; VARIABLE Bank_addr : Array4x2BV; VARIABLE Dqm_reg0, Dqm_reg1 : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE Bank, Previous_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Col_brst : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Row : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Col : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE Burst_counter : INTEGER := 0; VARIABLE Command : Array_state; VARIABLE Bank_precharge : Array4x2BV; VARIABLE A10_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Auto_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Read_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE Write_precharge : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_read : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_write : Array4xB := ('0' & '0' & '0' & '0'); VARIABLE RW_interrupt_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; VARIABLE Count_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE Count_precharge : Array4xI := (0 & 0 & 0 & 0); VARIABLE Data_in_enable, Data_out_enable : BIT := '0'; VARIABLE Pc_b0, Pc_b1, Pc_b2, Pc_b3 : BIT := '0'; VARIABLE Act_b0, Act_b1, Act_b2, Act_b3 : BIT := '0'; -- Timing Check VARIABLE MRD_chk : INTEGER := 0; VARIABLE WR_counter : Array4xI := (0 & 0 & 0 & 0); VARIABLE WR_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE WR_chkp : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); VARIABLE RC_chk, RRD_chk : TIME := 0 ns; VARIABLE RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3 : TIME := 0 ns; VARIABLE RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3 : TIME := 0 ns; VARIABLE RP_chk0, RP_chk1, RP_chk2, RP_chk3 : TIME := 0 ns; -- Load and Dumb variables FILE file_load : TEXT open read_mode is fname; -- Data load FILE file_dump : TEXT open write_mode is "dumpdata.txt"; -- Data dump VARIABLE bank_load : bit_vector ( 1 DOWNTO 0); VARIABLE rows_load : BIT_VECTOR (12 DOWNTO 0); VARIABLE cols_load : BIT_VECTOR ( 8 DOWNTO 0); VARIABLE data_load : BIT_VECTOR (15 DOWNTO 0); VARIABLE i, j : INTEGER; VARIABLE good_load : BOOLEAN; VARIABLE l : LINE; variable load : std_logic := '1'; variable dump : std_logic := '0'; variable ch : character; variable rectype : bit_vector(3 downto 0); variable recaddr : bit_vector(31 downto 0); variable reclen : bit_vector(7 downto 0); variable recdata : bit_vector(0 to 16*8-1); -- Initialize empty rows PROCEDURE Init_mem (Bank : bit_vector (1 DOWNTO 0); Row_index : INTEGER) IS VARIABLE i, j : INTEGER := 0; BEGIN IF Bank = "00" THEN IF Bank0 (Row_index) = NULL THEN -- Check to see if row empty Bank0 (Row_index) := NEW ram_type; -- Open new row for access FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP -- Filled row with zeros FOR j IN (data_bits) DOWNTO 0 LOOP Bank0 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "01" THEN IF Bank1 (Row_index) = NULL THEN Bank1 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank1 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "10" THEN IF Bank2 (Row_index) = NULL THEN Bank2 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank2 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; ELSIF Bank = "11" THEN IF Bank3 (Row_index) = NULL THEN Bank3 (Row_index) := NEW ram_type; FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP FOR j IN (data_bits) DOWNTO 0 LOOP Bank3 (Row_index) (i) (j) := '0'; END LOOP; END LOOP; END IF; END IF; END; -- Burst Counter PROCEDURE Burst_decode IS VARIABLE Col_int : INTEGER := 0; VARIABLE Col_vec, Col_temp : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); BEGIN -- Advance Burst Counter Burst_counter := Burst_counter + 1; -- Burst Type IF Mode_reg (3) = '0' THEN Col_int := TO_INTEGER(Col); Col_int := Col_int + 1; TO_BITVECTOR (Col_int, Col_temp); ELSIF Mode_reg (3) = '1' THEN TO_BITVECTOR (Burst_counter, Col_vec); Col_temp (2) := Col_vec (2) XOR Col_brst (2); Col_temp (1) := Col_vec (1) XOR Col_brst (1); Col_temp (0) := Col_vec (0) XOR Col_brst (0); END IF; -- Burst Length IF Burst_length_2 = '1' THEN Col (0) := Col_temp (0); ELSIF Burst_length_4 = '1' THEN Col (1 DOWNTO 0) := Col_temp (1 DOWNTO 0); ELSIF Burst_length_8 = '1' THEN Col (2 DOWNTO 0) := Col_temp (2 DOWNTO 0); ELSE Col := Col_temp; END IF; -- Burst Read Single Write IF Write_burst_mode = '1' AND Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Data counter IF Burst_length_1 = '1' THEN IF Burst_counter >= 1 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_2 = '1' THEN IF Burst_counter >= 2 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_4 = '1' THEN IF Burst_counter >= 4 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Burst_length_8 = '1' THEN IF Burst_counter >= 8 THEN IF Data_in_enable = '1' THEN Data_in_enable := '0'; ELSIF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; END IF; END; BEGIN WAIT ON Sys_clk, RAS_clk; IF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '0' THEN --' -- Internal Command Pipeline Command(0) := Command(1); Command(1) := Command(2); Command(2) := Command(3); Command(3) := NOP; Col_addr(0) := Col_addr(1); Col_addr(1) := Col_addr(2); Col_addr(2) := Col_addr(3); Col_addr(3) := (OTHERS => '0'); Bank_addr(0) := Bank_addr(1); Bank_addr(1) := Bank_addr(2); Bank_addr(2) := Bank_addr(3); Bank_addr(3) := "00"; Bank_precharge(0) := Bank_precharge(1); Bank_precharge(1) := Bank_precharge(2); Bank_precharge(2) := Bank_precharge(3); Bank_precharge(3) := "00"; A10_precharge(0) := A10_precharge(1); A10_precharge(1) := A10_precharge(2); A10_precharge(2) := A10_precharge(3); A10_precharge(3) := '0'; -- Operation Decode (Optional for showing current command on posedge clock / debug feature) IF Active_enable = '1' THEN Operation <= ACT; ELSIF Aref_enable = '1' THEN Operation <= A_REF; ELSIF Burst_term = '1' THEN Operation <= BST; ELSIF Mode_reg_enable = '1' THEN Operation <= LMR; ELSIF Prech_enable = '1' THEN Operation <= PRECH; ELSIF Read_enable = '1' THEN IF Addr(10) = '0' THEN Operation <= READ; ELSE Operation <= READ_A; END IF; ELSIF Write_enable = '1' THEN IF Addr(10) = '0' THEN Operation <= WRITE; ELSE Operation <= WRITE_A; END IF; ELSE Operation <= NOP; END IF; -- Dqm pipeline for Read Dqm_reg0 := Dqm_reg1; Dqm_reg1 := TO_BITVECTOR(Dqm); -- Read or Write with Auto Precharge Counter IF Auto_precharge (0) = '1' THEN Count_precharge (0) := Count_precharge (0) + 1; END IF; IF Auto_precharge (1) = '1' THEN Count_precharge (1) := Count_precharge (1) + 1; END IF; IF Auto_precharge (2) = '1' THEN Count_precharge (2) := Count_precharge (2) + 1; END IF; IF Auto_precharge (3) = '1' THEN Count_precharge (3) := Count_precharge (3) + 1; END IF; -- Auto Precharge Timer for tWR if (Burst_length_1 = '1' OR Write_burst_mode = '1') then if (Count_precharge(0) = 1) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 1) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 1) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 1) then Count_time(3) := NOW; end if; elsif (Burst_length_2 = '1') then if (Count_precharge(0) = 2) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 2) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 2) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 2) then Count_time(3) := NOW; end if; elsif (Burst_length_4 = '1') then if (Count_precharge(0) = 4) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 4) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 4) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 4) then Count_time(3) := NOW; end if; elsif (Burst_length_8 = '1') then if (Count_precharge(0) = 8) then Count_time(0) := NOW; end if; if (Count_precharge(1) = 8) then Count_time(1) := NOW; end if; if (Count_precharge(2) = 8) then Count_time(2) := NOW; end if; if (Count_precharge(3) = 8) then Count_time(3) := NOW; end if; end if; -- tMRD Counter MRD_chk := MRD_chk + 1; -- tWR Counter WR_counter(0) := WR_counter(0) + 1; WR_counter(1) := WR_counter(1) + 1; WR_counter(2) := WR_counter(2) + 1; WR_counter(3) := WR_counter(3) + 1; -- Auto Refresh IF Aref_enable = '1' THEN -- Auto Refresh to Auto Refresh ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Auto Refresh" SEVERITY WARNING; -- Precharge to Auto Refresh ASSERT (NOW - RP_chk0 >= tRP OR NOW - RP_chk1 >= tRP OR NOW - RP_chk2 >= tRP OR NOW - RP_chk3 >= tRP) REPORT "tRP violation during Auto Refresh" SEVERITY WARNING; -- All banks must be idle before refresh IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN ASSERT (FALSE) REPORT "All banks must be Precharge before Auto Refresh" SEVERITY WARNING; END IF; -- Record current tRC time RC_chk := NOW; END IF; -- Load Mode Register IF Mode_reg_enable = '1' THEN Mode_reg <= TO_BITVECTOR (Addr); IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN ASSERT (FALSE) REPORT "All bank must be Precharge before Load Mode Register" SEVERITY WARNING; END IF; -- REF to LMR ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Load Mode Register" SEVERITY WARNING; -- LMR to LMR ASSERT (MRD_chk >= tMRD) REPORT "tMRD violation during Load Mode Register" SEVERITY WARNING; -- Record current tMRD time MRD_chk := 0; END IF; -- Active Block (latch Bank and Row Address) IF Active_enable = '1' THEN IF Ba = "00" AND Pc_b0 = '1' THEN Act_b0 := '1'; Pc_b0 := '0'; B0_row_addr := TO_BITVECTOR (Addr); RCD_chk0 := NOW; RAS_chk0 := NOW; -- Precharge to Active Bank 0 ASSERT (NOW - RP_chk0 >= tRP) REPORT "tRP violation during Activate Bank 0" SEVERITY WARNING; ELSIF Ba = "01" AND Pc_b1 = '1' THEN Act_b1 := '1'; Pc_b1 := '0'; B1_row_addr := TO_BITVECTOR (Addr); RCD_chk1 := NOW; RAS_chk1 := NOW; -- Precharge to Active Bank 1 ASSERT (NOW - RP_chk1 >= tRP) REPORT "tRP violation during Activate Bank 1" SEVERITY WARNING; ELSIF Ba = "10" AND Pc_b2 = '1' THEN Act_b2 := '1'; Pc_b2 := '0'; B2_row_addr := TO_BITVECTOR (Addr); RCD_chk2 := NOW; RAS_chk2 := NOW; -- Precharge to Active Bank 2 ASSERT (NOW - RP_chk2 >= tRP) REPORT "tRP violation during Activate Bank 2" SEVERITY WARNING; ELSIF Ba = "11" AND Pc_b3 = '1' THEN Act_b3 := '1'; Pc_b3 := '0'; B3_row_addr := TO_BITVECTOR (Addr); RCD_chk3 := NOW; RAS_chk3 := NOW; -- Precharge to Active Bank 3 ASSERT (NOW - RP_chk3 >= tRP) REPORT "tRP violation during Activate Bank 3" SEVERITY WARNING; ELSIF Ba = "00" AND Pc_b0 = '0' THEN ASSERT (FALSE) REPORT "Bank 0 is not Precharged" SEVERITY WARNING; ELSIF Ba = "01" AND Pc_b1 = '0' THEN ASSERT (FALSE) REPORT "Bank 1 is not Precharged" SEVERITY WARNING; ELSIF Ba = "10" AND Pc_b2 = '0' THEN ASSERT (FALSE) REPORT "Bank 2 is not Precharged" SEVERITY WARNING; ELSIF Ba = "11" AND Pc_b3 = '0' THEN ASSERT (FALSE) REPORT "Bank 3 is not Precharged" SEVERITY WARNING; END IF; -- Active Bank A to Active Bank B IF ((Previous_bank /= TO_BITVECTOR (Ba)) AND (NOW - RRD_chk < tRRD)) THEN ASSERT (FALSE) REPORT "tRRD violation during Activate" SEVERITY WARNING; END IF; -- LMR to ACT ASSERT (MRD_chk >= tMRD) REPORT "tMRD violation during Activate" SEVERITY WARNING; -- AutoRefresh to Activate ASSERT (NOW - RC_chk >= tRC) REPORT "tRC violation during Activate" SEVERITY WARNING; -- Record variable for checking violation RRD_chk := NOW; Previous_bank := TO_BITVECTOR (Ba); END IF; -- Precharge Block IF Prech_enable = '1' THEN IF Addr(10) = '1' THEN Pc_b0 := '1'; Pc_b1 := '1'; Pc_b2 := '1'; Pc_b3 := '1'; Act_b0 := '0'; Act_b1 := '0'; Act_b2 := '0'; Act_b3 := '0'; RP_chk0 := NOW; RP_chk1 := NOW; RP_chk2 := NOW; RP_chk3 := NOW; -- Activate to Precharge all banks ASSERT ((NOW - RAS_chk0 >= tRAS) OR (NOW - RAS_chk1 >= tRAS)) REPORT "tRAS violation during Precharge all banks" SEVERITY WARNING; -- tWR violation check for Write IF ((NOW - WR_chkp(0) < tWRp) OR (NOW - WR_chkp(1) < tWRp) OR (NOW - WR_chkp(2) < tWRp) OR (NOW - WR_chkp(3) < tWRp)) THEN ASSERT (FALSE) REPORT "tWR violation during Precharge ALL banks" SEVERITY WARNING; END IF; ELSIF Addr(10) = '0' THEN IF Ba = "00" THEN Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; -- Activate to Precharge bank 0 ASSERT (NOW - RAS_chk0 >= tRAS) REPORT "tRAS violation during Precharge bank 0" SEVERITY WARNING; ELSIF Ba = "01" THEN Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; -- Activate to Precharge bank 1 ASSERT (NOW - RAS_chk1 >= tRAS) REPORT "tRAS violation during Precharge bank 1" SEVERITY WARNING; ELSIF Ba = "10" THEN Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; -- Activate to Precharge bank 2 ASSERT (NOW - RAS_chk2 >= tRAS) REPORT "tRAS violation during Precharge bank 2" SEVERITY WARNING; ELSIF Ba = "11" THEN Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; -- Activate to Precharge bank 3 ASSERT (NOW - RAS_chk3 >= tRAS) REPORT "tRAS violation during Precharge bank 3" SEVERITY WARNING; END IF; -- tWR violation check for Write ASSERT (NOW - WR_chkp(TO_INTEGER(Ba)) >= tWRp) REPORT "tWR violation during Precharge" SEVERITY WARNING; END IF; -- Terminate a Write Immediately (if same bank or all banks) IF (Data_in_enable = '1' AND (Bank = TO_BITVECTOR(Ba) OR Addr(10) = '1')) THEN Data_in_enable := '0'; END IF; -- Precharge Command Pipeline for READ IF CAS_latency_3 = '1' THEN Command(2) := PRECH; Bank_precharge(2) := TO_BITVECTOR (Ba); A10_precharge(2) := TO_BIT(Addr(10)); ELSIF CAS_latency_2 = '1' THEN Command(1) := PRECH; Bank_precharge(1) := TO_BITVECTOR (Ba); A10_precharge(1) := TO_BIT(Addr(10)); END IF; END IF; -- Burst Terminate IF Burst_term = '1' THEN -- Terminate a Write immediately IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Terminate a Read depend on CAS Latency IF CAS_latency_3 = '1' THEN Command(2) := BST; ELSIF CAS_latency_2 = '1' THEN Command(1) := BST; END IF; END IF; -- Read, Write, Column Latch IF Read_enable = '1' OR Write_enable = '1' THEN -- Check to see if bank is open (ACT) for Read or Write IF ((Ba="00" AND Pc_b0='1') OR (Ba="01" AND Pc_b1='1') OR (Ba="10" AND Pc_b2='1') OR (Ba="11" AND Pc_b3='1')) THEN ASSERT (FALSE) REPORT "Cannot Read or Write - Bank is not Activated" SEVERITY WARNING; END IF; -- Activate to Read or Write IF Ba = "00" THEN ASSERT (NOW - RCD_chk0 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 0" SEVERITY WARNING; ELSIF Ba = "01" THEN ASSERT (NOW - RCD_chk1 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 1" SEVERITY WARNING; ELSIF Ba = "10" THEN ASSERT (NOW - RCD_chk2 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 2" SEVERITY WARNING; ELSIF Ba = "11" THEN ASSERT (NOW - RCD_chk3 >= tRCD) REPORT "tRCD violation during Read or Write to Bank 3" SEVERITY WARNING; END IF; -- Read Command IF Read_enable = '1' THEN -- CAS Latency Pipeline IF Cas_latency_3 = '1' THEN IF Addr(10) = '1' THEN Command(2) := READ_A; ELSE Command(2) := READ; END IF; Col_addr (2) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (2) := TO_BITVECTOR (Ba); ELSIF Cas_latency_2 = '1' THEN IF Addr(10) = '1' THEN Command(1) := READ_A; ELSE Command(1) := READ; END IF; Col_addr (1) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (1) := TO_BITVECTOR (Ba); END IF; -- Read intterupt a Write (terminate Write immediately) IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Write Command ELSIF Write_enable = '1' THEN IF Addr(10) = '1' THEN Command(0) := WRITE_A; ELSE Command(0) := WRITE; END IF; Col_addr (0) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); Bank_addr (0) := TO_BITVECTOR (Ba); -- Write intterupt a Write (terminate Write immediately) IF Data_in_enable = '1' THEN Data_in_enable := '0'; END IF; -- Write interrupt a Read (terminate Read immediately) IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; -- Interrupt a Write with Auto Precharge IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Write_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN RW_interrupt_write(TO_INTEGER(RW_Interrupt_Bank)) := '1'; END IF; -- Interrupt a Read with Auto Precharge IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Read_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN RW_interrupt_read(TO_INTEGER(RW_Interrupt_Bank)) := '1'; END IF; -- Read or Write with Auto Precharge IF Addr(10) = '1' THEN Auto_precharge (TO_INTEGER(Ba)) := '1'; Count_precharge (TO_INTEGER(Ba)) := 0; RW_Interrupt_Bank := TO_BitVector(Ba); IF Read_enable = '1' THEN Read_precharge (TO_INTEGER(Ba)) := '1'; ELSIF Write_enable = '1' THEN Write_precharge (TO_INTEGER(Ba)) := '1'; END IF; END IF; END IF; -- Read with AutoPrecharge Calculation -- The device start internal precharge when: -- 1. BL/2 cycles after command -- and 2. Meet tRAS requirement -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) IF ((Auto_precharge(0) = '1') AND (Read_precharge(0) = '1')) THEN IF (((NOW - RAS_chk0 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(0) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(0) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(0) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(0) >= 8))) OR (RW_interrupt_read(0) = '1')) THEN Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; Auto_precharge(0) := '0'; Read_precharge(0) := '0'; RW_interrupt_read(0) := '0'; END IF; END IF; IF ((Auto_precharge(1) = '1') AND (Read_precharge(1) = '1')) THEN IF (((NOW - RAS_chk1 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(1) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(1) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(1) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(1) >= 8))) OR (RW_interrupt_read(1) = '1')) THEN Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; Auto_precharge(1) := '0'; Read_precharge(1) := '0'; RW_interrupt_read(1) := '0'; END IF; END IF; IF ((Auto_precharge(2) = '1') AND (Read_precharge(2) = '1')) THEN IF (((NOW - RAS_chk2 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(2) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(2) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(2) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(2) >= 8))) OR (RW_interrupt_read(2) = '1')) THEN Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; Auto_precharge(2) := '0'; Read_precharge(2) := '0'; RW_interrupt_read(2) := '0'; END IF; END IF; IF ((Auto_precharge(3) = '1') AND (Read_precharge(3) = '1')) THEN IF (((NOW - RAS_chk3 >= tRAS) AND ((Burst_length_1 = '1' AND Count_precharge(3) >= 1) OR (Burst_length_2 = '1' AND Count_precharge(3) >= 2) OR (Burst_length_4 = '1' AND Count_precharge(3) >= 4) OR (Burst_length_8 = '1' AND Count_precharge(3) >= 8))) OR (RW_interrupt_read(3) = '1')) THEN Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; Auto_precharge(3) := '0'; Read_precharge(3) := '0'; RW_interrupt_read(3) := '0'; END IF; END IF; -- Internal Precharge or Bst IF Command(0) = PRECH THEN -- PRECH terminate a read if same bank or all banks IF Bank_precharge(0) = Bank OR A10_precharge(0) = '1' THEN IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; ELSIF Command(0) = BST THEN -- BST terminate a read regardless of bank IF Data_out_enable = '1' THEN Data_out_enable := '0'; END IF; END IF; IF Data_out_enable = '0' THEN Dq <= TRANSPORT (OTHERS => 'Z') AFTER tOH; END IF; -- Detect Read or Write Command IF Command(0) = READ OR Command(0) = READ_A THEN Bank := Bank_addr (0); Col := Col_addr (0); Col_brst := Col_addr (0); IF Bank_addr (0) = "00" THEN Row := B0_row_addr; ELSIF Bank_addr (0) = "01" THEN Row := B1_row_addr; ELSIF Bank_addr (0) = "10" THEN Row := B2_row_addr; ELSE Row := B3_row_addr; END IF; Burst_counter := 0; Data_in_enable := '0'; Data_out_enable := '1'; ELSIF Command(0) = WRITE OR Command(0) = WRITE_A THEN Bank := Bank_addr(0); Col := Col_addr(0); Col_brst := Col_addr(0); IF Bank_addr (0) = "00" THEN Row := B0_row_addr; ELSIF Bank_addr (0) = "01" THEN Row := B1_row_addr; ELSIF Bank_addr (0) = "10" THEN Row := B2_row_addr; ELSE Row := B3_row_addr; END IF; Burst_counter := 0; Data_in_enable := '1'; Data_out_enable := '0'; END IF; -- DQ (Driver / Receiver) Row_index := TO_INTEGER (Row); Col_index := TO_INTEGER (Col); IF Data_in_enable = '1' THEN IF Dqm /= "11" THEN Init_mem (Bank, Row_index); IF Bank = "00" THEN Dq_temp := Bank0 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank0 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "01" THEN Dq_temp := Bank1 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank1 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "10" THEN Dq_temp := Bank2 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank2 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); ELSIF Bank = "11" THEN Dq_temp := Bank3 (Row_index) (Col_index); IF Dqm = "01" THEN Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); ELSIF Dqm = "10" THEN Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); ELSE Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); END IF; Bank3 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); END IF; WR_chkp(TO_INTEGER(Bank)) := NOW; WR_counter(TO_INTEGER(Bank)) := 0; END IF; Burst_decode; ELSIF Data_out_enable = '1' THEN IF Dqm_reg0 /= "11" THEN Init_mem (Bank, Row_index); IF Bank = "00" THEN Dq_temp := Bank0 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "01" THEN Dq_temp := Bank1 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "10" THEN Dq_temp := Bank2 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; ELSIF Bank = "11" THEN Dq_temp := Bank3 (Row_index) (Col_index); IF Dqm_reg0 = "00" THEN Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; ELSIF Dqm_reg0 = "01" THEN Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; ELSIF Dqm_reg0 = "10" THEN Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; END IF; END IF; ELSE Dq <= TRANSPORT (OTHERS => 'Z') AFTER tHZ; END IF; Burst_decode; END IF; ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '1' AND Dump = '0' THEN --' Operation <= LOAD_FILE; load := '0'; -- ASSERT (FALSE) REPORT "Reading memory array from file. This operation may take several minutes. Please wait..." -- SEVERITY NOTE; WHILE NOT endfile(file_load) LOOP readline(file_load, l); read(l, ch); if (ch /= 'S') or (ch /= 's') then hread(l, rectype); hread(l, reclen); recaddr := (others => '0'); case rectype is when "0001" => hread(l, recaddr(15 downto 0)); when "0010" => hread(l, recaddr(23 downto 0)); when "0011" => hread(l, recaddr); recaddr(31 downto 24) := (others => '0'); when others => next; end case; hread(l, recdata); if index < 32 then Bank_Load := recaddr(25 downto 24); Rows_Load := recaddr(23 downto 11); Cols_Load := recaddr(10 downto 2); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 3 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 3 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 3 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 3 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); end loop; END IF; elsif(index < 1024) then Bank_Load := recaddr(26 downto 25); Rows_Load := recaddr(24 downto 12); Cols_Load := recaddr(11 downto 3); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 1 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 1 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 1 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 1 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15)); end loop; END IF; else Bank_Load := recaddr(22 downto 21); Rows_Load := '0' & recaddr(20 downto 9); Cols_Load := '0' & recaddr(8 downto 1); Init_Mem (Bank_Load, To_Integer(Rows_Load)); IF Bank_Load = "00" THEN for i in 0 to 7 loop Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "01" THEN for i in 0 to 7 loop Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "10" THEN for i in 0 to 7 loop Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; ELSIF Bank_Load = "11" THEN for i in 0 to 7 loop Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15)); end loop; END IF; END IF; END IF; END LOOP; ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '1' THEN --' Operation <= DUMP_FILE; ASSERT (FALSE) REPORT "Writing memory array to file. This operation may take several minutes. Please wait..." SEVERITY NOTE; WRITE (l, string'("# Micron Technology, Inc. (FILE DUMP / MEMORY DUMP)")); --' WRITELINE (file_dump, l); WRITE (l, string'("# BA ROWS COLS DQ")); --' WRITELINE (file_dump, l); WRITE (l, string'("# -- ------------- --------- ----------------")); --' WRITELINE (file_dump, l); -- Dumping Bank 0 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank0 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank0 (i) (j) (data_bits) = '0'; WRITE (l, string'("00"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank0 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 1 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank1 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank1 (i) (j) (data_bits) = '0'; WRITE (l, string'("01"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank1 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 2 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank2 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank2 (i) (j) (data_bits) = '0'; WRITE (l, string'("10"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank2 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; -- Dumping Bank 3 FOR i IN 0 TO 2**addr_bits -1 LOOP -- Check if ROW is NULL IF Bank3 (i) /= NULL THEN For j IN 0 TO 2**col_bits - 1 LOOP -- Check if COL is NULL NEXT WHEN Bank3 (i) (j) (data_bits) = '0'; WRITE (l, string'("11"), right, 4); --' WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); WRITE (l, Bank3 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); WRITELINE (file_dump, l); END LOOP; END IF; END LOOP; END IF; -- Write with AutoPrecharge Calculation -- The device start internal precharge when: -- 1. tWR cycles after command -- and 2. Meet tRAS requirement -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) IF ((Auto_precharge(0) = '1') AND (Write_precharge(0) = '1')) THEN IF (((NOW - RAS_chk0 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(0) >= 1 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(0) >= 2 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(0) >= 4 AND NOW - Count_time(0) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(0) >= 8 AND NOW - Count_time(0) >= tWRa))) OR (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(0) >= tWRa)) THEN Auto_precharge(0) := '0'; Write_precharge(0) := '0'; RW_interrupt_write(0) := '0'; Pc_b0 := '1'; Act_b0 := '0'; RP_chk0 := NOW; ASSERT FALSE REPORT "Start Internal Precharge Bank 0" SEVERITY NOTE; END IF; END IF; IF ((Auto_precharge(1) = '1') AND (Write_precharge(1) = '1')) THEN IF (((NOW - RAS_chk1 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(1) >= 1 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(1) >= 2 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(1) >= 4 AND NOW - Count_time(1) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(1) >= 8 AND NOW - Count_time(1) >= tWRa))) OR (RW_interrupt_write(1) = '1' AND WR_counter(1) >= 1 AND NOW - WR_time(1) >= tWRa)) THEN Auto_precharge(1) := '0'; Write_precharge(1) := '0'; RW_interrupt_write(1) := '0'; Pc_b1 := '1'; Act_b1 := '0'; RP_chk1 := NOW; END IF; END IF; IF ((Auto_precharge(2) = '1') AND (Write_precharge(2) = '1')) THEN IF (((NOW - RAS_chk2 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(2) >= 1 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(2) >= 2 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(2) >= 4 AND NOW - Count_time(2) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(2) >= 8 AND NOW - Count_time(2) >= tWRa))) OR (RW_interrupt_write(2) = '1' AND WR_counter(2) >= 1 AND NOW - WR_time(2) >= tWRa)) THEN Auto_precharge(2) := '0'; Write_precharge(2) := '0'; RW_interrupt_write(2) := '0'; Pc_b2 := '1'; Act_b2 := '0'; RP_chk2 := NOW; END IF; END IF; IF ((Auto_precharge(3) = '1') AND (Write_precharge(3) = '1')) THEN IF (((NOW - RAS_chk3 >= tRAS) AND (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(3) >= 1 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_2 = '1' AND Count_precharge(3) >= 2 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_4 = '1' AND Count_precharge(3) >= 4 AND NOW - Count_time(3) >= tWRa) OR (Burst_length_8 = '1' AND Count_precharge(3) >= 8 AND NOW - Count_time(3) >= tWRa))) OR (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(3) >= tWRa)) THEN Auto_precharge(3) := '0'; Write_precharge(3) := '0'; RW_interrupt_write(3) := '0'; Pc_b3 := '1'; Act_b3 := '0'; RP_chk3 := NOW; END IF; END IF; -- Checking internal wires (Optional for debug purpose) Pre_chk (0) <= Pc_b0; Pre_chk (1) <= Pc_b1; Pre_chk (2) <= Pc_b2; Pre_chk (3) <= Pc_b3; Act_chk (0) <= Act_b0; Act_chk (1) <= Act_b1; Act_chk (2) <= Act_b2; Act_chk (3) <= Act_b3; Dq_in_chk <= Data_in_enable; Dq_out_chk <= Data_out_enable; Bank_chk <= Bank; Row_chk <= Row; Col_chk <= Col; END PROCESS; -- Clock timing checks -- Clock_check : PROCESS -- VARIABLE Clk_low, Clk_high : TIME := 0 ns; -- BEGIN -- WAIT ON Clk; -- IF (Clk = '1' AND NOW >= 10 ns) THEN -- ASSERT (NOW - Clk_low >= tCL) -- REPORT "tCL violation" -- SEVERITY WARNING; -- ASSERT (NOW - Clk_high >= tCK) -- REPORT "tCK violation" -- SEVERITY WARNING; -- Clk_high := NOW; -- ELSIF (Clk = '0' AND NOW /= 0 ns) THEN -- ASSERT (NOW - Clk_high >= tCH) -- REPORT "tCH violation" -- SEVERITY WARNING; -- Clk_low := NOW; -- END IF; -- END PROCESS; -- Setup timing checks Setup_check : PROCESS BEGIN wait; WAIT ON Clk; IF Clk = '1' THEN ASSERT(Cke'LAST_EVENT >= tCKS) --' REPORT "CKE Setup time violation -- tCKS" SEVERITY WARNING; ASSERT(Cs_n'LAST_EVENT >= tCMS) --' REPORT "CS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Cas_n'LAST_EVENT >= tCMS) --' REPORT "CAS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Ras_n'LAST_EVENT >= tCMS) --' REPORT "RAS# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(We_n'LAST_EVENT >= tCMS) --' REPORT "WE# Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Dqm'LAST_EVENT >= tCMS) --' REPORT "Dqm Setup time violation -- tCMS" SEVERITY WARNING; ASSERT(Addr'LAST_EVENT >= tAS) --' REPORT "ADDR Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Ba'LAST_EVENT >= tAS) --' REPORT "BA Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Dq'LAST_EVENT >= tDS) --' REPORT "Dq Setup time violation -- tDS" SEVERITY WARNING; END IF; END PROCESS; -- Hold timing checks Hold_check : PROCESS BEGIN wait; WAIT ON Clk'DELAYED (tCKH), Clk'DELAYED (tCMH), Clk'DELAYED (tAH), Clk'DELAYED (tDH); IF Clk'DELAYED (tCKH) = '1' THEN --' ASSERT(Cke'LAST_EVENT > tCKH) --' REPORT "CKE Hold time violation -- tCKH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tCMH) = '1' THEN --' ASSERT(Cs_n'LAST_EVENT > tCMH) --' REPORT "CS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Cas_n'LAST_EVENT > tCMH) --' REPORT "CAS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Ras_n'LAST_EVENT > tCMH) --' REPORT "RAS# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(We_n'LAST_EVENT > tCMH) --' REPORT "WE# Hold time violation -- tCMH" SEVERITY WARNING; ASSERT(Dqm'LAST_EVENT > tCMH) --' REPORT "Dqm Hold time violation -- tCMH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tAH) = '1' THEN --' ASSERT(Addr'LAST_EVENT > tAH) --' REPORT "ADDR Hold time violation -- tAH" SEVERITY WARNING; ASSERT(Ba'LAST_EVENT > tAH) --' REPORT "BA Hold time violation -- tAH" SEVERITY WARNING; END IF; IF Clk'DELAYED (tDH) = '1' THEN --' ASSERT(Dq'LAST_EVENT > tDH) --' REPORT "Dq Hold time violation -- tDH" SEVERITY WARNING; END IF; END PROCESS; END behave; -- pragma translate_on
gpl-2.0
3f9f118fe249beb33361de9e36e3b800
0.431335
4.076977
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-nuhorizons-3s1500/testbench.vhd
1
13,672
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.config.all; -- configuration use work.debug.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 8+8*CFG_MCTRL_RAM16BIT; -- rom data width (8/16) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents component leon3mp generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( pb_sw : in std_logic_vector (4 downto 1); -- push buttons pll_clk : in std_ulogic; -- PLL clock led : out std_logic_vector(8 downto 1); flash_a : out std_logic_vector(20 downto 0); flash_d : inout std_logic_vector(15 downto 0); sdram_a : out std_logic_vector(11 downto 0); sdram_d : inout std_logic_vector(31 downto 0); sdram_ba : out std_logic_vector(3 downto 0); sdram_dqm : out std_logic_vector(3 downto 0); sdram_clk : inout std_ulogic; sdram_cke : out std_ulogic; -- sdram clock enable sdram_csn : out std_ulogic; -- sdram chip select sdram_wen : out std_ulogic; -- sdram write enable sdram_rasn : out std_ulogic; -- sdram ras sdram_casn : out std_ulogic; -- sdram cas uart1_txd : out std_ulogic; uart1_rxd : in std_ulogic; uart1_rts : out std_ulogic; uart1_cts : in std_ulogic; uart2_txd : out std_ulogic; uart2_rxd : in std_ulogic; uart2_rts : out std_ulogic; uart2_cts : in std_ulogic; flash_oen : out std_ulogic; flash_wen : out std_ulogic; flash_cen : out std_ulogic; flash_byte : out std_ulogic; flash_ready : in std_ulogic; flash_rpn : out std_ulogic; flash_wpn : out std_ulogic; phy_mii_data: inout std_logic; -- ethernet PHY interface phy_tx_clk : in std_ulogic; phy_rx_clk : in std_ulogic; phy_rx_data : in std_logic_vector(3 downto 0); phy_dv : in std_ulogic; phy_rx_er : in std_ulogic; phy_col : in std_ulogic; phy_crs : in std_ulogic; phy_tx_data : out std_logic_vector(3 downto 0); phy_tx_en : out std_ulogic; phy_mii_clk : out std_ulogic; phy_100 : in std_ulogic; -- 100 Mbit indicator phy_rst_n : out std_ulogic; gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- lcd_data : inout std_logic_vector(7 downto 0); -- lcd_rs : out std_ulogic; -- lcd_rw : out std_ulogic; -- lcd_en : out std_ulogic; -- lcd_backl : out std_ulogic; can_txd : out std_ulogic; can_rxd : in std_ulogic; smsc_addr : out std_logic_vector(14 downto 0); smsc_data : inout std_logic_vector(31 downto 0); smsc_nbe : out std_logic_vector(3 downto 0); smsc_resetn : out std_ulogic; smsc_ardy : in std_ulogic; -- smsc_intr : in std_ulogic; smsc_nldev : in std_ulogic; smsc_nrd : out std_ulogic; smsc_nwr : out std_ulogic; smsc_ncs : out std_ulogic; smsc_aen : out std_ulogic; smsc_lclk : out std_ulogic; smsc_wnr : out std_ulogic; smsc_rdyrtn : out std_ulogic; smsc_cycle : out std_ulogic; smsc_nads : out std_ulogic ); end component; signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(21 downto 0); signal flash_d : std_logic_vector(15 downto 0); signal romsn : std_ulogic; signal oen : std_ulogic; signal writen : std_ulogic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic; signal dsurst : std_ulogic; signal test : std_ulogic; signal error : std_logic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal clk2 : std_ulogic := '1'; signal sdcke : std_ulogic; -- clk en signal sdcsn : std_ulogic; -- chip sel signal sdwen : std_ulogic; -- write en signal sdrasn : std_ulogic; -- row addr stb signal sdcasn : std_ulogic; -- col addr stb signal sddqm : std_logic_vector ( 3 downto 0); -- data i/o mask signal sdclk : std_ulogic; signal txd1, rxd1 : std_ulogic; signal txd2, rxd2 : std_ulogic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic:='0'; signal erxd, etxd: std_logic_vector(3 downto 0):=(others=>'0'); signal erxdt, etxdt: std_logic_vector(7 downto 0):=(others=>'0'); signal emdc, emdio: std_logic; signal gtx_clk : std_ulogic; signal ereset : std_logic; signal led : std_logic_vector(8 downto 1); constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal ba : std_logic_vector(3 downto 0); signal sd : std_logic_vector(31 downto 0); signal pb_sw : std_logic_vector(4 downto 1); signal lcd_data : std_logic_vector(7 downto 0); signal lcd_rs : std_ulogic; signal lcd_rw : std_ulogic; signal lcd_en : std_ulogic; signal lcd_backl: std_ulogic; signal can_txd : std_ulogic; signal can_rxd : std_ulogic; signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal smsc_addr : std_logic_vector(21 downto 0); signal smsc_data : std_logic_vector(31 downto 0); signal smsc_nbe : std_logic_vector(3 downto 0); signal smsc_resetn : std_ulogic; signal smsc_ardy : std_ulogic; signal smsc_intr : std_ulogic; signal smsc_nldev : std_ulogic; signal smsc_nrd : std_ulogic; signal smsc_nwr : std_ulogic; signal smsc_ncs : std_ulogic; signal smsc_aen : std_ulogic; signal smsc_lclk : std_ulogic; signal smsc_wnr : std_ulogic; signal smsc_rdyrtn : std_ulogic; signal smsc_cycle : std_ulogic; signal smsc_nads : std_ulogic; begin -- clock and reset clk <= not clk after ct * 1 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= '1'; can_rxd <= '1'; error <= led(8); sa(14 downto 12) <= "000"; pb_sw <= rst & "00" & dsubre; cpu : leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (pb_sw, clk, led, address(21 downto 1), flash_d, sa(11 downto 0), sd, ba, sddqm, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, txd1, rxd1, open, gnd, dsutx, dsurx, open, gnd, oen, writen, romsn, open, vcc, open, open, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, etxd, etx_en, emdc, gnd, ereset, gpio, -- lcd_data, lcd_rs, lcd_rw, lcd_en, lcd_backl, can_txd, can_rxd, smsc_addr(14 downto 0), smsc_data, smsc_nbe, smsc_resetn, smsc_ardy,-- smsc_intr, smsc_nldev, smsc_nrd, smsc_nwr, smsc_ncs, smsc_aen, smsc_lclk, smsc_wnr, smsc_rdyrtn, smsc_cycle, smsc_nads); u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => ba(1 downto 0), Clk => sdclk, Cke => sdcke, Cs_n => sdcsn, Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => ba(3 downto 2), Clk => sdclk, Cke => sdcke, Cs_n => sdcsn, Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); rom8 : if romwidth /= 16 generate prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile) port map (address(romdepth downto 1), flash_d(15 downto 0), gnd, gnd, romsn, writen, oen); address(0) <= flash_d(15); end generate; rom16 : if romwidth = 16 generate prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile) port map (address(romdepth downto 1), flash_d(15 downto 0), gnd, gnd, romsn, writen, oen); address(0) <= '0'; end generate; emdio <= 'H'; erxd <= erxdt(3 downto 0); etxdt <= "0000" & etxd; p0: phy generic map(base1000_t_fd => 0, base1000_t_hd => 0) port map(rst, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, gtx_clk); error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 2000 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; flash_d <= buskeep(flash_d) after 5 ns; sd <= buskeep(sd) after 5 ns; smsc_data <= buskeep(smsc_data) after 5 ns; smsc_addr(21 downto 15) <= (others => '0'); test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), smsc_data, smsc_ncs, oen, writen, open); dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#aa#, txp); txa(dsutx, 16#00#, 16#55#, 16#00#, 16#55#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#a0#, txp); txa(dsutx, 16#01#, 16#02#, 16#09#, 16#33#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#80#, 16#00#, 16#02#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end ;
gpl-2.0
20bc08db4b5c1187744f40abae396808
0.592232
3.046346
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/can/can_oc.vhd
1
5,729
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: can_oc -- File: can_oc.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: AHB interface for the OpenCores CAN MAC ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.can.all; entity can_oc is generic ( slvndx : integer := 0; ioaddr : integer := 16#000#; iomask : integer := 16#FF0#; irq : integer := 0; memtech : integer := DEFMEMTECH; syncrst : integer := 0; ft : integer := 0); port ( resetn : in std_logic; clk : in std_logic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; can_rxi : in std_logic; can_txo : out std_logic ); end; architecture rtl of can_oc is constant ncores : integer := 1; constant sepirq : integer := 0; constant REVISION : amba_version_type := ncores-1; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_CANAHB, 0, REVISION, irq), 4 => ahb_iobar(ioaddr, iomask), others => zero32); type ahbregs is record hsel : std_ulogic; hwrite : std_ulogic; hwrite2 : std_ulogic; htrans : std_logic_vector(1 downto 0); haddr : std_logic_vector(10 downto 0); hwdata : std_logic_vector(7 downto 0); herr : std_ulogic; hready : std_ulogic; ws : std_logic_vector(1 downto 0); irqi : std_logic_vector(ncores-1 downto 0); irqo : std_logic_vector(ncores-1 downto 0); end record; subtype cdata is std_logic_vector(7 downto 0); type cdataarr is array (0 to 7) of cdata; signal data_out : cdataarr; signal reset : std_logic; signal irqo : std_logic_vector(ncores-1 downto 0); signal vcc, gnd : std_ulogic; signal r, rin : ahbregs; attribute sync_set_reset : string; attribute sync_set_reset of reset : signal is "true"; begin gnd <= '0'; vcc <= '1'; reset <= not resetn; comb : process(ahbsi, r, resetn, data_out, irqo) variable v : ahbregs; variable hresp : std_logic_vector(1 downto 0); variable dataout : std_logic_vector(7 downto 0); variable irqvec : std_logic_vector(NAHBIRQ-1 downto 0); variable hwdata : std_logic_vector(31 downto 0); begin v := r; hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)); if (r.hsel = '1' ) and (r.ws /= "11") then v.ws := r.ws + 1; end if; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(slvndx); v.haddr := ahbsi.haddr(10 downto 0); v.htrans := ahbsi.htrans; v.hwrite := ahbsi.hwrite; v.herr := orv(ahbsi.hsize) and ahbsi.hwrite; v.ws := "00"; end if; v.hready := (r.hsel and r.ws(1) and not r.ws(0)) or not resetn or (ahbsi.hready and not ahbsi.htrans(1)) or not v.hsel; v.hwrite2 := r.hwrite and r.hsel and r.htrans(1) and r.ws(1) and not r.ws(0) and not r.herr; if (r.herr and r.ws(1)) = '1' then hresp := HRESP_ERROR; else hresp := HRESP_OKAY; end if; case r.haddr(1 downto 0) is when "00" => v.hwdata := hwdata(31 downto 24); when "01" => v.hwdata := hwdata(23 downto 16); when "10" => v.hwdata := hwdata(15 downto 8); when others => v.hwdata := hwdata(7 downto 0); end case; dataout := data_out(0); -- Interrupt goes to low when appeard and is normal high -- but the irq controller from leon is active high and the interrupt should appear only -- for 1 Clk cycle, v.irqi := irqo; v.irqo:= (r.irqi and not irqo); irqvec := (others => '0'); if sepirq = 1 then irqvec(ncores-1+irq downto irq) := r.irqo; else irqvec(irq) := orv(r.irqo); end if; ahbso.hirq <= irqvec; ahbso.hrdata <= ahbdrivedata(dataout); ahbso.hresp <= hresp; rin <= v; end process; reg : process(clk) begin if clk'event and clk = '1' then r <= rin; end if; end process; cmod : can_mod generic map (memtech, syncrst, ft) port map (reset, clk, r.hsel, r.hwrite2, r.haddr(7 downto 0), r.hwdata, data_out(0), irqo(0), can_rxi, can_txo, ahbsi.testen); ahbso.hconfig <= hconfig; ahbso.hindex <= slvndx; ahbso.hsplit <= (others => '0'); ahbso.hready <= r.hready; -- pragma translate_off bootmsg : report_version generic map ( "can_oc" & tost(slvndx) & ": SJA1000 Compatible CAN MAC, revision " & tost(REVISION) & ", irq " & tost(irq)); -- pragma translate_on end;
gpl-2.0
0d4840020642592e5d17f305f224656d
0.595741
3.540791
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/gr1553b/gr1553b_pkg.vhd
1
15,925
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: gr1553b_pkg -- File: gr1553b_pkg.vhd -- Author: Magnus Hjorth - Aeroflex Gaisler -- Description: Package for GR1553B top-level component and user-visible types ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.ahb_mst_in_type; use grlib.amba.ahb_mst_out_type; use grlib.amba.apb_slv_in_type; use grlib.amba.apb_slv_out_type; library techmap; use techmap.gencomp.all; package gr1553b_pkg is constant gr1553b_version: integer := 0; constant gr1553b_cfgver: integer := 0; ----------------------------------------------------------------------------- -- Types and top level component type gr1553b_txout_type is record busA_txP: std_logic; busA_txN: std_logic; busA_txen: std_logic; busA_rxen: std_logic; busB_txP: std_logic; busB_txN: std_logic; busB_txen: std_logic; busB_rxen: std_logic; -- For convenience, inverted versions of txen busA_txin: std_logic; busB_txin: std_logic; end record; type gr1553b_rxin_type is record busA_rxP: std_logic; busA_rxN: std_logic; busB_rxP: std_logic; busB_rxN: std_logic; end record; type gr1553b_auxin_type is record extsync: std_logic; rtaddr: std_logic_vector(4 downto 0); rtpar: std_logic; end record; type gr1553b_auxout_type is record rtsync: std_logic; busreset: std_logic; validcmdA: std_logic; validcmdB: std_logic; timedoutA: std_logic; timedoutB: std_logic; badreg: std_logic; irqvec: std_logic_vector(7 downto 0); end record; constant gr1553b_rxin_zero: gr1553b_rxin_type := (busA_rxP=>'0', busA_rxN=>'0', busB_rxP=>'0', busB_rxN=>'0'); constant gr1553b_txout_zero: gr1553b_txout_type := ('0','0','0','0','0','0','0','0','1','1'); constant gr1553b_auxin_zero: gr1553b_auxin_type := (extsync => '0', rtaddr => "11111", rtpar => '1'); constant gr1553b_auxout_zero: gr1553b_auxout_type := ('0','0','0','0','0','0','0',x"00"); constant gr1553b_rxin_none: gr1553b_rxin_type := gr1553b_rxin_zero; constant gr1553b_txout_none: gr1553b_txout_type := gr1553b_txout_zero; constant gr1553b_auxin_none: gr1553b_auxin_type := gr1553b_auxin_zero; constant gr1553b_auxout_none: gr1553b_auxout_type := gr1553b_auxout_zero; component gr1553b is generic( hindex: integer := 0; pindex : integer := 0; paddr: integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; bc_enable: integer range 0 to 1 := 1; rt_enable: integer range 0 to 1 := 1; bm_enable: integer range 0 to 1 := 1; bc_timer: integer range 0 to 2 := 1; bc_rtbusmask: integer range 0 to 1 := 1; extra_regkeys: integer range 0 to 1 := 0; syncrst: integer range 0 to 2 := 1; ahbendian: integer range 0 to 1 := 0; bm_filters: integer range 0 to 1 := 1; codecfreq: integer := 20; sameclk: integer range 0 to 1 := 0; codecver: integer range 0 to 2 := 0 ); port( clk: in std_logic; rst: in std_logic; ahbmi: in ahb_mst_in_type; ahbmo: out ahb_mst_out_type; apbsi: in apb_slv_in_type; apbso: out apb_slv_out_type; auxin: in gr1553b_auxin_type; auxout: out gr1553b_auxout_type; codec_clk: in std_logic; codec_rst: in std_logic; txout: out gr1553b_txout_type; txout_fb: in gr1553b_txout_type; rxin: in gr1553b_rxin_type ); end component; ----------------------------------------------------------------------------- -- Pads convenience component component gr1553b_pads is generic ( padtech: integer; outen_pol: integer range 0 to 1; level: integer := ttl; slew: integer := 0; voltage: integer := x33v; strength: integer := 12; filter: integer := 0 ); port ( txout: in gr1553b_txout_type; rxin: out gr1553b_rxin_type; busainen : out std_logic; busainp : in std_logic; busainn : in std_logic; busaoutenin : out std_logic; busaoutp : out std_logic; busaoutn : out std_logic; busbinen : out std_logic; busbinp : in std_logic; busbinn : in std_logic; busboutenin : out std_logic; busboutp : out std_logic; busboutn : out std_logic ); end component; ----------------------------------------------------------------------------- -- Wrappers for netlists etc. component gr1553b_stdlogic is generic ( bc_enable: integer range 0 to 1 := 1; rt_enable: integer range 0 to 1 := 1; bm_enable: integer range 0 to 1 := 1; bc_timer: integer range 0 to 2 := 1; bc_rtbusmask: integer range 0 to 1 := 1; extra_regkeys: integer range 0 to 1 := 0; syncrst: integer range 0 to 2 := 1; ahbendian: integer range 0 to 1 := 0 ); port ( clk: in std_logic; rst: in std_logic; codec_clk: in std_logic; codec_rst: in std_logic; -- AHB interface mi_hgrant : in std_logic; -- bus grant mi_hready : in std_ulogic; -- transfer done mi_hresp : in std_logic_vector(1 downto 0); -- response type mi_hrdata : in std_logic_vector(31 downto 0); -- read data bus mo_hbusreq: out std_ulogic; -- bus request mo_htrans : out std_logic_vector(1 downto 0); -- transfer type mo_haddr : out std_logic_vector(31 downto 0); -- address bus (byte) mo_hwrite : out std_ulogic; -- read/write mo_hsize : out std_logic_vector(2 downto 0); -- transfer size mo_hburst : out std_logic_vector(2 downto 0); -- burst type mo_hwdata : out std_logic_vector(31 downto 0); -- write data bus -- APB interface si_psel : in std_logic; -- slave select si_penable: in std_ulogic; -- strobe si_paddr : in std_logic_vector(7 downto 0); -- address bus (byte addr) si_pwrite : in std_ulogic; -- write si_pwdata : in std_logic_vector(31 downto 0); -- write data bus so_prdata : out std_logic_vector(31 downto 0); -- read data bus so_pirq : out std_logic; -- interrupt bus -- Aux signals bcsync : in std_logic; rtsync : out std_logic; busreset : out std_logic; rtaddr : in std_logic_vector(4 downto 0); rtaddrp : in std_logic; -- 1553 transceiver interface busainen : out std_logic; busainp : in std_logic; busainn : in std_logic; busaouten : out std_logic; busaoutp : out std_logic; busaoutn : out std_logic; busbinen : out std_logic; busbinp : in std_logic; busbinn : in std_logic; busbouten : out std_logic; busboutp : out std_logic; busboutn : out std_logic ); end component; component gr1553b_nlw is generic( tech: integer := 0; hindex: integer := 0; pindex : integer := 0; paddr: integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; bc_enable: integer range 0 to 1 := 1; rt_enable: integer range 0 to 1 := 1; bm_enable: integer range 0 to 1 := 1; bc_timer: integer range 0 to 2 := 1; bc_rtbusmask: integer range 0 to 1 := 1; extra_regkeys: integer range 0 to 1 := 0; syncrst: integer range 0 to 2 := 1 ); port( clk: in std_logic; rst: in std_logic; ahbmi: in ahb_mst_in_type; ahbmo: out ahb_mst_out_type; apbsi: in apb_slv_in_type; apbso: out apb_slv_out_type; auxin: in gr1553b_auxin_type; auxout: out gr1553b_auxout_type; codec_clk: in std_logic; codec_rst: in std_logic; txout: out gr1553b_txout_type; txout_fb: in gr1553b_txout_type; rxin: in gr1553b_rxin_type ); end component; ----------------------------------------------------------------------------- -- APB Register definitions constant REG_IRQSTATUS: std_logic_vector := x"00"; constant REG_IRQENABLE: std_logic_vector := x"04"; constant REG_BCSTATUS: std_logic_vector := x"40"; constant REG_BCACTION: std_logic_vector := x"44"; constant REG_BCSCHEMADDR: std_logic_vector := x"48"; constant REG_BCASYNCADDR: std_logic_vector := x"4C"; constant REG_BCTIME: std_logic_vector := x"50"; constant REG_BCWAKEUP: std_logic_vector := x"54"; constant REG_BCIRQSRC: std_logic_vector := x"58"; constant REG_BCRTBUSMASK: std_logic_vector := x"5C"; constant REG_BCSCHEMSLOT: std_logic_vector := x"68"; constant REG_BCASYNCSLOT: std_logic_vector := x"6C"; constant REG_RTSTATUS: std_logic_vector := x"80"; constant REG_RTCONFIG: std_logic_vector := x"84"; constant REG_RTBUSSTAT: std_logic_vector := x"88"; constant REG_RTBUSWORDS: std_logic_vector := x"8C"; constant REG_RTSYNC: std_logic_vector := x"90"; constant REG_RTTABLEADDR: std_logic_vector := x"94"; constant REG_RTMODECONFIG: std_logic_vector := x"98"; constant REG_RTTIMETAG: std_logic_vector := x"A4"; constant REG_RTLOGMASK: std_logic_vector := x"AC"; constant REG_RTLOGPOS: std_logic_vector := x"B0"; constant REG_RTIRQSRC: std_logic_vector := x"B4"; constant REG_BMSTATUS: std_logic_vector := x"C0"; constant REG_BMCONFIG: std_logic_vector := x"C4"; constant REG_BMADDRFILT: std_logic_vector := x"C8"; constant REG_BMSAFILT: std_logic_vector := x"CC"; constant REG_BMMCFILT: std_logic_vector := x"D0"; constant REG_BMBUFSTART: std_logic_vector := x"D4"; constant REG_BMBUFEND: std_logic_vector := x"D8"; constant REG_BMBUFPOS: std_logic_vector := x"DC"; constant REG_BMTIMETAG: std_logic_vector := x"E0"; ----------------------------------------------------------------------------- -- Embedded RT core component grrt is generic ( codecfreq: integer := 20; sameclk : integer := 1; syncrst : integer range 0 to 1 := 1 ); port ( -- Clock and reset clk : in std_ulogic; rst : in std_ulogic; clk1553 : in std_ulogic; rst1553 : in std_ulogic; -- Control signals rtaddr : in std_logic_vector(4 downto 0); rtaddrp : in std_ulogic; rtstat : in std_logic_vector(3 downto 0); -- 3=SR, 2=busy 1=SSF 0=TF ad31en : in std_ulogic; -- 1=RT31 is normal addr, 0=RT31 is broadcast rtsync : out std_ulogic; rtreset : out std_ulogic; stamp : out std_ulogic; -- Front-end interface phase : out std_logic_vector(1 downto 0); transfer : out std_logic_vector(11 downto 0); resp : in std_logic_vector(1 downto 0); tfrerror : out std_ulogic; txdata : in std_logic_vector(15 downto 0); rxdata : out std_logic_vector(15 downto 0); datardy : in std_ulogic; datarw : out std_ulogic; -- 1553 transceiver interface aoutin : out std_ulogic; aoutp : out std_ulogic; aoutn : out std_ulogic; ainen : out std_ulogic; ainp : in std_ulogic; ainn : in std_ulogic; boutin : out std_ulogic; boutp : out std_ulogic; boutn : out std_ulogic; binen : out std_ulogic; binp : in std_ulogic; binn : in std_ulogic; -- Fail-safe timer feedback aoutp_fb : in std_logic; aoutn_fb : in std_logic; boutp_fb : in std_logic; boutn_fb : in std_logic ); end component; ----------------------------------------------------------------------------- -- Test signal generators component gr1553b_tgapb is generic( pindex : integer := 0; paddr: integer := 0; pmask : integer := 16#fff#; codecfreq: integer := 20; extmodeen: integer range 0 to 1 := 0; rawmodeen: integer range 0 to 1 := 0; rawmemtech: integer := 0 ); port( clk: in std_logic; rst: in std_logic; codec_clk: in std_logic; codec_rst: in std_logic; apbsi: in apb_slv_in_type; apbso: out apb_slv_out_type; txout_core: in gr1553b_txout_type; rxin_core: out gr1553b_rxin_type; txout_bus: out gr1553b_txout_type; rxin_bus: in gr1553b_rxin_type; testing: out std_logic ); end component; ----------------------------------------------------------------------------- -- Simulation types and components for test bench -- U=Undefined, X=Unknown, 0=Zero, +=High, -=Low type uwire1553 is ('U','X','0','+','-'); type uwire1553_array is array(natural range <>) of uwire1553; function resolved (a: uwire1553_array) return uwire1553; subtype wire1553 is resolved uwire1553; component simtrans1553_single is generic ( txdelay: time := 200 ns; rxdelay: time := 450 ns ); port ( buswire: inout wire1553; rxen: in std_logic; txin: in std_logic; txP: in std_logic; txN: in std_logic; rxP: out std_logic; rxN: out std_logic ); end component; component simtrans1553 is generic ( txdelay: time := 200 ns; rxdelay: time := 450 ns ); port ( busA: inout wire1553; busB: inout wire1553; rxenA: in std_logic; txinA: in std_logic; txAP: in std_logic; txAN: in std_logic; rxAP: out std_logic; rxAN: out std_logic; rxenB: in std_logic; txinB: in std_logic; txBP: in std_logic; txBN: in std_logic; rxBP: out std_logic; rxBN: out std_logic ); end component; component combine1553 is port ( clk: in std_ulogic; txin1,rxen1: in std_ulogic; tx1P,tx1N: in std_ulogic; rx1P,rx1N: out std_ulogic; txin2,rxen2: in std_ulogic; tx2P,tx2N: in std_ulogic; rx2P,rx2N: out std_ulogic; txin,rxen: out std_ulogic; txP,txN: out std_ulogic; rxP,rxN: in std_ulogic ); end component; end package; package body gr1553b_pkg is function resolved (a: uwire1553_array) return uwire1553 is variable w,w2: uwire1553; begin w := a(a'left); for q in a'range loop w2 := a(q); if w /= w2 then case w is when 'U' => w := 'X'; when 'X' => null; when '0' => w := w2; when '+' | '-' => if w2 /= '0' then w:='X'; end if; end case; end if; end loop; return w; end; end package body;
gpl-2.0
e46d7c2b4c6d4556d7b63e7bbb47384e
0.561068
3.491559
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-digilent-nexys4ddr/testbench.vhd
1
8,828
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; USE_MIG_INTERFACE_MODEL : boolean := false; clkperiod : integer := 10 -- system clock period ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents constant lresp : boolean := false; constant ct : integer := clkperiod/2; constant CFG_DDR2SP_DATAWIDTH : integer := 16; signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal rstn : std_logic; signal error : std_logic; -- PROM flash signal address : std_logic_vector(26 downto 0):=(others =>'0'); signal data : std_logic_vector(31 downto 0); signal RamCE : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; -- Debug support unit signal dsubre : std_ulogic; -- AHB Uart signal dsurx : std_ulogic; signal dsutx : std_ulogic; -- APB Uart signal urxd : std_ulogic; signal utxd : std_ulogic; -- Ethernet signals signal erx_er : std_ulogic; signal erx_crs : std_ulogic; signal etxdt : std_logic_vector(1 downto 0); -- SVGA signals signal vid_hsync : std_ulogic; signal vid_vsync : std_ulogic; signal vid_r : std_logic_vector(3 downto 0); signal vid_g : std_logic_vector(3 downto 0); signal vid_b : std_logic_vector(3 downto 0); -- SPI flash signals signal spi_sel_n : std_logic; signal spi_clk : std_logic; signal spi_data : std_logic_vector(3 downto 0); -- Output signals for LEDs signal led : std_logic_vector(15 downto 0); signal brdyn : std_ulogic; signal sw : std_logic_vector(15 downto 0):= (others =>'0'); signal btn : std_logic_vector(4 downto 0):= (others =>'0'); signal ddr2_dq : std_logic_vector(15 downto 0); signal ddr2_addr : std_logic_vector(12 downto 0); signal ddr2_ba : std_logic_vector(2 downto 0); signal ddr2_ras_n : std_ulogic; signal ddr2_cas_n : std_ulogic; signal ddr2_we_n : std_ulogic; signal ddr2_cke : std_logic_vector(0 downto 0); signal ddr2_odt : std_logic_vector(0 downto 0); signal ddr2_cs_n : std_logic_vector(0 downto 0); signal ddr2_dm : std_logic_vector(1 downto 0); signal ddr2_dqs_p : std_logic_vector(1 downto 0); signal ddr2_dqs_n : std_logic_vector(1 downto 0); signal ddr2_ck_p : std_logic_vector(0 downto 0); signal ddr2_ck_n : std_logic_vector(0 downto 0); -- MIG DDR2 Simulation parameters constant SIM_BYPASS_INIT_CAL : string := "FAST"; -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Not supported -- # = "FAST" - Complete memory init & use -- abbreviated calib sequence constant SIMULATION : string := "TRUE"; -- Should be TRUE during design simulations and -- FALSE during implementations begin -- clock and reset clk <= not clk after ct * 1 ns; rst <= '1', '0' after 100 ns; rstn <= not rst; dsubre <= '0'; urxd <= 'H'; --spi_sel_n <= 'H'; --spi_clk <= 'L'; d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech, disas, dbguart, pclow, SIM_BYPASS_INIT_CAL, SIMULATION, USE_MIG_INTERFACE_MODEL) port map ( sys_clk_i => clk, btnCpuResetn => rstn, -- DDR2 ddr2_dq => ddr2_dq, ddr2_addr => ddr2_addr, ddr2_ba => ddr2_ba, ddr2_ras_n => ddr2_ras_n, ddr2_cas_n => ddr2_cas_n, ddr2_we_n => ddr2_we_n, ddr2_cke => ddr2_cke, ddr2_odt => ddr2_odt, ddr2_cs_n => ddr2_cs_n, ddr2_dm => ddr2_dm, ddr2_dqs_p => ddr2_dqs_p, ddr2_dqs_n => ddr2_dqs_n, ddr2_ck_p => ddr2_ck_p, ddr2_ck_n => ddr2_ck_n, -- AHB Uart uart_txd_in => dsurx, uart_rxd_out => dsutx, -- PHY eth_crsdv => erx_crs, eth_rxd => etxdt, eth_rxerr => erx_er, -- SPI QspiCSn => spi_sel_n, QspiDB => spi_data, QspiClk => spi_clk, -- Output signals for LEDs led => led, sw => sw, btn => btn); ddr2mem0 : ddr2ram generic map(width => CFG_DDR2SP_DATAWIDTH, abits => 13, babits => 3, colbits => 10, rowbits => 13, implbanks => 8, fname => sdramfile, speedbin=>1, density => 3, lddelay => (0 ns), swap => CFG_MIG_7SERIES, ldguard => 1) port map (ck => ddr2_ck_p(0), ckn => ddr2_ck_n(0), cke => ddr2_cke(0), csn => ddr2_cs_n(0), odt => ddr2_odt(0), rasn => ddr2_ras_n, casn => ddr2_cas_n, wen => ddr2_we_n, dm => ddr2_dm, ba => ddr2_ba, a => ddr2_addr, dq => ddr2_dq(15 downto 0), dqs => ddr2_dqs_p, dqsn =>ddr2_dqs_n, doload => led(4)); spimem0: if CFG_SPIMCTRL = 1 generate s0 : spi_flash generic map (ftype => 4, debug => 0, fname => promfile, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => CFG_SPIMCTRL_DUALOUTPUT) port map (spi_clk, spi_data(0), spi_data(1), spi_sel_n); end generate spimem0; -- Ethernet model diasbled erx_crs <= '0'; etxdt<= (others =>'0'); erx_er<= '0'; led(3) <= 'L'; -- ERROR pull-down error <= not led(3); iuerr : process variable datav : std_logic_vector(31 downto 0); begin wait for 10 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); -- -- txc(dsutx, 16#80#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end;
gpl-2.0
896add76468c61a28b9ae4848173ba37
0.561735
3.3772
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-altera-de2-ep2c35/leon3mp.vhd
1
22,278
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.jtag.all; -- pragma translate_off use gaisler.sim.all; -- pragma translate_on library esa; use esa.memoryctrl.all; use work.config.all; use work.mypackage.all; --contains type entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_logic; --key[0] clock_50 : in std_logic; errorn : out std_logic; --ledr[0], error from LEON3 DSU fl_addr : out std_logic_vector(21 downto 0); fl_dq : inout std_logic_vector(7 downto 0); dram_addr : out std_logic_vector(11 downto 0); dram_ba_0 : out std_logic; dram_ba_1 : out std_logic; dram_dq : inout std_logic_vector(15 downto 0); dram_clk : out std_logic; dram_cke : out std_logic; dram_cs_n : out std_logic; dram_we_n : out std_logic; -- sdram write enable dram_ras_n : out std_logic; -- sdram ras dram_cas_n : out std_logic; -- sdram cas dram_ldqm : out std_logic; -- sdram ldqm dram_udqm : out std_logic; -- sdram udqm uart_txd : out std_logic; -- DSU tx data uart_rxd : in std_logic; -- DSU rx data dsubre : in std_logic; --key[1], used to put processor in debug mode. dsuact : out std_logic; --ledr[1] fl_oe_n : out std_logic; fl_we_n : out std_logic; fl_rst_n : out std_logic; fl_ce_n : out std_logic; lcd_data : inout std_logic_vector(7 downto 0); lcd_blon : out std_logic; lcd_rw : out std_logic; lcd_en : out std_logic; lcd_rs : out std_logic; lcd_on : out std_logic; gpio_0 : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port 0 gpio_1 : inout std_logic_vector(CFG_GRGPIO2_WIDTH-1 downto 0); -- I/O port 1 ps2_clk : inout std_logic; ps2_dat : inout std_logic; vga_clk : out std_ulogic; vga_blank : out std_ulogic; vga_sync : out std_ulogic; vga_hs : out std_ulogic; vga_vs : out std_ulogic; vga_r : out std_logic_vector(9 downto 0); vga_g : out std_logic_vector(9 downto 0); vga_b : out std_logic_vector(9 downto 0); sw : in std_logic_vector(0 to 2) := "000" ); end; architecture rtl of leon3mp is signal vcc, gnd : std_logic_vector(4 downto 0); signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdram_out_type; signal sdo2 : sdctrl_out_type; --AMBA bus standard interface signals-- signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal clkm, rstn, rstraw, pciclk, sdclkl, lclk, rst : std_logic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, dui : uart_in_type; signal u1o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal stati : ahbstat_in_type; signal gpti : gptimer_in_type; signal gpioi_0, gpioi_1 : gpio_in_type; signal gpioo_0, gpioo_1 : gpio_out_type; signal dsubren : std_logic; signal tck, tms, tdi, tdo : std_logic; signal fpi : grfpu_in_vector_type; signal fpo : grfpu_out_vector_type; signal kbdi : ps2_in_type; signal kbdo : ps2_out_type; signal moui : ps2_in_type; signal mouo : ps2_out_type; signal vgao : apbvga_out_type; signal video_clk, clk40 : std_logic; signal lcdo : lcd_out_type; signal lcdi : lcd_in_type; constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz, used in clkgen constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz (current 50Mhz) constant IOAEN : integer := 1; constant CFG_SDEN : integer := CFG_MCTRL_SDEN; constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK; constant OEPOL : integer := padoen_polarity(padtech); attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute keep : boolean; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; clk_pad : clkpad generic map (tech => padtech) port map (clock_50, lclk); clkgen0 : entity work.clkgen_de2 generic map (clk_mul => CFG_CLKMUL, clk_div => CFG_CLKDIV, clk_freq => BOARD_FREQ, sdramen => CFG_SDCTRL) port map (inclk0 => lclk, c0 => clkm, c0_2x => clk40, e0 => sdclkl, locked => cgo.clklock); sdclk_pad : outpad generic map (tech => padtech, slew => 1) port map (dram_clk, sdclkl); resetn_pad : inpad generic map (tech => padtech) port map (resetn, rst); rst0 : rstgen -- reset generator (reset is active LOW) port map (rst, clkm, cgo.clklock, rstn, rstraw); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE, devid => ALTERA_DE2, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- ----- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- cpu : for i in 0 to CFG_NCPU-1 generate nosh : if CFG_GRFPUSH = 0 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; end generate; sh : if CFG_GRFPUSH = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3sh -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i)); end generate; grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech) port map (clkm, rstn, fpi, fpo); end generate; --ledr[0] lit when leon 3 debugvector signals error errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit (slave) generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsui.enable <= '1'; dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsubren); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); --ledr[1] is lit in debug mode. dsui.break <= not dsubren; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; --no timer freeze, no light. end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0: ahbuart -- Debug UART generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU)); dui.rxd <= uart_rxd when sw(0) = '0' else '1'; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- memi.edac <= '0'; memi.bwidth <= "00"; mctrl0 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0, srbanks => 4, sden => 0, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS, oepol => OEPOL, iomask => 0, sdbits => 32 + 32*CFG_MCTRL_SD64, rammask => 0 ,pageburst => CFG_MCTRL_PAGE) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo); addr_pad : outpadv generic map (width => 22, tech => padtech) port map (fl_addr, memo.address(21 downto 0)); roms_pad : outpad generic map (tech => padtech) port map (fl_ce_n, memo.romsn(0)); --PROM chip select oen_pad : outpad generic map (tech => padtech) port map (fl_oe_n, memo.oen); wri_pad : outpad generic map (tech => padtech) port map (fl_we_n, memo.writen); --write strobe fl_rst_pad : outpad generic map (tech => padtech) port map (fl_rst_n, rstn); --reset flash with common reset signal data_pad : iopadvv generic map (tech => padtech, width => 8, oepol => OEPOL) port map (fl_dq, memo.data(31 downto 24), memo.vbdrive(31 downto 24), memi.data(31 downto 24)); memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; end generate; sdctrl0 : if CFG_SDCTRL = 1 generate -- 16-bit SDRAM controller sdc : sdctrl16 generic map (hindex => 3, haddr => 16#400#, hmask => 16#FF8#, -- hmask => 16#C00#, ioaddr => 1, fast => 0, pwron => 0, invclk => 0, sdbits => 16, pageburst => 2) port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo2); sa_pad : outpadv generic map (width => 12, tech => padtech) port map (dram_addr, sdo2.address(13 downto 2)); ba0_pad : outpad generic map (tech => padtech) port map (dram_ba_0, sdo2.address(15)); ba1_pad : outpad generic map (tech => padtech) port map (dram_ba_1, sdo2.address(16)); sd_pad : iopadvv generic map (width => 16, tech => padtech, oepol => OEPOL) port map (dram_dq(15 downto 0), sdo2.data(15 downto 0), sdo2.vbdrive(15 downto 0), sdi.data(15 downto 0)); sdcke_pad : outpad generic map (tech => padtech) port map (dram_cke, sdo2.sdcke(0)); sdwen_pad : outpad generic map (tech => padtech) port map (dram_we_n, sdo2.sdwen); sdcsn_pad : outpad generic map (tech => padtech) port map (dram_cs_n, sdo2.sdcsn(0)); sdras_pad : outpad generic map (tech => padtech) port map (dram_ras_n, sdo2.rasn); sdcas_pad : outpad generic map (tech => padtech) port map (dram_cas_n, sdo2.casn); sdldqm_pad : outpad generic map (tech => padtech) port map (dram_ldqm, sdo2.dqm(0) ); sdudqm_pad : outpad generic map (tech => padtech) port map (dram_udqm, sdo2.dqm(1)); end generate; mg0 : if CFG_MCTRL_LEON2 = 0 generate -- No PROM/SRAM controller apbo(0) <= apb_none; ahbso(0) <= ahbs_none; roms_pad : outpad generic map (tech => padtech) port map (fl_ce_n, gnd(0)); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); lcd : apblcd generic map(pindex => 4, paddr => 4, pmask => 16#fff#, oepol => OEPOL, tas => 1, epw => 12) port map(rstn, clkm, apbi, apbo(4), lcdo, lcdi); rs_pad : outpad generic map (tech => padtech) port map (lcd_rs, lcdo.rs); rw_pad : outpad generic map (tech => padtech) port map (lcd_rw, lcdo.rw); e_pad : outpad generic map (tech => padtech) port map (lcd_en, lcdo.e); db_pad : iopadv generic map (width => 8, tech => padtech, oepol => OEPOL) port map (lcd_data, lcdo.db, lcdo.db_oe, lcdi.db); blon_pad : outpad generic map (tech => padtech) port map (lcd_blon, gnd(0)); on_pad : outpad generic map (tech => padtech) port map (lcd_on, vcc(0)); ---------------------------------------------------------------------------------------- ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, flow => 0, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.extclk <= '0'; u1i.rxd <= '1' when sw(0) = '0' else uart_rxd; end generate; uart_txd <= duo.txd when sw(0) = '0' else u1o.txd; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; --Timer unit, generates interrupts when a timer underflow. gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO0 unit grgpio0: grgpio generic map( pindex => 9, paddr => 9, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH) port map( rstn, clkm, apbi, apbo(9), gpioi_0, gpioo_0); pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio_0(i), gpioo_0.dout(i), gpioo_0.oen(i), gpioi_0.din(i)); end generate; end generate; nogpio0: if CFG_GRGPIO_ENABLE = 0 generate apbo(9) <= apb_none; end generate; gpio1 : if CFG_GRGPIO2_ENABLE /= 0 generate -- GR GPIO1 unit grgpio1: grgpio generic map( pindex => 10, paddr => 10, imask => CFG_GRGPIO2_IMASK, nbits => CFG_GRGPIO2_WIDTH) port map( rstn, clkm, apbi, apbo(10), gpioi_1, gpioo_1); pio_pads : for i in 0 to CFG_GRGPIO2_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio_1(i), gpioo_1.dout(i), gpioo_1.oen(i), gpioi_1.din(i)); end generate; end generate; nogpio1: if CFG_GRGPIO2_ENABLE = 0 generate apbo(10) <= apb_none; end generate; ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register stati.cerror(1 to NAHBSLV-1) <= (others => '0'); stati.cerror(0) <= memo.ce; --connect as many fault tolerans units as specified by nftslv generic. ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 1, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); end generate; nop2 : if CFG_AHBSTAT = 0 generate apbo(15) <= apb_none; end generate; kbd : if CFG_KBD_ENABLE /= 0 generate ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5) port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo); end generate; nokbd : if CFG_KBD_ENABLE = 0 generate apbo(5) <= apb_none; kbdo <= ps2o_none; end generate; kbdclk_pad : iopad generic map (tech => padtech) port map (ps2_clk,kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i); kbdata_pad : iopad generic map (tech => padtech) port map (ps2_dat, kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i); vga : if CFG_VGA_ENABLE /= 0 generate vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6) port map(rstn, clkm, clk40, apbi, apbo(6), vgao); video_clock_pad : outpad generic map ( tech => padtech) port map (vga_clk, video_clk); video_clk <= not clk40; end generate; svga : if CFG_SVGA_ENABLE /= 0 generate svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6, hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, clk0 => 40000, --1000000000/((BOARD_FREQ * CFG_CLKMUL)/CFG_CLKDIV), clk1 => 0, clk2 => 0, clk3 => 0, burstlen => 8) port map(rstn, clkm, clk40, apbi, apbo(6), vgao, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), open); video_clk <= not clk40; video_clock_pad : outpad generic map ( tech => padtech) port map (vga_clk, video_clk); end generate; novga : if (CFG_VGA_ENABLE = 0 and CFG_SVGA_ENABLE = 0) generate apbo(6) <= apb_none; vgao <= vgao_none; video_clk <= not clkm; video_clock_pad : outpad generic map ( tech => padtech) port map (vga_clk, video_clk); end generate; blank_pad : outpad generic map (tech => padtech) port map (vga_blank, vgao.blank); comp_sync_pad : outpad generic map (tech => padtech) port map (vga_sync, vgao.comp_sync); vert_sync_pad : outpad generic map (tech => padtech) port map (vga_vs, vgao.vsync); horiz_sync_pad : outpad generic map (tech => padtech) port map (vga_hs, vgao.hsync); video_out_r_pad : outpadv generic map (width => 8, tech => padtech) port map (vga_r(9 downto 2), vgao.video_out_r); video_out_g_pad : outpadv generic map (width => 8, tech => padtech) port map (vga_g(9 downto 2), vgao.video_out_g); video_out_b_pad : outpadv generic map (width => 8, tech => padtech) port map (vga_b(9 downto 2), vgao.video_out_b); vga_r(1 downto 0) <= "00"; vga_g(1 downto 0) <= "00"; vga_b(1 downto 0) <= "00"; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- -- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG) to NAHBMST-1 generate -- ahbmo(i) <= ahbm_none; -- end generate; -- nam2 : if CFG_PCI > 1 generate -- ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+log2x(CFG_PCI)-1) <= ahbm_none; -- end generate; -- nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- apbo(6) <= apb_none; ----------------------------------------------------------------------- --- Test report module ---------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off test0 : ahbrep generic map (hindex => 7, haddr => 16#200#) port map (rstn, clkm, ahbsi, ahbso(7)); -- pragma translate_on ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Altera DE2-EP2C35 Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
1b16a51485df1167e81f2d6856171c46
0.582323
3.386744
false
false
false
false
aortiz49/MIPS-Processor
Hardware/extender.vhd
1
488
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity extender is port( in0 : in std_logic_vector(15 downto 0); ExtOp : in std_logic; out0 : out std_logic_vector(31 downto 0) ); end extender; architecture bhv of extender is begin process(in0,ExtOp) begin case ExtOp is when '0' => out0 <= (31 downto 16 => '0') & in0; when others => out0 <= (31 downto 16 => in0(15)) & in0; end case; end process; end bhv;
mit
8f6e26613bdb48eec863f7fcd6237a64
0.610656
2.623656
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/misc/grsysmon.vhd
1
16,890
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: grsysmon -- File: grsysmon.vhd -- Author: Jan Andersson - Gaisler Research AB -- Description: Provides GRLIB AMBA AHB slave interface to Xilinx SYSMON library ieee; use ieee.std_logic_1164.all; library grlib, gaisler; use grlib.amba.all; use grlib.devices.all; use grlib.stdlib.all; use gaisler.misc.all; library techmap; use techmap.gencomp.all; entity grsysmon is generic ( -- GRLIB generics tech : integer := DEFFABTECH; hindex : integer := 0; -- AHB slave index hirq : integer := 0; -- Interrupt line caddr : integer := 16#000#; -- Base address for configuration area cmask : integer := 16#fff#; -- Area mask saddr : integer := 16#001#; -- Base address for sysmon register area smask : integer := 16#fff#; -- Area mask split : integer := 0; -- Enable AMBA SPLIT support extconvst : integer := 0; -- Use external CONVST signal wrdalign : integer := 0; -- Word align System Monitor registers -- Virtex 5 SYSMON generics INIT_40 : bit_vector := X"0000"; INIT_41 : bit_vector := X"0000"; INIT_42 : bit_vector := X"0800"; INIT_43 : bit_vector := X"0000"; INIT_44 : bit_vector := X"0000"; INIT_45 : bit_vector := X"0000"; INIT_46 : bit_vector := X"0000"; INIT_47 : bit_vector := X"0000"; INIT_48 : bit_vector := X"0000"; INIT_49 : bit_vector := X"0000"; INIT_4A : bit_vector := X"0000"; INIT_4B : bit_vector := X"0000"; INIT_4C : bit_vector := X"0000"; INIT_4D : bit_vector := X"0000"; INIT_4E : bit_vector := X"0000"; INIT_4F : bit_vector := X"0000"; INIT_50 : bit_vector := X"0000"; INIT_51 : bit_vector := X"0000"; INIT_52 : bit_vector := X"0000"; INIT_53 : bit_vector := X"0000"; INIT_54 : bit_vector := X"0000"; INIT_55 : bit_vector := X"0000"; INIT_56 : bit_vector := X"0000"; INIT_57 : bit_vector := X"0000"; SIM_MONITOR_FILE : string := "sysmon.txt"); port ( rstn : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sysmoni : in grsysmon_in_type; sysmono : out grsysmon_out_type ); end grsysmon; architecture rtl of grsysmon is ----------------------------------------------------------------------------- -- Constants ----------------------------------------------------------------------------- constant REVISION : amba_version_type := 0; constant HCONFIG : ahb_config_type := ( 0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_GRSYSMON, 0, REVISION, hirq), 4 => ahb_iobar(caddr, cmask), 5 => ahb_iobar(saddr, smask), others => zero32); -- BANKs constant CONF_BANK : integer := 0; constant SYSMON_BANK : integer := 1; -- Registers constant CONF_REG_OFF : std_ulogic := '0'; constant STAT_REG_OFF : std_ulogic := '1'; ----------------------------------------------------------------------------- -- Types ----------------------------------------------------------------------------- type sysmon_out_type is record alm : std_logic_vector(2 downto 0); busy : std_ulogic; channel : std_logic_vector(4 downto 0); do : std_logic_vector(15 downto 0); drdy : std_ulogic; eoc : std_ulogic; eos : std_ulogic; jtagbusy : std_ulogic; jtaglocked : std_ulogic; jtagmodified : std_ulogic; ot : std_ulogic; end record; type sysmon_in_type is record daddr : std_logic_vector(6 downto 0); den : std_ulogic; di : std_logic_vector(15 downto 0); dwe : std_ulogic; end record; type grsysmon_conf_reg_type is record ot_ien : std_ulogic; alm_ien : std_logic_vector(2 downto 0); convst : std_ulogic; eos_ien : std_ulogic; eoc_ien : std_ulogic; busy_ien : std_ulogic; jb_ien : std_ulogic; jl_ien : std_ulogic; jm_ien : std_ulogic; end record; type grsysmon_reg_type is record cfgreg : grsysmon_conf_reg_type; -- SYSMON den : std_ulogic; -- System monitor data enable sma : std_ulogic; -- System monitor access smr : std_ulogic; -- System monitor access ready -- AHB insplit : std_ulogic; -- SPLIT response issued unsplit : std_ulogic; -- SPLIT complete not issued irq : std_ulogic; -- Interrupt request hwrite : std_ulogic; hsel : std_ulogic; hmbsel : std_logic_vector(0 to 1); haddr : std_logic_vector(6 downto 0); hready : std_ulogic; srdata : std_logic_vector(15 downto 0); -- SYSMON response data rrdata : std_logic_vector(12 downto 0); -- Register response data hresp : std_logic_vector(1 downto 0); splmst : std_logic_vector(log2(NAHBMST)-1 downto 0); -- SPLIT:ed master hsplit : std_logic_vector(NAHBMST-1 downto 0); -- Other SPLIT:ed masters ahbcancel : std_ulogic; -- Locked access cancels ongoing SPLIT -- response end record; ----------------------------------------------------------------------------- -- Signals ----------------------------------------------------------------------------- signal r, rin : grsysmon_reg_type; signal syso : sysmon_out_type; signal sysi : sysmon_in_type; signal sysmon_rst : std_ulogic; signal lconvst : std_ulogic; begin -- rtl sysmon_rst <= not rstn; convstint: if extconvst = 0 generate lconvst <= r.cfgreg.convst; end generate convstint; convstext: if extconvst /= 0 generate lconvst <= sysmoni.convst; end generate convstext; ----------------------------------------------------------------------------- -- System monitor ----------------------------------------------------------------------------- macro0 : system_monitor generic map (tech => tech, INIT_40 => INIT_40, INIT_41 => INIT_41, INIT_42 => INIT_42, INIT_43 => INIT_43, INIT_44 => INIT_44, INIT_45 => INIT_45, INIT_46 => INIT_46, INIT_47 => INIT_47, INIT_48 => INIT_48, INIT_49 => INIT_49, INIT_4A => INIT_4A, INIT_4B => INIT_4B, INIT_4C => INIT_4C, INIT_4D => INIT_4D, INIT_4E => INIT_4E, INIT_4F => INIT_4F, INIT_50 => INIT_50, INIT_51 => INIT_51, INIT_52 => INIT_52, INIT_53 => INIT_53, INIT_54 => INIT_54, INIT_55 => INIT_55, INIT_56 => INIT_56, INIT_57 => INIT_57, SIM_MONITOR_FILE => SIM_MONITOR_FILE) port map (alm => syso.alm, busy => syso.busy, channel => syso.channel, do => syso.do, drdy => syso.drdy, eoc => syso.eoc, eos => syso.eos, jtagbusy => syso.jtagbusy, jtaglocked => syso.jtaglocked, jtagmodified => syso.jtagmodified, ot => syso.ot, convst => lconvst, convstclk => sysmoni.convstclk, daddr => sysi.daddr, dclk => clk, den => sysi.den, di => sysi.di, dwe => sysi.dwe, reset => sysmon_rst, vauxn => sysmoni.vauxn, vauxp => sysmoni.vauxp, vn => sysmoni.vn, vp => sysmoni.vp); ----------------------------------------------------------------------------- -- AMBA and control i/f ----------------------------------------------------------------------------- comb: process (r, rstn, ahbsi, syso) variable v : grsysmon_reg_type; variable irq : std_logic_vector((NAHBIRQ-1) downto 0); variable addr : std_logic_vector(7 downto 0); variable hsplit : std_logic_vector(NAHBMST-1 downto 0); variable regaddr : std_ulogic; variable hrdata : std_logic_vector(31 downto 0); variable hwdata : std_logic_vector(31 downto 0); begin -- process comb v := r; v.irq := '0'; irq := (others => '0'); irq(hirq) := r.irq; v.hresp := HRESP_OKAY; v.hready := '1'; v.den := '0'; regaddr := r.haddr(1-wrdalign); hsplit := (others => '0'); v.cfgreg.convst := '0'; hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)); -- AHB communication if ahbsi.hready = '1' then if (ahbsi.hsel(hindex) and ahbsi.htrans(1)) = '1' then v.hmbsel := ahbsi.hmbsel(r.hmbsel'range); if split = 0 or (not r.sma or ahbsi.hmbsel(CONF_BANK) or ahbsi.hmastlock) = '1' then v.hready := ahbsi.hmbsel(CONF_BANK) and ahbsi.hwrite; v.hwrite := ahbsi.hwrite; v.haddr := ahbsi.haddr((7+wrdalign) downto (1+wrdalign)); v.hsel := '1'; if ahbsi.hmbsel(SYSMON_BANK) = '1' then v.den := not r.insplit; v.sma := '1'; if split /= 0 then if ahbsi.hmastlock = '0' then v.hresp := HRESP_SPLIT; v.splmst := ahbsi.hmaster; v.unsplit := '1'; else v.ahbcancel := r.insplit; end if; v.insplit := not ahbsi.hmastlock; end if; end if; else -- Core is busy, transfer is not locked and access was to sysmon -- registers. Respond with SPLIT or insert wait states v.hready := '0'; if split /= 0 then v.hresp := HRESP_SPLIT; v.hsplit(conv_integer(ahbsi.hmaster)) := '1'; end if; end if; else v.hsel := '0'; end if; end if; if (r.hready = '0') then if (r.hresp = HRESP_OKAY) then v.hready := '0'; else v.hresp := r.hresp; end if; end if; -- Read access to conf registers if (r.hsel and r.hmbsel(CONF_BANK)) = '1' then v.rrdata := (others => '0'); if r.hwrite = '0' then v.hready := '1'; v.hsel := '0'; end if; case regaddr is when CONF_REG_OFF => v.rrdata(12) := r.cfgreg.ot_ien; v.rrdata(11 downto 9) := r.cfgreg.alm_ien; if extconvst = 0 then v.rrdata(6) := r.cfgreg.convst; end if; v.rrdata(5) := r.cfgreg.eos_ien; v.rrdata(4) := r.cfgreg.eoc_ien; v.rrdata(3) := r.cfgreg.busy_ien; v.rrdata(2) := r.cfgreg.jb_ien; v.rrdata(1) := r.cfgreg.jl_ien; v.rrdata(0) := r.cfgreg.jm_ien; if r.hwrite = '1' then v.cfgreg.ot_ien := hwdata(12); v.cfgreg.alm_ien := hwdata(11 downto 9); if extconvst = 0 then v.cfgreg.convst := hwdata(6); end if; v.cfgreg.eos_ien := hwdata(5); v.cfgreg.eoc_ien := hwdata(4); v.cfgreg.busy_ien := hwdata(3); v.cfgreg.jb_ien := hwdata(2); v.cfgreg.jl_ien := hwdata(1); v.cfgreg.jm_ien := hwdata(0); end if; when STAT_REG_OFF => v.rrdata(12) := syso.ot; v.rrdata(11 downto 9) := syso.alm; v.rrdata(8 downto 4) := syso.channel; v.rrdata(3) := syso.busy; v.rrdata(2) := syso.jtagbusy; v.rrdata(1) := syso.jtaglocked; v.rrdata(0) := syso.jtagmodified; when others => null; end case; end if; -- SYSMON access finished if syso.drdy = '1' then v.srdata := syso.do; v.smr := '1'; end if; if (syso.drdy or r.smr) = '1' then if split /= 0 and r.unsplit = '1' then hsplit(conv_integer(r.splmst)) := '1'; v.unsplit := '0'; end if; if ((split = 0 or v.ahbcancel = '0') and (split = 0 or ahbsi.hmaster = r.splmst or r.insplit = '0') and -- (((split = 0 or r.insplit = '0') and r.hmbsel(SYSMON_BANK) = '1') or -- (split = 1 and ahbsi.hmbsel(SYSMON_BANK) = '1')) and (((ahbsi.hsel(hindex) and ahbsi.hready and ahbsi.htrans(1)) = '1') or ((split = 0 or r.insplit = '0') and r.hready = '0' and r.hresp = HRESP_OKAY))) then v.hresp := HRESP_OKAY; if split /= 0 then v.insplit := '0'; v.hsplit := r.hsplit; end if; v.hready := '1'; v.hsel := '0'; v.smr := '0'; v.sma := '0'; elsif split /= 0 and v.ahbcancel = '1' then v.den := '1'; v.smr := '0'; v.ahbcancel := '0'; end if; end if; -- Interrupts if (syso.ot and v.cfgreg.ot_ien) = '1' then v.irq := '1'; v.cfgreg.ot_ien := '0'; end if; for i in r.cfgreg.alm_ien'range loop if (syso.alm(i) and r.cfgreg.alm_ien(i)) = '1' then v.irq := '1'; v.cfgreg.alm_ien(i) := '0'; end if; end loop; -- i if (syso.eos and v.cfgreg.eos_ien) = '1' then v.irq := '1'; v.cfgreg.eos_ien := '0'; end if; if (syso.eoc and v.cfgreg.eoc_ien) = '1' then v.irq := '1'; v.cfgreg.eoc_ien := '0'; end if; if (syso.busy and v.cfgreg.busy_ien) = '1' then v.irq := '1'; v.cfgreg.busy_ien := '0'; end if; if (syso.jtagbusy and v.cfgreg.jb_ien) = '1' then v.irq := '1'; v.cfgreg.jb_ien := '0'; end if; if (syso.jtaglocked and v.cfgreg.jl_ien) = '1' then v.irq := '1'; v.cfgreg.jl_ien := '0'; end if; if (syso.jtagmodified and v.cfgreg.jm_ien) = '1' then v.irq := '1'; v.cfgreg.jm_ien := '0'; end if; -- Reset if rstn = '0' then v.cfgreg.ot_ien := '0'; v.cfgreg.alm_ien := (others => '0'); v.cfgreg.eos_ien := '0'; v.cfgreg.eoc_ien := '0'; v.cfgreg.busy_ien := '0'; v.cfgreg.jb_ien := '0'; v.cfgreg.jl_ien := '0'; v.cfgreg.jm_ien := '0'; v.sma := '0'; v.smr := '0'; v.insplit := '0'; v.unsplit := '0'; v.hready := '1'; v.hwrite := '0'; v.hsel := '0'; v.hmbsel := (others => '0'); v.ahbcancel := '0'; end if; if split = 0 then v.insplit := '0'; v.unsplit := '0'; v.splmst := (others => '0'); v.hsplit := (others => '0'); v.ahbcancel := '0'; end if; -- Update registers rin <= v; -- AHB slave output ahbso.hready <= r.hready; ahbso.hresp <= r.hresp; if r.hmbsel(CONF_BANK) = '1' then if wrdalign = 0 then hrdata := zero32(31 downto 13) & r.rrdata; else hrdata := '1' & zero32(30 downto 13) & r.rrdata; end if; else if wrdalign = 0 then hrdata := r.srdata & r.srdata; else hrdata := zero32(31 downto 16) & r.srdata; end if; end if; ahbso.hrdata <= ahbdrivedata(hrdata); ahbso.hconfig <= HCONFIG; ahbso.hirq <= irq; ahbso.hindex <= hindex; ahbso.hsplit <= hsplit; -- Signals to system monitor sysi.daddr <= r.haddr; sysi.den <= r.den; sysi.dwe <= r.hwrite; if wrdalign = 0 then if r.haddr(0) = '0' then sysi.di <= hwdata(31 downto 16); else sysi.di <= hwdata(15 downto 0); end if; else sysi.di <= hwdata(15 downto 0); end if; -- Signals from system monitor to core outputs sysmono.alm <= syso.alm; sysmono.ot <= syso.ot; sysmono.eoc <= syso.eoc; sysmono.eos <= syso.eos; sysmono.channel <= syso.channel; end process comb; reg: process (clk) begin -- process reg if rising_edge(clk) then r <= rin; end if; end process reg; -- Boot message -- pragma translate_off bootmsg : report_version generic map ( "grsysmon" & tost(hindex) & ": AMBA wrapper for System Monitor, rev " & tost(REVISION) & ", irq " & tost(hirq)); -- pragma translate_on end rtl;
gpl-2.0
5d5bc2a91b85f88961387363f0b04618
0.50675
3.598977
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-gr-xc3s-1500/testbench.vhd
1
16,275
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents component leon3mp generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_ulogic; clk : in std_ulogic; clk3 : in std_ulogic; pllref : in std_ulogic; errorn : out std_ulogic; wdogn : out std_ulogic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); ramsn : out std_logic_vector (4 downto 0); ramoen : out std_logic_vector (4 downto 0); rwen : out std_logic_vector (3 downto 0); oen : out std_ulogic; writen : out std_ulogic; read : out std_ulogic; iosn : out std_ulogic; bexcn : in std_ulogic; -- DSU rx data brdyn : in std_ulogic; -- DSU rx data romsn : out std_logic_vector (1 downto 0); sdclk : out std_ulogic; sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select sdwen : out std_ulogic; -- sdram write enable sdrasn : out std_ulogic; -- sdram ras sdcasn : out std_ulogic; -- sdram cas sddqm : out std_logic_vector (3 downto 0); -- sdram dqm dsuen : in std_ulogic; dsubre : in std_ulogic; dsuact : out std_ulogic; txd1 : out std_ulogic; -- UART1 tx data rxd1 : in std_ulogic; -- UART1 rx data ctsn1 : in std_ulogic; -- UART1 rx data rtsn1 : out std_ulogic; -- UART1 rx data txd2 : out std_ulogic; -- UART2 tx data rxd2 : in std_ulogic; -- UART2 rx data ctsn2 : in std_ulogic; -- UART1 rx data rtsn2 : out std_ulogic; -- UART1 rx data pio : inout std_logic_vector(17 downto 0); -- I/O port emdio : inout std_logic; -- ethernet PHY interface etx_clk : in std_ulogic; erx_clk : in std_ulogic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_ulogic; erx_er : in std_ulogic; erx_col : in std_ulogic; erx_crs : in std_ulogic; emdint : in std_ulogic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_ulogic; etx_er : out std_ulogic; emdc : out std_ulogic; ps2clk : inout std_logic_vector(1 downto 0); ps2data : inout std_logic_vector(1 downto 0); vid_clock : out std_ulogic; vid_blankn : out std_ulogic; vid_syncn : out std_ulogic; vid_hsync : out std_ulogic; vid_vsync : out std_ulogic; vid_r : out std_logic_vector(7 downto 0); vid_g : out std_logic_vector(7 downto 0); vid_b : out std_logic_vector(7 downto 0); spw_clk : in std_ulogic; spw_rxdp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxdn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdn : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsn : out std_logic_vector(0 to CFG_SPW_NUM-1); usb_clkout : in std_ulogic; usb_d : inout std_logic_vector(15 downto 0); usb_linestate : in std_logic_vector(1 downto 0); usb_opmode : out std_logic_vector(1 downto 0); usb_reset : out std_ulogic; usb_rxactive : in std_ulogic; usb_rxerror : in std_ulogic; usb_rxvalid : in std_ulogic; usb_suspend : out std_ulogic; usb_termsel : out std_ulogic; usb_txready : in std_ulogic; usb_txvalid : out std_ulogic; usb_validh : inout std_ulogic; usb_xcvrsel : out std_ulogic; usb_vbus : in std_ulogic ); end component; signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal pio : std_logic_vector(17 downto 0); signal romsn : std_logic_vector(1 downto 0); signal ramsn : std_logic_vector(4 downto 0); signal ramoen : std_logic_vector(4 downto 0); signal rwen : std_logic_vector(3 downto 0); signal oen : std_ulogic; signal writen : std_ulogic; signal read : std_ulogic; signal iosn : std_ulogic; signal bexcn : std_ulogic; signal brdyn : std_ulogic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic; signal dsurst : std_ulogic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal clk2 : std_ulogic := '1'; signal wdogn : std_logic; signal sdcke : std_ulogic; -- clk en signal sdcsn : std_logic_vector ( 1 downto 0); signal sdwen : std_ulogic; -- write en signal sdrasn : std_ulogic; -- row addr stb signal sdcasn : std_ulogic; -- col addr stb signal sddqm : std_logic_vector ( 3 downto 0); -- data i/o mask signal sdclk : std_ulogic; signal pllref : std_ulogic; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal ctsn1, rtsn1 : std_ulogic; signal ctsn2, rtsn2 : std_ulogic; signal errorn : std_logic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic:='0'; signal erxd, etxd: std_logic_vector(3 downto 0):=(others=>'0'); signal erxdt, etxdt : std_logic_vector(7 downto 0); signal emdc, emdio: std_logic; --dummy signal for the mdc,mdio in the phy which is not used signal eth_macclk : std_ulogic := '0'; signal emdint : std_ulogic; signal ps2clk : std_logic_vector(1 downto 0); signal ps2data : std_logic_vector(1 downto 0); signal vid_clock : std_ulogic; signal vid_blankn : std_ulogic; signal vid_syncn : std_ulogic; signal vid_hsync : std_ulogic; signal vid_vsync : std_ulogic; signal vid_r : std_logic_vector(7 downto 0); signal vid_g : std_logic_vector(7 downto 0); signal vid_b : std_logic_vector(7 downto 0); signal clk3 : std_ulogic := '0'; signal spw_clk : std_ulogic := '0'; signal spw_rxdp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxdn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsp : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_rxsn : std_logic_vector(0 to CFG_SPW_NUM-1) := (others => '0'); signal spw_txdp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txdn : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_txsn : std_logic_vector(0 to CFG_SPW_NUM-1); signal usb_clkout : std_ulogic := '0'; signal usb_d : std_logic_vector(15 downto 0); signal usb_linestate : std_logic_vector(1 downto 0); signal usb_opmode : std_logic_vector(1 downto 0); signal usb_reset : std_ulogic; signal usb_rxactive : std_ulogic; signal usb_rxerror : std_ulogic; signal usb_rxvalid : std_ulogic; signal usb_suspend : std_ulogic; signal usb_termsel : std_ulogic; signal usb_txready : std_ulogic; signal usb_txvalid : std_ulogic; signal usb_validh : std_logic; signal usb_xcvrsel : std_ulogic; signal usb_vbus : std_ulogic; signal rhvalid : std_ulogic; constant lresp : boolean := false; begin -- clock and reset clk <= not clk after ct * 1 ns; clk3 <= not clk3 after 20 ns; rst <= dsurst and wdogn; dsuen <= '1'; dsubre <= '0'; rxd1 <= 'H'; ctsn1 <= '0'; rxd2 <= 'H'; ctsn2 <= '0'; pllref <= sdclk; ps2clk <= "HH"; ps2data <= "HH"; pio(4) <= pio(5); pio(1) <= pio(2); pio <= (others => 'H'); wdogn <= 'H'; usb_clkout <= not usb_clkout after 8.33 ns; -- ~60MHz spw_rxdp <= spw_txdp; spw_rxdn <= spw_txdn; spw_rxsp <= spw_txsp; spw_rxsn <= spw_txsn; cpu : leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk, clk3, pllref, errorn, wdogn, address(27 downto 0), data, ramsn, ramoen, rwen, oen, writen, read, iosn, bexcn, brdyn, romsn, sdclk, sdcsn, sdwen, sdrasn, sdcasn, sddqm, dsuen, dsubre, dsuact, txd1, rxd1, ctsn1, rtsn1, txd2, rxd2, ctsn2, rtsn2, pio, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, emdint, etxd, etx_en, etx_er, emdc, ps2clk, ps2data, vid_clock, vid_blankn, vid_syncn, vid_hsync, vid_vsync, vid_r, vid_g, vid_b, spw_clk, spw_rxdp, spw_rxdn, spw_rxsp, spw_rxsn, spw_txdp, spw_txdn, spw_txsp, spw_txsn, usb_clkout, usb_d, usb_linestate, usb_opmode, usb_reset, usb_rxactive, usb_rxerror, usb_rxvalid, usb_suspend, usb_termsel, usb_txready, usb_txvalid, usb_validh, usb_xcvrsel, usb_vbus ); u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => vcc, Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => vcc, Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); prom0 : sram generic map (index => 6, abits => romdepth, fname => promfile) port map (address(romdepth-1 downto 0), data(31 downto 24), romsn(0), writen, oen); phy0 : if (CFG_GRETH = 1) generate emdio <= 'H'; erxd <= erxdt(3 downto 0); etxdt <= "0000" & etxd; p0: phy generic map(base1000_t_fd => 0, base1000_t_hd => 0) port map(rst, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, eth_macclk); end generate; ps2devs: for i in 0 to 1 generate ps2_device(ps2clk(i), ps2data(i)); end generate ps2devs; errorn <= 'H'; -- ERROR pull-up iuerr : process begin wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; test0 : grtestmod port map ( rst, clk, errorn, address(21 downto 2), data, iosn, oen, writen, brdyn); data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 2500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#aa#, txp); txa(dsutx, 16#00#, 16#55#, 16#00#, 16#55#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#a0#, txp); txa(dsutx, 16#01#, 16#02#, 16#09#, 16#33#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#80#, 16#00#, 16#02#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(txd2, rxd2); wait; end process; end ;
gpl-2.0
d4a4bcfc766e60f0200815cd33539804
0.587896
3.039783
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-gr-cpci-xc4v/leon3mp.vhd
1
39,082
----------------------------------------------------------------------------- -- LEON3 Demonstration design ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.stdlib.all; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.can.all; use gaisler.pci.all; use gaisler.net.all; use gaisler.jtag.all; use gaisler.spacewire.all; library testgrouppolito; use testgrouppolito.dprc_pkg.all; library esa; use esa.memoryctrl.all; use esa.pcicomp.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_logic; clk : in std_logic; pllref : in std_logic; errorn : out std_logic; wdogn : out std_logic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); sa : out std_logic_vector(14 downto 0); sd : inout std_logic_vector(63 downto 0); sdclk : out std_logic; sdcke : out std_logic_vector (1 downto 0); -- sdram clock enable sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select sdwen : out std_logic; -- sdram write enable sdrasn : out std_logic; -- sdram ras sdcasn : out std_logic; -- sdram cas sddqm : out std_logic_vector (7 downto 0); -- sdram dqm dsutx : out std_logic; -- DSU tx data dsurx : in std_logic; -- DSU rx data dsuen : in std_logic; dsubre : in std_logic; dsuact : out std_logic; txd1 : out std_logic; -- UART1 tx data rxd1 : in std_logic; -- UART1 rx data txd2 : out std_logic; -- UART2 tx data rxd2 : in std_logic; -- UART2 rx data ramsn : out std_logic_vector (4 downto 0); ramoen : out std_logic_vector (4 downto 0); rwen : out std_logic_vector (3 downto 0); oen : out std_logic; writen : out std_logic; read : out std_logic; iosn : out std_logic; romsn : out std_logic_vector (1 downto 0); brdyn : in std_logic; -- bus ready bexcn : in std_logic; -- bus exception gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port emdio : inout std_logic; -- ethernet PHY interface etx_clk : in std_logic; erx_clk : in std_logic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_logic; erx_er : in std_logic; erx_col : in std_logic; erx_crs : in std_logic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_logic; etx_er : out std_logic; emdc : out std_logic; pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_int : inout std_logic_vector(3 downto 0); pci_66 : in std_logic; pci_arb_req : in std_logic_vector(0 to 3); pci_arb_gnt : out std_logic_vector(0 to 3); can_txd : out std_logic_vector(0 to CFG_CAN_NUM-1); can_rxd : in std_logic_vector(0 to CFG_CAN_NUM-1); -- can_stb : out std_logic_vector(0 to CFG_CAN_NUM-1) spw_clk : in std_logic; spw_rxdp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxdn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsp : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxsn : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txdn : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsp : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txsn : out std_logic_vector(0 to CFG_SPW_NUM-1) ); end; architecture rtl of leon3mp is constant blength : integer := 12; constant fifodepth : integer := 8; signal vcc, gnd : std_logic_vector(4 downto 0); signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdram_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal clkm, rstn, rstraw, pciclk, sdclkl : std_logic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, u2i, dui : uart_in_type; signal u1o, u2o, duo : uart_out_type; signal irqi : irq_in_vector(0 to CFG_NCPU-1); signal irqo : irq_out_vector(0 to CFG_NCPU-1); signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1); signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal pcii : pci_in_type; signal pcio : pci_out_type; signal spwi : grspw_in_type_vector(0 to CFG_SPW_NUM-1); signal spwo : grspw_out_type_vector(0 to CFG_SPW_NUM-1); signal spw_rxclk : std_logic_vector(0 to CFG_SPW_NUM-1); signal dtmp : std_logic_vector(0 to CFG_SPW_NUM-1); signal stmp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_rxtxclk : std_ulogic; signal spw_rxclkn : std_ulogic; signal spw_clkl : std_logic; signal stati : ahbstat_in_type; signal ethi, ethi1, ethi2 : eth_in_type; signal etho, etho1, etho2 : eth_out_type; signal gpti : gptimer_in_type; signal gpto : gptimer_out_type; signal wdog : std_logic; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal can_lrx, can_ltx : std_logic_vector(0 to 7); signal lclk, pci_lclk : std_logic; signal pci_arb_req_n, pci_arb_gnt_n : std_logic_vector(0 to 3); signal pci_dirq : std_logic_vector(3 downto 0); signal tck, tms, tdi, tdo : std_logic; signal fpi : grfpu_in_vector_type; signal fpo : grfpu_out_vector_type; constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz constant IOAEN : integer := CFG_CAN + CFG_PCI + CFG_GRPCI2_MASTER; constant CFG_SDEN : integer := CFG_MCTRL_SDEN; constant CFG_INVCLK : integer := CFG_MCTRL_INVCLK; constant OEPOL : integer := padoen_polarity(padtech); ---------------------------------------------------------------------- --- FIR component declaration -------------------------------------- ---------------------------------------------------------------------- component fir_ahb_dma_apb is generic ( hindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; technology : integer := virtex4); port ( clk : in std_logic; rstn : in std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbin : in ahb_mst_in_type; ahbout : out ahb_mst_out_type; rm_reset: in std_logic ); end component; signal rm_reset : std_logic_vector(31 downto 0); begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; pllref_pad : clkpad generic map (tech => padtech) port map (pllref, cgi.pllref); clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk); pci_clk_pad : clkpad generic map (tech => padtech, level => pci33) port map (pci_clk, pci_lclk); clkgen0 : clkgen -- clock generator generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN, CFG_INVCLK, CFG_PCI+CFG_GRPCI2_MASTER+CFG_GRPCI2_TARGET, CFG_PCIDLL, CFG_PCISYSCLK, BOARD_FREQ) port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo); sdclk_pad : outpad generic map (tech => padtech) port map (sdclk, sdclkl); rst0 : rstgen -- reset generator port map (resetn, clkm, cgo.clklock, rstn, rstraw); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+CFG_SPW_NUM+2*CFG_PRC, -- CFG_PRC if FIR core not included, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- cpu : for i in 0 to CFG_NCPU-1 generate nosh : if CFG_GRFPUSH = 0 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; end generate; sh : if CFG_GRFPUSH = 1 generate cpu : for i in 0 to CFG_NCPU-1 generate u0 : leon3sh -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1, 0, 0, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i)); end generate; grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech) port map (clkm, rstn, fpi, fpo); end generate; errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0: ahbuart -- Debug UART generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd); end generate; -- nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- memi.edac <= gpioo.val(2); memi.bwidth <= gpioo.val(1 downto 0); mctrl0 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0, srbanks => 4, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS, oepol => OEPOL, sdbits => 32 + 32*CFG_MCTRL_SD64, pageburst => CFG_MCTRL_PAGE) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo); addr_pad : outpadv generic map (width => 28, tech => padtech) port map (address, memo.address(27 downto 0)); rams_pad : outpadv generic map (width => 5, tech => padtech) port map (ramsn, memo.ramsn(4 downto 0)); roms_pad : outpadv generic map (width => 2, tech => padtech) port map (romsn, memo.romsn(1 downto 0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); rwen_pad : outpadv generic map (width => 4, tech => padtech) port map (rwen, memo.wrn); roen_pad : outpadv generic map (width => 5, tech => padtech) port map (ramoen, memo.ramoen(4 downto 0)); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); read_pad : outpad generic map (tech => padtech) port map (read, memo.read); iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); data_pad : iopadvv generic map (tech => padtech, width => 32, oepol => OEPOL) port map (data, memo.data, memo.vbdrive, memi.data); brdyn_pad : inpad generic map (tech => padtech) port map (brdyn, memi.brdyn); bexcn_pad : inpad generic map (tech => padtech) port map (bexcn, memi.bexcn); memi.writen <= '1'; memi.wrn <= "1111"; sdpads : if CFG_MCTRL_SDEN = 1 generate -- SDRAM controller sd2 : if CFG_MCTRL_SEPBUS = 1 generate sa_pad : outpadv generic map (width => 15) port map (sa, memo.sa); sd_pad : iopadvv generic map (tech => padtech, width => 32, oepol => OEPOL) port map (sd(31 downto 0), memo.sddata(31 downto 0), memo.svbdrive(31 downto 0), memi.sd(31 downto 0)); sd2 : if CFG_MCTRL_SD64 = 1 generate sd_pad2 : iopadvv generic map (tech => padtech, width => 32) port map (sd(63 downto 32), memo.data(31 downto 0), memo.svbdrive(63 downto 32), memi.sd(63 downto 32)); end generate; end generate; sdwen_pad : outpad generic map (tech => padtech) port map (sdwen, sdo.sdwen); sdras_pad : outpad generic map (tech => padtech) port map (sdrasn, sdo.rasn); sdcas_pad : outpad generic map (tech => padtech) port map (sdcasn, sdo.casn); sddqm_pad : outpadv generic map (width => 8, tech => padtech) port map (sddqm, sdo.dqm); sdcke_pad : outpadv generic map (width => 2, tech => padtech) port map (sdcke, sdo.sdcke); sdcsn_pad : outpadv generic map (width => 2, tech => padtech) port map (sdcsn, sdo.sdcsn); end generate; end generate; nosd0 : if (CFG_SDEN = 0) generate -- no SDRAM controller sdcke_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcke, vcc(1 downto 0)); sdcsn_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcsn, vcc(1 downto 0)); end generate; mg0 : if CFG_MCTRL_LEON2 = 0 generate -- No PROM/SRAM controller apbo(0) <= apb_none; ahbso(0) <= ahbs_none; rams_pad : outpadv generic map (width => 5, tech => padtech) port map (ramsn, vcc); roms_pad : outpadv generic map (width => 2, tech => padtech) port map (romsn, vcc(1 downto 0)); end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; ua2 : if CFG_UART2_ENABLE /= 0 generate uart2 : apbuart -- UART 2 generic map (pindex => 9, paddr => 9, pirq => 3, fifosize => CFG_UART2_FIFO) port map (rstn, clkm, apbi, apbo(9), u2i, u2o); u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd; end generate; noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to CFG_NCPU-1 generate irqi(i).irl <= "0000"; end generate; -- apbo(2) <= apb_none; end generate; pci_dirq(3 downto 1) <= (others => '0'); pci_dirq(0) <= orv(irqi(0).irl); gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, gpto); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; wdog <= gpto.wdogn when OEPOL = 0 else gpto.wdog; wdogn_pad : odpad generic map (tech => padtech, oepol => OEPOL) port map (wdogn, wdog); end generate; -- notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO unit grgpio0: grgpio generic map( pindex => 6, paddr => 6, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH) port map( rstn, clkm, apbi, apbo(6), gpioi, gpioo); pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register stati.cerror(0) <= memo.ce; ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 1, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); end generate; nop2 : if CFG_AHBSTAT = 0 generate apbo(15) <= apb_none; end generate; ----------------------------------------------------------------------- --- PCI ------------------------------------------------------------ ----------------------------------------------------------------------- pci : if (CFG_GRPCI2_MASTER+CFG_GRPCI2_TARGET) /= 0 or CFG_PCI /= 0 generate grpci2x : if (CFG_GRPCI2_MASTER+CFG_GRPCI2_TARGET) /= 0 and (CFG_PCI+CFG_GRPCI2_DMA) = 0 generate pci0 : grpci2 generic map ( memtech => memtech, oepol => OEPOL, hmindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, hdmindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1, hsindex => 4, haddr => 16#C00#, hmask => 16#E00#, ioaddr => 16#000#, pindex => 4, paddr => 4, irq => 4, irqmode => 0, master => CFG_GRPCI2_MASTER, target => CFG_GRPCI2_TARGET, dma => CFG_GRPCI2_DMA, tracebuffer => CFG_GRPCI2_TRACE, vendorid => CFG_GRPCI2_VID, deviceid => CFG_GRPCI2_DID, classcode => CFG_GRPCI2_CLASS, revisionid => CFG_GRPCI2_RID, cap_pointer => CFG_GRPCI2_CAP, ext_cap_pointer => CFG_GRPCI2_NCAP, iobase => CFG_AHBIO, extcfg => CFG_GRPCI2_EXTCFG, bar0 => CFG_GRPCI2_BAR0, bar1 => CFG_GRPCI2_BAR1, bar2 => CFG_GRPCI2_BAR2, bar3 => CFG_GRPCI2_BAR3, bar4 => CFG_GRPCI2_BAR4, bar5 => CFG_GRPCI2_BAR5, fifo_depth => CFG_GRPCI2_FDEPTH, fifo_count => CFG_GRPCI2_FCOUNT, conv_endian => CFG_GRPCI2_ENDIAN, deviceirq => CFG_GRPCI2_DEVINT, deviceirqmask => CFG_GRPCI2_DEVINTMSK, hostirq => CFG_GRPCI2_HOSTINT, hostirqmask => CFG_GRPCI2_HOSTINTMSK, nsync => 2, hostrst => 1, bypass => CFG_GRPCI2_BYPASS, debug => 0, tbapben => 0, tbpindex => 5, tbpaddr => 16#400#, tbpmask => 16#C00# ) port map ( rstn, clkm, pciclk, pci_dirq, pcii, pcio, apbi, apbo(4), ahbsi, ahbso(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbmi, open, open, open, open, open); end generate; grpci2xd : if (CFG_GRPCI2_MASTER+CFG_GRPCI2_TARGET) /= 0 and CFG_PCI = 0 and CFG_GRPCI2_DMA /= 0 generate pci0 : grpci2 generic map ( memtech => memtech, oepol => OEPOL, hmindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, hdmindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1, hsindex => 4, haddr => 16#C00#, hmask => 16#E00#, ioaddr => 16#000#, pindex => 4, paddr => 4, irq => 4, irqmode => 0, master => CFG_GRPCI2_MASTER, target => CFG_GRPCI2_TARGET, dma => CFG_GRPCI2_DMA, tracebuffer => CFG_GRPCI2_TRACE, vendorid => CFG_GRPCI2_VID, deviceid => CFG_GRPCI2_DID, classcode => CFG_GRPCI2_CLASS, revisionid => CFG_GRPCI2_RID, cap_pointer => CFG_GRPCI2_CAP, ext_cap_pointer => CFG_GRPCI2_NCAP, iobase => CFG_AHBIO, extcfg => CFG_GRPCI2_EXTCFG, bar0 => CFG_GRPCI2_BAR0, bar1 => CFG_GRPCI2_BAR1, bar2 => CFG_GRPCI2_BAR2, bar3 => CFG_GRPCI2_BAR3, bar4 => CFG_GRPCI2_BAR4, bar5 => CFG_GRPCI2_BAR5, fifo_depth => CFG_GRPCI2_FDEPTH, fifo_count => CFG_GRPCI2_FCOUNT, conv_endian => CFG_GRPCI2_ENDIAN, deviceirq => CFG_GRPCI2_DEVINT, deviceirqmask => CFG_GRPCI2_DEVINTMSK, hostirq => CFG_GRPCI2_HOSTINT, hostirqmask => CFG_GRPCI2_HOSTINTMSK, nsync => 2, hostrst => 1, bypass => CFG_GRPCI2_BYPASS, debug => 0, tbapben => 0, tbpindex => 5, tbpaddr => 16#400#, tbpmask => 16#C00# ) port map ( rstn, clkm, pciclk, pci_dirq, pcii, pcio, apbi, apbo(4), ahbsi, ahbso(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1), open, open, open, open); end generate; grpci1x : if (CFG_GRPCI2_MASTER+CFG_GRPCI2_TARGET) = 0 and CFG_PCI /= 0 generate pci_gr0 : if CFG_PCI = 1 generate -- simple target-only pci0 : pci_target generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, device_id => CFG_PCIDID, vendor_id => CFG_PCIVID) port map (rstn, clkm, pciclk, pcii, pcio, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG)); end generate; pci_mtf0 : if CFG_PCI = 2 generate -- master/target with fifo pci0 : pci_mtf generic map (memtech => memtech, hmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID, hslvndx => 4, pindex => 4, paddr => 4, haddr => 16#E00#, ioaddr => 16#400#, nsync => 2, hostrst => 1) port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4)); end generate; pci_mtf1 : if CFG_PCI = 3 generate -- master/target with fifo and DMA dma : pcidma generic map (memtech => memtech, dmstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1, dapbndx => 5, dapbaddr => 5, blength => blength, mstndx => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, fifodepth => log2(fifodepth), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID, slvndx => 4, apbndx => 4, apbaddr => 4, haddr => 16#E00#, ioaddr => 16#800#, nsync => 2, hostrst => 1) port map (rstn, clkm, pciclk, pcii, pcio, apbo(5), ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1), apbi, apbo(4), ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), ahbsi, ahbso(4)); end generate; end generate; pci_trc0 : if CFG_PCITBUFEN /= 0 generate -- PCI trace buffer pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)), memtech => memtech, pindex => 13, paddr => 16#100#, pmask => 16#f00#) port map ( rstn, clkm, pciclk, pcii, apbi, apbo(13)); end generate; pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter pciarb0 : pciarb generic map (pindex => 8, paddr => 8, apb_en => CFG_PCI_ARBAPB) port map ( clk => pciclk, rst_n => pcii.rst, req_n => pci_arb_req_n, frame_n => pcii.frame, gnt_n => pci_arb_gnt_n, pclk => clkm, prst_n => rstn, apbi => apbi, apbo => apbo(8) ); pgnt_pad : outpadv generic map (tech => padtech, width => 4) port map (pci_arb_gnt, pci_arb_gnt_n); preq_pad : inpadv generic map (tech => padtech, width => 4) port map (pci_arb_req, pci_arb_req_n); end generate; pcipads0 : pcipads generic map (padtech => padtech, host => 1, oepol => OEPOL, noreset => 0, drivereset => 0, int => 10) -- PCI pads port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio, pci_int); end generate; -- nop1 : if CFG_PCI <= 1 generate apbo(4) <= apb_none; end generate; -- nop2 : if CFG_PCI <= 2 generate apbo(5) <= apb_none; end generate; -- nop3 : if CFG_PCI <= 1 generate ahbso(4) <= ahbs_none; end generate; -- notrc : if CFG_PCITBUFEN = 0 generate apbo(8) <= apb_none; end generate; -- noarb : if CFG_PCI_ARB = 0 generate apbo(10) <= apb_none; end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG, pindex => 14, paddr => 14, pirq => 12, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG), apbi => apbi, apbo => apbo(14), ethi => ethi, etho => etho); emdio_pad : iopad generic map (tech => padtech) port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : inpad generic map (tech => padtech) port map (etx_clk, ethi.tx_clk); erxc_pad : inpad generic map (tech => padtech) port map (erx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 4) port map (erxd, ethi.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (erx_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (erx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (erx_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (erx_crs, ethi.rx_crs); etxd_pad : outpadv generic map (tech => padtech, width => 4) port map (etxd, etho.txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map ( etx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (etx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (emdc, etho.mdc); -- emdis_pad : outpad generic map (tech => padtech) -- port map (emddis, vcc(0)); -- eepwrdwn_pad : outpad generic map (tech => padtech) -- port map (epwrdwn, gnd(0)); -- esleep_pad : outpad generic map (tech => padtech) -- port map (esleep, gnd(0)); -- epause_pad : outpad generic map (tech => padtech) -- port map (epause, gnd(0)); -- ereset_pad : outpad generic map (tech => padtech) -- port map (ereset, gnd(0)); end generate; ----------------------------------------------------------------------- --- CAN -------------------------------------------------------------- ----------------------------------------------------------------------- can0 : if CFG_CAN = 1 generate can0 : can_mc generic map (slvndx => 6, ioaddr => CFG_CANIO, iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech, ncores => CFG_CAN_NUM, sepirq => CFG_CANSEPIRQ) port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx ); can_pads : for i in 0 to CFG_CAN_NUM-1 generate can_tx_pad : outpad generic map (tech => padtech) port map (can_txd(i), can_ltx(i)); can_rx_pad : inpad generic map (tech => padtech) port map (can_rxd(i), can_lrx(i)); end generate; end generate; -- can_stb <= '0'; -- no standby ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- -- ocram : if CFG_AHBRAMEN = 1 generate -- ahbram0 : ftahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, -- tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pindex => 6, -- paddr => 6, edacen => CFG_AHBRAEDAC, autoscrub => CFG_AHBRASCRU, -- errcnten => CFG_AHBRAECNT, cntbits => CFG_AHBRAEBIT) -- port map ( rstn, clkm, ahbsi, ahbso(7), apbi, apbo(6), open); -- end generate; -- -- nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- SPACEWIRE ------------------------------------------------------- ----------------------------------------------------------------------- spw : if CFG_SPW_EN > 0 generate spw_clk_pad : clkpad generic map (tech => padtech) port map (spw_clk, spw_clkl); -- spw_clkl <= pciclk; spw_rxtxclk <= spw_clkl; spw_rxclkn <= not spw_rxtxclk; swloop : for i in 0 to CFG_SPW_NUM-1 generate -- GRSPW2 PHY spw2_input : if CFG_SPW_GRSPW = 2 generate spw_phy0 : grspw2_phy generic map( scantest => 0, tech => fabtech, input_type => CFG_SPW_INPUT, rxclkbuftype => 1) port map( rstn => rstn, rxclki => spw_rxtxclk, rxclkin => spw_rxclkn, nrxclki => spw_rxtxclk, di => dtmp(i), si => stmp(i), do => spwi(i).d(1 downto 0), dov => spwi(i).dv(1 downto 0), dconnect => spwi(i).dconnect(1 downto 0), rxclko => spw_rxclk(i)); spwi(i).nd <= (others => '0'); -- Only used in GRSPW spwi(i).dv(3 downto 2) <= "00"; -- For second port end generate spw2_input; -- GRSPW PHY spw1_input: if CFG_SPW_GRSPW = 1 generate spw_phy0 : grspw_phy generic map( tech => fabtech, rxclkbuftype => 1, scantest => 0) port map( rxrst => spwo(i).rxrst, di => dtmp(i), si => stmp(i), rxclko => spw_rxclk(i), do => spwi(i).d(0), ndo => spwi(i).nd(4 downto 0), dconnect => spwi(i).dconnect(1 downto 0)); spwi(i).d(1) <= '0'; spwi(i).dv <= (others => '0'); -- Only used in GRSPW2 spwi(i).nd(9 downto 5) <= "00000"; -- For second port end generate spw1_input; spwi(i).d(3 downto 2) <= "00"; -- For second port spwi(i).dconnect(3 downto 2) <= "00"; -- For second port spwi(i).s(1 downto 0) <= "00"; -- Only used in PHY sw0 : grspwm generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+i, pindex => 10+i, paddr => 10+i, pirq => 5+i, sysfreq => CPU_FREQ, nsync => 1, rmap => CFG_SPW_RMAP, rmapcrc => CFG_SPW_RMAPCRC, fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 1, memtech => memtech, rmapbufs => CFG_SPW_RMAPBUF,ft => CFG_SPW_FT, ports => 1, dmachan => CFG_SPW_DMACHAN, netlist => CFG_SPW_NETLIST, spwcore => CFG_SPW_GRSPW, input_type => CFG_SPW_INPUT, output_type => CFG_SPW_OUTPUT, rxtx_sameclk => CFG_SPW_RTSAME, rxunaligned => CFG_SPW_RXUNAL) port map(rstn, clkm, spw_rxclk(i), spw_rxclk(i), spw_rxtxclk, spw_rxtxclk, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+i), apbi, apbo(10+i), spwi(i), spwo(i)); spwi(i).tickin <= '0'; spwi(i).rmapen <= '0'; spwi(i).clkdiv10 <= conv_std_logic_vector(CPU_FREQ/10000-1, 8); spwi(i).dcrstval <= (others => '0'); spwi(i).timerrstval <= (others => '0'); spw_rxd_pad : inpad_ds generic map (padtech, lvds, x25v) port map (spw_rxdp(i), spw_rxdn(i), dtmp(i)); spw_rxs_pad : inpad_ds generic map (padtech, lvds, x25v) port map (spw_rxsp(i), spw_rxsn(i), stmp(i)); spw_txd_pad : outpad_ds generic map (padtech, lvds, x25v) port map (spw_txdp(i), spw_txdn(i), spwo(i).d(0), gnd(0)); spw_txs_pad : outpad_ds generic map (padtech, lvds, x25v) port map (spw_txsp(i), spw_txsn(i), spwo(i).s(0), gnd(0)); end generate; end generate; ----------------------------------------------------------------------- --- DYNAMIC PARTIAL RECONFIGURATION --------------------------------- ----------------------------------------------------------------------- prc : if CFG_PRC = 1 generate p1 : dprc generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+CFG_SPW_NUM, pindex => 10+CFG_SPW_NUM, paddr => 10+CFG_SPW_NUM, technology => CFG_FABTECH, crc_en => CFG_CRC_EN, words_block => CFG_WORDS_BLOCK, fifo_dcm_inst => CFG_DCM_FIFO, fifo_depth => CFG_DPR_FIFO) port map(rstn => rstn, clkm => clkm, clkraw => lclk, clk100 => '0', ahbmi => ahbmi, ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH +CFG_SPW_NUM), apbi => apbi, apbo => apbo(10+CFG_SPW_NUM), rm_reset => rm_reset); -------------------------------------------------------------------- -- FIR component instantiation (for dprc demo) ------------------- -------------------------------------------------------------------- fir_ex : FIR_AHB_DMA_APB generic map (hindex=>CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH+CFG_SPW_NUM+CFG_PRC, pindex=>10+CFG_SPW_NUM+1, paddr=>10+CFG_SPW_NUM+1, pmask=>16#fff#, technology =>CFG_FABTECH) port map (rstn=>rstn, clk=>clkm, apbi=>apbi, apbo=>apbo(10+CFG_SPW_NUM+1), ahbin=>ahbmi, ahbout=>ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRPCI2_TARGET+CFG_GRPCI2_DMA+log2x(CFG_PCI)+CFG_AHB_JTAG+CFG_GRETH +CFG_SPW_NUM+CFG_PRC), rm_reset => rm_reset(0)); end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- -- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+log2x(CFG_PCI)+CFG_AHB_JTAG) to NAHBMST-1 generate -- ahbmo(i) <= ahbm_none; -- end generate; -- nam2 : if CFG_PCI > 1 generate -- ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+log2x(CFG_PCI)-1) <= ahbm_none; -- end generate; -- nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- apbo(6) <= apb_none; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3FT GR-CPCI-XC4V Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
01f7617dff09dc12bc7a5835e0419a55
0.557981
3.404949
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/leon3v3/leon3cg.vhd
1
6,829
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: leon3cg -- File: leon3cg.vhd -- Author: Jan Andersson, Aeroflex Gaisler -- Description: Top-level LEON3 component with clock gating ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.leon3.all; entity leon3cg is generic ( hindex : integer := 0; fabtech : integer range 0 to NTECH := DEFFABTECH; memtech : integer range 0 to NTECH := DEFMEMTECH; nwindows : integer range 2 to 32 := 8; dsu : integer range 0 to 1 := 0; fpu : integer range 0 to 31 := 0; v8 : integer range 0 to 63 := 0; cp : integer range 0 to 1 := 0; mac : integer range 0 to 1 := 0; pclow : integer range 0 to 2 := 2; notag : integer range 0 to 1 := 0; nwp : integer range 0 to 4 := 0; icen : integer range 0 to 1 := 0; irepl : integer range 0 to 3 := 2; isets : integer range 1 to 4 := 1; ilinesize : integer range 4 to 8 := 4; isetsize : integer range 1 to 256 := 1; isetlock : integer range 0 to 1 := 0; dcen : integer range 0 to 1 := 0; drepl : integer range 0 to 3 := 2; dsets : integer range 1 to 4 := 1; dlinesize : integer range 4 to 8 := 4; dsetsize : integer range 1 to 256 := 1; dsetlock : integer range 0 to 1 := 0; dsnoop : integer range 0 to 6 := 0; ilram : integer range 0 to 1 := 0; ilramsize : integer range 1 to 512 := 1; ilramstart : integer range 0 to 255 := 16#8e#; dlram : integer range 0 to 1 := 0; dlramsize : integer range 1 to 512 := 1; dlramstart : integer range 0 to 255 := 16#8f#; mmuen : integer range 0 to 1 := 0; itlbnum : integer range 2 to 64 := 8; dtlbnum : integer range 2 to 64 := 8; tlb_type : integer range 0 to 3 := 1; tlb_rep : integer range 0 to 1 := 0; lddel : integer range 1 to 2 := 2; disas : integer range 0 to 2 := 0; tbuf : integer range 0 to 128 := 0; pwd : integer range 0 to 2 := 2; -- power-down svt : integer range 0 to 1 := 1; -- single vector trapping rstaddr : integer := 0; smp : integer range 0 to 15 := 0; -- support SMP systems cached : integer := 0; -- cacheability table scantest : integer := 0; mmupgsz : integer range 0 to 5 := 0; bp : integer := 1; npasi : integer range 0 to 1 := 0; pwrpsr : integer range 0 to 1 := 0 ); port ( clk : in std_ulogic; -- AHB clock (free-running) rstn : in std_ulogic; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : in ahb_slv_out_vector; irqi : in l3_irq_in_type; irqo : out l3_irq_out_type; dbgi : in l3_debug_in_type; dbgo : out l3_debug_out_type; gclk : in std_ulogic -- gated clock ); end; architecture rtl of leon3cg is signal gnd, vcc : std_logic; signal fpuo : grfpu_out_type; begin gnd <= '0'; vcc <= '1'; fpuo <= grfpu_out_none; leon3x0 : leon3x generic map ( hindex => hindex, fabtech => fabtech, memtech => memtech, nwindows => nwindows, dsu => dsu, fpu => fpu, v8 => v8, cp => cp, mac => mac, pclow => pclow, notag => notag, nwp => nwp, icen => icen, irepl => irepl, isets => isets, ilinesize => ilinesize, isetsize => isetsize, isetlock => isetlock, dcen => dcen, drepl => drepl, dsets => dsets, dlinesize => dlinesize, dsetsize => dsetsize, dsetlock => dsetlock, dsnoop => dsnoop, ilram => ilram, ilramsize => ilramsize, ilramstart => ilramstart, dlram => dlram, dlramsize => dlramsize, dlramstart => dlramstart, mmuen => mmuen, itlbnum => itlbnum, dtlbnum => dtlbnum, tlb_type => tlb_type, tlb_rep => tlb_rep, lddel => lddel, disas => disas, tbuf => tbuf, pwd => pwd, svt => svt, rstaddr => rstaddr, smp => smp, iuft => 0, fpft => 0, cmft => 0, iuinj => 0, ceinj => 0, cached => cached, clk2x => 0, netlist => 0, scantest => scantest, mmupgsz => mmupgsz, bp => bp, npasi => npasi, pwrpsr => pwrpsr) port map ( clk => gnd, gclk2 => gclk, gfclk2 => clk, clk2 => clk, rstn => rstn, ahbi => ahbi, ahbo => ahbo, ahbsi => ahbsi, ahbso => ahbso, irqi => irqi, irqo => irqo, dbgi => dbgi, dbgo => dbgo, fpui => open, fpuo => fpuo, clken => vcc ); end;
gpl-2.0
5ed255d6d8d02b10e10b544fe09fd320
0.470201
3.984247
false
false
false
false
aclytle/stopwatch-vhdl
stopwatch.vhd
1
7,557
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:26:55 09/19/2013 -- Design Name: -- Module Name: stopwatch - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity stopwatch is GENERIC ( clock_freq: INTEGER := 100000000 ); Port ( Led : out STD_LOGIC_VECTOR (3 downto 0); sw : in STD_LOGIC_VECTOR (7 downto 0); an : out STD_LOGIC_VECTOR (3 downto 0) := "0000"; seg : out STD_LOGIC_VECTOR (7 downto 0); btn : IN STD_LOGIC_VECTOR (3 downto 0); clk : in STD_LOGIC); end stopwatch; ARCHITECTURE Behavioral OF stopwatch IS -------------------------------GLOBAL SIGNALS------------------------------ SIGNAL millis : INTEGER := 0; SIGNAL t_millis : INTEGER := 0; SIGNAL h_millis : INTEGER := 0; SIGNAL secs : INTEGER := 0; SIGNAL t_secs : INTEGER := 0; SIGNAL ssd_millis: STD_LOGIC_VECTOR (7 DOWNTO 0) := "11111111"; SIGNAL ssd_t_millis: STD_LOGIC_VECTOR (7 DOWNTO 0) := "11111111"; SIGNAL ssd_h_millis: STD_LOGIC_VECTOR (7 DOWNTO 0) := "11111111"; SIGNAL ssd_secs: STD_LOGIC_VECTOR (7 DOWNTO 0) := "11111111"; SIGNAL led_t_secs: STD_LOGIC_VECTOR (3 DOWNTO 0) := "0000"; SIGNAL m_clk: STD_LOGIC := '0'; SIGNAL millis_divisor : INTEGER := clock_freq/1000; SIGNAL clk_counter :INTEGER := 0; SIGNAL counter : INTEGER := 0; SIGNAL en : STD_LOGIC := '1'; SIGNAL inc : STD_LOGIC := '0'; SIGNAL stop : STD_LOGIC := '0'; SIGNAL start : STD_LOGIC := '0'; SIGNAL rst : STD_LOGIC := '0'; SIGNAL incstate : INTEGER := 0; --------------------------------------------------------------------------- BEGIN --millis_divisor <= 1000; -- Debug purposes -------------------------------CLOCK DIVIDER------------------------------- PROCESS (clk) BEGIN IF (clk'EVENT and clk = '1') THEN IF (clk_counter = millis_divisor) THEN clk_counter <= 0; m_clk <= '1'; ELSE clk_counter <= clk_counter + 1; m_clk <= '0'; END IF; END IF; END PROCESS; -------------------------------------------------------------------------- -------------------------------COUNTERS----------------------------------- PROCESS (m_clk, en, rst) BEGIN IF (rst = '1') THEN millis <= 0; t_millis <= 0; h_millis <= 0; secs <= 0; t_secs <= 0; ELSIF(m_clk'EVENT and m_clk = '1') THEN IF (en = '1') THEN millis <= millis + 1; IF (millis = 9) THEN -- Why 9 and not 10? Ask someone about this. millis <= 0; t_millis <= t_millis + 1; IF (t_millis = 9) THEN t_millis <= 0; h_millis <= h_millis + 1; IF (h_millis = 9) THEN secs <= secs + 1; h_millis <= 0; IF (secs = 9) THEN t_secs <= t_secs + 1; secs <= 0; IF (t_secs = 15) THEN t_secs <= 0; -- Max count reached, reset t_secs END IF; END IF; END IF; END IF; END IF; ELSIF(inc = '1' AND (incstate = 0)) THEN -- Messy bit of code for inc button incstate <= incstate + 1; millis <= millis + 1; IF (millis = 9) THEN -- Why 9 and not 10? Ask someone about this. millis <= 0; t_millis <= t_millis + 1; IF (t_millis = 9) THEN t_millis <= 0; h_millis <= h_millis + 1; IF (h_millis = 9) THEN secs <= secs + 1; h_millis <= 0; IF (secs = 9) THEN t_secs <= t_secs + 1; secs <= 0; IF (t_secs = 15) THEN t_secs <= 0; -- Max count reached, reset t_secs END IF; END IF; END IF; END IF; END IF; ELSIF (inc = '1' AND (incstate > 0) AND (incstate < 30)) THEN incstate <= incstate + 1; ELSIF (inc = '0' AND (incstate > 0)) THEN incstate <= incstate - 1; END IF; END IF; END PROCESS; -------------------------------------------------------------------------- ------------------------------Decoders------------------------------------ WITH millis SELECT ssd_millis <= "11000000" when 0, "11111001" when 1, "10100100" when 2, "10110000" when 3, "10011001" when 4, "10010010" when 5, "10000010" when 6, "11111000" when 7, "10000000" when 8, "10010000" when 9, "10000110" WHEN OTHERS; WITH t_millis SELECT ssd_t_millis <= "11000000" when 0, "11111001" when 1, "10100100" when 2, "10110000" when 3, "10011001" when 4, "10010010" when 5, "10000010" when 6, "11111000" when 7, "10000000" when 8, "10010000" when 9, "10000110" WHEN OTHERS; WITH h_millis SELECT ssd_h_millis <= "11000000" when 0, "11111001" when 1, "10100100" when 2, "10110000" when 3, "10011001" when 4, "10010010" when 5, "10000010" when 6, "11111000" when 7, "10000000" when 8, "10010000" when 9, "10000110" WHEN OTHERS; WITH secs SELECT ssd_secs <= "11000000" when 0, "11111001" when 1, "10100100" when 2, "10110000" when 3, "10011001" when 4, "10010010" when 5, "10000010" when 6, "11111000" when 7, "10000000" when 8, "10010000" when 9, "10000110" WHEN OTHERS; WITH t_secs SELECT led_t_secs <= "0000" when 0, "0001" when 1, "0010" when 2, "0011" when 3, "0100" when 4, "0101" when 5, "0110" when 6, "0111" when 7, "1000" when 8, "1001" when 9, "1010" when 10, "1011" when 11, "1100" when 12, "1101" when 13, "1110" when 14, "1111" when 15, "1111" WHEN OTHERS; -------------------------------------------------------------------------- ------------------------------7SEG DRIVER--------------------------------- -- Start messy code from previous project -- PROCESS(clk) BEGIN an <= "1111"; IF (clk'EVENT AND clk='1') THEN counter <= counter + 1; IF(counter > 150 and counter < 200) THEN -- Display first digit seg <= ssd_secs AND "01111111"; an <= "0111"; ELSIF (counter > 250 and counter < 300) THEN -- Display second digit seg <= ssd_h_millis; an <= "1011"; ELSIF (counter > 350 and counter < 400) THEN -- Display third digit seg <= ssd_t_millis; an <= "1101"; ELSIF (counter > 450 and counter < 500) THEN -- Display fourth digit seg <= ssd_millis; an <= "1110"; ELSIF (counter >499) THEN counter <= 1; ELSE an <= "1111"; seg <= "11111111"; END IF; END IF; END PROCESS; -------------------------------------------------------------------------- -------------------------------INPUT LOGIC-------------------------------- PROCESS (clk) BEGIN IF (clk'EVENT and clk = '1') THEN IF (start = '1' AND stop = '0') THEN en <= '1'; ELSIF (stop = '1' AND start = '0') THEN en <= '0'; ELSE en <= en; END IF; END IF; END PROCESS; -------------------------------------------------------------------------- ---------------------------COMBINATIONAL LOGIC---------------------------- Led <= led_t_secs; start <= btn(0); stop <= btn(1); inc <= btn(2); rst <= btn(3); -------------------------------------------------------------------------- END Behavioral;
mit
949f0a6d801e917cb7ecaa56d4fefe20
0.494376
3.456999
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-ml50x/grlib_config.vhd
1
2,828
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: config -- File: config.vhd -- Author: Jiri Gaisler, Gaisler Research -- Description: GRLIB Global configuration package. Can be overriden -- by local config packages in template designs. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config_types.all; package config is -- AHBDW - AHB data with -- -- Valid values are 32, 64, 128 and 256 -- -- The value here sets the width of the AMBA AHB data vectors for all -- cores in the library. -- constant CFG_AHBDW : integer := 64; -- CORE_ACDM - Enable AMBA Compliant Data Muxing in cores -- -- Valid values are 0 and 1 -- -- 0: All GRLIB cores that use the ahbread* programs defined in the AMBA package -- will read their data from the low part of the AHB data vector. -- -- 1: All GRLIB cores that use the ahbread* programs defined in the AMBA package -- will select valid data, as defined in the AMBA AHB standard, from the -- AHB data vectors based on the address input. If a core uses a function -- that does not have the address input, a failure will be asserted. -- constant CFG_AHB_ACDM : integer := 0; -- GRLIB_CONFIG_ARRAY - Array of configuration values -- -- The length of this array and the meaning of different positions is defined -- in the grlib.config_types package. constant GRLIB_CONFIG_ARRAY : grlib_config_array_type := ( grlib_debug_level => 0, grlib_debug_mask => 0, grlib_techmap_strict_ram => 0, others => 0); -- CFG_GRETH_SGMII_MODE: set to 0 to connect to the Ethernet PHY via GMII signals. -- Set to 1 to connect to the Ethernet PHY in SGMII mode through high-speed serial -- signals. constant CFG_GRETH_SGMII_MODE : integer := 0; end;
gpl-2.0
a095e714a89b8049901b078a860e0cdb
0.661598
4.122449
false
true
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/irqmp/irqmp.vhd
1
13,196
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: irqmp -- File: irqmp.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Multi-processor APB interrupt controller. Implements a -- two-level interrupt controller for 15 interrupts. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.leon3.all; entity irqmp is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; ncpu : integer := 1; eirq : integer := 0; irqmap : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; irqi : in irq_out_vector(0 to ncpu-1); irqo : out irq_in_vector(0 to ncpu-1) ); end; architecture rtl of irqmp is constant REVISION : integer := 3; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_IRQMP, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); function IMAP_HIGH return integer is begin if irqmap = 0 then return 0; elsif eirq /= 0 or irqmap = 2 then return 31; end if; return 15; end function IMAP_HIGH; constant IMAP_LOW : integer := 0; -- allow remap of irq line 0 function IMAP_LEN return integer is begin if irqmap = 0 then return 1; elsif eirq /= 0 then return 5; end if; return 4; end function IMAP_LEN; type mask_type is array (0 to ncpu-1) of std_logic_vector(15 downto 1); type mask2_type is array (0 to ncpu-1) of std_logic_vector(15 downto 0); type irl_type is array (0 to ncpu-1) of std_logic_vector(3 downto 0); type irl2_type is array (0 to ncpu-1) of std_logic_vector(4 downto 0); type irqmap_type is array (IMAP_LOW to (IMAP_HIGH)) of std_logic_vector(IMAP_LEN-1 downto 0); type reg_type is record imask : mask_type; ilevel : std_logic_vector(15 downto 1); ipend : std_logic_vector(15 downto 1); iforce : mask_type; ibroadcast : std_logic_vector(15 downto 1); irl : irl_type; cpurst : std_logic_vector(ncpu-1 downto 0); imap : irqmap_type; end record; type ereg_type is record imask : mask2_type; ipend : std_logic_vector(15 downto 0); irl : irl2_type; end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RRES : reg_type := ( imask => (others => (others => '0')), ilevel => (others => '0'), ipend => (others => '0'), iforce => (others => (others => '0')), ibroadcast => (others => '0'), irl => (others => (others => '0')), cpurst => (others => '0'), imap => (others => (others => '0'))); constant ERES : ereg_type := ( imask => (others => (others => '0')), ipend => (others => '0'), irl => (others => (others => '0'))); function prioritize(b : std_logic_vector(15 downto 0)) return std_logic_vector is variable a : std_logic_vector(15 downto 0); variable irl : std_logic_vector(3 downto 0); variable level : integer range 0 to 15; begin irl := "0000"; level := 0; a := b; for i in 15 downto 0 loop level := i; if a(i) = '1' then exit; end if; end loop; irl := conv_std_logic_vector(level, 4); return(irl); end; signal r, rin : reg_type; signal r2, r2in : ereg_type; begin comb : process(rst, r, r2, apbi, irqi) variable v : reg_type; variable temp : mask_type; variable prdata : std_logic_vector(31 downto 0); variable tmpirq : std_logic_vector(15 downto 0); variable tmpvar : std_logic_vector(15 downto 1); variable cpurun : std_logic_vector(ncpu-1 downto 0); variable v2 : ereg_type; variable irl2 : std_logic_vector(3 downto 0); variable ipend2 : std_logic_vector(ncpu-1 downto 0); variable temp2 : mask2_type; variable irq : std_logic_vector(NAHBIRQ-1 downto 0); begin v := r; v.cpurst := (others => '0'); cpurun := (others => '0'); cpurun(0) := '1'; tmpvar := (others => '0'); ipend2 := (others => '0'); v2 := r2; -- prioritize interrupts if eirq /= 0 then for i in 0 to ncpu-1 loop temp2(i) := r2.ipend and r2.imask(i); ipend2(i) := orv(temp2(i)); end loop; end if; for i in 0 to ncpu-1 loop temp(i) := ((r.iforce(i) or r.ipend) and r.imask(i)); if eirq /= 0 then temp(i)(eirq) := temp(i)(eirq) or ipend2(i); end if; v.irl(i) := prioritize((temp(i) and r.ilevel) & '0'); if v.irl(i) = "0000" then if eirq /= 0 then temp(i)(eirq) := temp(i)(eirq) or ipend2(i); end if; v.irl(i) := prioritize((temp(i) and not r.ilevel) & '0'); end if; end loop; -- register read prdata := (others => '0'); case apbi.paddr(7 downto 6) is when "00" => case apbi.paddr(4 downto 2) is when "000" => prdata(15 downto 1) := r.ilevel; when "001" => prdata(15 downto 1) := r.ipend; if eirq /= 0 then prdata(31 downto 16) := r2.ipend; end if; when "010" => prdata(15 downto 1) := r.iforce(0); when "011" => when "100" | "101" => prdata(31 downto 28) := conv_std_logic_vector(ncpu-1, 4); prdata(19 downto 16) := conv_std_logic_vector(eirq, 4); for i in 0 to ncpu -1 loop prdata(i) := irqi(i).pwd; end loop; if ncpu > 1 then prdata(27) := '1'; case apbi.paddr(4 downto 2) is when "101" => prdata := (others => '0'); prdata(15 downto 1) := r.ibroadcast; when others => end case; end if; when others => end case; when "01" => for i in 0 to ncpu-1 loop if i = conv_integer( apbi.paddr(5 downto 2)) then prdata(15 downto 1) := r.imask(i); if eirq /= 0 then prdata(31 downto 16) := r2.imask(i); end if; end if; end loop; when "10" => for i in 0 to ncpu-1 loop if i = conv_integer( apbi.paddr(5 downto 2)) then prdata(15 downto 1) := r.iforce(i); end if; end loop; when "11" => if eirq /= 0 then for i in 0 to ncpu-1 loop if i = conv_integer( apbi.paddr(5 downto 2)) then prdata(4 downto 0) := r2.irl(i); end if; end loop; end if; when others => end case; -- register write if ((apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' and (irqmap = 0 or apbi.paddr(9) = '0')) then case apbi.paddr(7 downto 6) is when "00" => case apbi.paddr(4 downto 2) is when "000" => v.ilevel := apbi.pwdata(15 downto 1); when "001" => v.ipend := apbi.pwdata(15 downto 1); if eirq /= 0 then v2.ipend := apbi.pwdata(31 downto 16); end if; when "010" => v.iforce(0) := apbi.pwdata(15 downto 1); when "011" => v.ipend := r.ipend and not apbi.pwdata(15 downto 1); if eirq /= 0 then v2.ipend := r2.ipend and not apbi.pwdata(31 downto 16); end if; when "100" => for i in 0 to ncpu -1 loop v.cpurst(i) := apbi.pwdata(i); end loop; when others => if ncpu > 1 then case apbi.paddr(4 downto 2) is when "101" => v.ibroadcast := apbi.pwdata(15 downto 1); when others => end case; end if; end case; when "01" => for i in 0 to ncpu-1 loop if i = conv_integer( apbi.paddr(5 downto 2)) then v.imask(i) := apbi.pwdata(15 downto 1); if eirq /= 0 then v2.imask(i) := apbi.pwdata(31 downto 16); end if; end if; end loop; when "10" => for i in 0 to ncpu-1 loop if i = conv_integer( apbi.paddr(5 downto 2)) then v.iforce(i) := (r.iforce(i) or apbi.pwdata(15 downto 1)) and not apbi.pwdata(31 downto 17); end if; end loop; when others => end case; end if; -- optionally remap interrupts irq := (others => '0'); if irqmap /= 0 then if (apbi.psel(pindex) and apbi.penable and andv(apbi.paddr(9 downto 8))) = '1' then prdata := (others => '0'); for i in r.imap'range loop if i/4 = conv_integer(apbi.paddr(4 downto 2)) then prdata(IMAP_LEN-1+(24-(i mod 4)*8) downto (24-(i mod 4)*8)) := r.imap(i); if apbi.pwrite = '1' then v.imap(i) := apbi.pwdata(IMAP_LEN-1+(24-(i mod 4)*8) downto (24-(i mod 4)*8)); end if; end if; end loop; end if; for i in 0 to IMAP_HIGH loop if i > NAHBIRQ-1 then exit; end if; if apbi.pirq(i) = '1' then irq(conv_integer(r.imap(i))) := '1'; end if; end loop; else irq := apbi.pirq; v.imap := RRES.IMAP; end if; -- register new interrupts for i in 1 to 15 loop if i > NAHBIRQ-1 then exit; end if; if ncpu = 1 then v.ipend(i) := v.ipend(i) or irq(i); else v.ipend(i) := v.ipend(i) or (irq(i) and not r.ibroadcast(i)); for j in 0 to ncpu-1 loop tmpvar := v.iforce(j); tmpvar(i) := tmpvar(i) or (irq(i) and r.ibroadcast(i)); v.iforce(j) := tmpvar; end loop; end if; end loop; if eirq /= 0 then for i in 16 to 31 loop if i > NAHBIRQ-1 then exit; end if; v2.ipend(i-16) := v2.ipend(i-16) or irq(i); end loop; end if; -- interrupt acknowledge for i in 0 to ncpu-1 loop if irqi(i).intack = '1' then tmpirq := decode(irqi(i).irl); temp(i) := tmpirq(15 downto 1); v.iforce(i) := v.iforce(i) and not temp(i); v.ipend := v.ipend and not ((not r.iforce(i)) and temp(i)); if eirq /= 0 then if eirq = conv_integer(irqi(i).irl) then v2.irl(i) := orv(temp2(i)) & prioritize(temp2(i)); if v2.irl(i)(4) = '1' then v2.ipend(conv_integer(v2.irl(i)(3 downto 0))) := '0'; end if; end if; end if; end if; end loop; -- reset if (not RESET_ALL) and (rst = '0') then v.imask := RRES.imask; v.iforce := RRES.iforce; v.ipend := RRES.ipend; if ncpu > 1 then v.ibroadcast := RRES.ibroadcast; end if; if irqmap /= 0 then for i in r.imap'range loop v.imap(i) := conv_std_logic_vector(i, IMAP_LEN); end loop; end if; v2.ipend := ERES.ipend; v2.imask := ERES.imask; v2.irl := ERES.irl; end if; apbo.prdata <= prdata; for i in 0 to ncpu-1 loop irqo(i).irl <= r.irl(i); irqo(i).rst <= r.cpurst(i); irqo(i).run <= cpurun(i); irqo(i).rstvec <= (others => '0'); -- Alternate reset vector irqo(i).iact <= '0'; irqo(i).index <= conv_std_logic_vector(i, 4); irqo(i).hrdrst <= '0'; end loop; rin <= v; r2in <= v2; end process; apbo.pirq <= (others => '0'); apbo.pconfig <= pconfig; apbo.pindex <= pindex; regs : process(clk) begin if rising_edge(clk) then r <= rin; if RESET_ALL and (rst = '0') then r <= RRES; end if; end if; end process; dor2regs : if eirq /= 0 generate regs : process(clk) begin if rising_edge(clk) then r2 <= r2in; if RESET_ALL and (rst = '0') then r2 <= ERES; end if; end if; end process; end generate; nor2regs : if eirq = 0 generate -- r2 <= ((others => "0000000000000000"), "0000000000000000", (others => "00000")); r2.ipend <= (others => '0'); driveregs: for i in 0 to (ncpu-1) generate r2.imask(i) <= (others => '0'); r2.irl(i) <= (others => '0'); end generate driveregs; end generate; -- pragma translate_off bootmsg : report_version generic map ("irqmp" & ": Multi-processor Interrupt Controller rev " & tost(REVISION) & ", #cpu " & tost(NCPU) & ", eirq " & tost(eirq)); -- pragma translate_on -- pragma translate_off cproc : process begin assert (irqmap = 0) or (apb_membar_size(pmask) >= 1024) report "IRQMP: irqmap /= 0 requires pmask to give memory area >= 1024 bytes" severity failure; wait; end process; -- pragma translate_on end;
gpl-2.0
b118ba882812d80108e1500108ce36e6
0.563959
3.240668
false
false
false
false
Stederr/ESCOM
Arquitectura de Computadoras/Practica03_Oscilador/oscint00txt.vhd
1
967
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity oscint00 is port( osc_dis: in std_logic ; tmr_rst: in std_logic ; tmr_out: out std_logic ; osc_out: out std_logic ); attribute loc: string; attribute loc of osc_dis: signal is "p125"; attribute loc of tmr_rst: signal is "p110"; attribute loc of tmr_out: signal is "p58"; attribute loc of osc_out: signal is "p59"; end; architecture oscint0 of oscint00 is component osctimer generic(TIMER_DIV : string); port( DYNOSCDIS : in std_logic; TIMERRES : in std_logic; OSCOUT : out std_logic; TIMEROUT : out std_logic); end component; begin I1: OSCTIMER generic map (TIMER_DIV => "1048576") port map ( DYNOSCDIS => osc_dis, TIMERRES => tmr_rst, OSCOUT => osc_out, TIMEROUT => tmr_out); end oscint0;
apache-2.0
7395516e4a76f2618e3347ec7f8a2d90
0.604964
3.212625
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/boards/terasic-sockit/ddr3controller.vhd
1
43,576
-- megafunction wizard: %DDR3 SDRAM Controller with UniPHY v14.1% -- GENERATION: XML -- ddr3controller.vhd -- Generated using ACDS version 14.1 186 at 2015.02.11.15:25:43 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ddr3controller is port ( pll_ref_clk : in std_logic := '0'; -- pll_ref_clk.clk global_reset_n : in std_logic := '0'; -- global_reset.reset_n soft_reset_n : in std_logic := '0'; -- soft_reset.reset_n afi_clk : out std_logic; -- afi_clk.clk afi_half_clk : out std_logic; -- afi_half_clk.clk afi_reset_n : out std_logic; -- afi_reset.reset_n afi_reset_export_n : out std_logic; -- afi_reset_export.reset_n mem_a : out std_logic_vector(14 downto 0); -- memory.mem_a mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba mem_ck : out std_logic_vector(0 downto 0); -- .mem_ck mem_ck_n : out std_logic_vector(0 downto 0); -- .mem_ck_n mem_cke : out std_logic_vector(0 downto 0); -- .mem_cke mem_cs_n : out std_logic_vector(0 downto 0); -- .mem_cs_n mem_dm : out std_logic_vector(3 downto 0); -- .mem_dm mem_ras_n : out std_logic_vector(0 downto 0); -- .mem_ras_n mem_cas_n : out std_logic_vector(0 downto 0); -- .mem_cas_n mem_we_n : out std_logic_vector(0 downto 0); -- .mem_we_n mem_reset_n : out std_logic; -- .mem_reset_n mem_dq : inout std_logic_vector(31 downto 0) := (others => '0'); -- .mem_dq mem_dqs : inout std_logic_vector(3 downto 0) := (others => '0'); -- .mem_dqs mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => '0'); -- .mem_dqs_n mem_odt : out std_logic_vector(0 downto 0); -- .mem_odt avl_ready : out std_logic; -- avl.waitrequest_n avl_burstbegin : in std_logic := '0'; -- .beginbursttransfer avl_addr : in std_logic_vector(25 downto 0) := (others => '0'); -- .address avl_rdata_valid : out std_logic; -- .readdatavalid avl_rdata : out std_logic_vector(127 downto 0); -- .readdata avl_wdata : in std_logic_vector(127 downto 0) := (others => '0'); -- .writedata avl_be : in std_logic_vector(15 downto 0) := (others => '0'); -- .byteenable avl_read_req : in std_logic := '0'; -- .read avl_write_req : in std_logic := '0'; -- .write avl_size : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount local_init_done : out std_logic; -- status.local_init_done local_cal_success : out std_logic; -- .local_cal_success local_cal_fail : out std_logic; -- .local_cal_fail oct_rzqin : in std_logic := '0'; -- oct.rzqin pll_mem_clk : out std_logic; -- pll_sharing.pll_mem_clk pll_write_clk : out std_logic; -- .pll_write_clk pll_locked : out std_logic; -- .pll_locked pll_write_clk_pre_phy_clk : out std_logic; -- .pll_write_clk_pre_phy_clk pll_addr_cmd_clk : out std_logic; -- .pll_addr_cmd_clk pll_avl_clk : out std_logic; -- .pll_avl_clk pll_config_clk : out std_logic; -- .pll_config_clk pll_mem_phy_clk : out std_logic; -- .pll_mem_phy_clk afi_phy_clk : out std_logic; -- .afi_phy_clk pll_avl_phy_clk : out std_logic -- .pll_avl_phy_clk ); end entity ddr3controller; architecture rtl of ddr3controller is component ddr3controller_0002 is port ( pll_ref_clk : in std_logic := 'X'; -- clk global_reset_n : in std_logic := 'X'; -- reset_n soft_reset_n : in std_logic := 'X'; -- reset_n afi_clk : out std_logic; -- clk afi_half_clk : out std_logic; -- clk afi_reset_n : out std_logic; -- reset_n afi_reset_export_n : out std_logic; -- reset_n mem_a : out std_logic_vector(14 downto 0); -- mem_a mem_ba : out std_logic_vector(2 downto 0); -- mem_ba mem_ck : out std_logic_vector(0 downto 0); -- mem_ck mem_ck_n : out std_logic_vector(0 downto 0); -- mem_ck_n mem_cke : out std_logic_vector(0 downto 0); -- mem_cke mem_cs_n : out std_logic_vector(0 downto 0); -- mem_cs_n mem_dm : out std_logic_vector(3 downto 0); -- mem_dm mem_ras_n : out std_logic_vector(0 downto 0); -- mem_ras_n mem_cas_n : out std_logic_vector(0 downto 0); -- mem_cas_n mem_we_n : out std_logic_vector(0 downto 0); -- mem_we_n mem_reset_n : out std_logic; -- mem_reset_n mem_dq : inout std_logic_vector(31 downto 0) := (others => 'X'); -- mem_dq mem_dqs : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs mem_dqs_n : inout std_logic_vector(3 downto 0) := (others => 'X'); -- mem_dqs_n mem_odt : out std_logic_vector(0 downto 0); -- mem_odt avl_ready : out std_logic; -- waitrequest_n avl_burstbegin : in std_logic := 'X'; -- beginbursttransfer avl_addr : in std_logic_vector(25 downto 0) := (others => 'X'); -- address avl_rdata_valid : out std_logic; -- readdatavalid avl_rdata : out std_logic_vector(127 downto 0); -- readdata avl_wdata : in std_logic_vector(127 downto 0) := (others => 'X'); -- writedata avl_be : in std_logic_vector(15 downto 0) := (others => 'X'); -- byteenable avl_read_req : in std_logic := 'X'; -- read avl_write_req : in std_logic := 'X'; -- write avl_size : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount local_init_done : out std_logic; -- local_init_done local_cal_success : out std_logic; -- local_cal_success local_cal_fail : out std_logic; -- local_cal_fail oct_rzqin : in std_logic := 'X'; -- rzqin pll_mem_clk : out std_logic; -- pll_mem_clk pll_write_clk : out std_logic; -- pll_write_clk pll_locked : out std_logic; -- pll_locked pll_write_clk_pre_phy_clk : out std_logic; -- pll_write_clk_pre_phy_clk pll_addr_cmd_clk : out std_logic; -- pll_addr_cmd_clk pll_avl_clk : out std_logic; -- pll_avl_clk pll_config_clk : out std_logic; -- pll_config_clk pll_mem_phy_clk : out std_logic; -- pll_mem_phy_clk afi_phy_clk : out std_logic; -- afi_phy_clk pll_avl_phy_clk : out std_logic -- pll_avl_phy_clk ); end component ddr3controller_0002; begin ddr3controller_inst : component ddr3controller_0002 port map ( pll_ref_clk => pll_ref_clk, -- pll_ref_clk.clk global_reset_n => global_reset_n, -- global_reset.reset_n soft_reset_n => soft_reset_n, -- soft_reset.reset_n afi_clk => afi_clk, -- afi_clk.clk afi_half_clk => afi_half_clk, -- afi_half_clk.clk afi_reset_n => afi_reset_n, -- afi_reset.reset_n afi_reset_export_n => afi_reset_export_n, -- afi_reset_export.reset_n mem_a => mem_a, -- memory.mem_a mem_ba => mem_ba, -- .mem_ba mem_ck => mem_ck, -- .mem_ck mem_ck_n => mem_ck_n, -- .mem_ck_n mem_cke => mem_cke, -- .mem_cke mem_cs_n => mem_cs_n, -- .mem_cs_n mem_dm => mem_dm, -- .mem_dm mem_ras_n => mem_ras_n, -- .mem_ras_n mem_cas_n => mem_cas_n, -- .mem_cas_n mem_we_n => mem_we_n, -- .mem_we_n mem_reset_n => mem_reset_n, -- .mem_reset_n mem_dq => mem_dq, -- .mem_dq mem_dqs => mem_dqs, -- .mem_dqs mem_dqs_n => mem_dqs_n, -- .mem_dqs_n mem_odt => mem_odt, -- .mem_odt avl_ready => avl_ready, -- avl.waitrequest_n avl_burstbegin => avl_burstbegin, -- .beginbursttransfer avl_addr => avl_addr, -- .address avl_rdata_valid => avl_rdata_valid, -- .readdatavalid avl_rdata => avl_rdata, -- .readdata avl_wdata => avl_wdata, -- .writedata avl_be => avl_be, -- .byteenable avl_read_req => avl_read_req, -- .read avl_write_req => avl_write_req, -- .write avl_size => avl_size, -- .burstcount local_init_done => local_init_done, -- status.local_init_done local_cal_success => local_cal_success, -- .local_cal_success local_cal_fail => local_cal_fail, -- .local_cal_fail oct_rzqin => oct_rzqin, -- oct.rzqin pll_mem_clk => pll_mem_clk, -- pll_sharing.pll_mem_clk pll_write_clk => pll_write_clk, -- .pll_write_clk pll_locked => pll_locked, -- .pll_locked pll_write_clk_pre_phy_clk => pll_write_clk_pre_phy_clk, -- .pll_write_clk_pre_phy_clk pll_addr_cmd_clk => pll_addr_cmd_clk, -- .pll_addr_cmd_clk pll_avl_clk => pll_avl_clk, -- .pll_avl_clk pll_config_clk => pll_config_clk, -- .pll_config_clk pll_mem_phy_clk => pll_mem_phy_clk, -- .pll_mem_phy_clk afi_phy_clk => afi_phy_clk, -- .afi_phy_clk pll_avl_phy_clk => pll_avl_phy_clk -- .pll_avl_phy_clk ); end architecture rtl; -- of ddr3controller -- Retrieval info: <?xml version="1.0"?> --<!-- -- Generated by Altera MegaWizard Launcher Utility version 1.0 -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- ************************************************************ -- Copyright (C) 1991-2015 Altera Corporation -- Any megafunction design, and related net list (encrypted or decrypted), -- support information, device programming or simulation file, and any other -- associated documentation or information provided by Altera or a partner -- under Altera's Megafunction Partnership Program may be used only to -- program PLD devices (but not masked PLD devices) from Altera. Any other -- use of such megafunction design, net list, support information, device -- programming or simulation file, or any other related documentation or -- information is prohibited for any other purpose, including, but not -- limited to modification, reverse engineering, de-compiling, or use with -- any other silicon devices, unless such use is explicitly licensed under -- a separate agreement with Altera or a megafunction partner. Title to -- the intellectual property, including patents, copyrights, trademarks, -- trade secrets, or maskworks, embodied in any such megafunction design, -- net list, support information, device programming or simulation file, or -- any other related documentation or information provided by Altera or a -- megafunction partner, remains with Altera, the megafunction partner, or -- their respective licensors. No other licenses, including any licenses -- needed under any third party's intellectual property, are provided herein. ----> -- Retrieval info: <instance entity-name="altera_mem_if_ddr3_emif" version="14.1" > -- Retrieval info: <generic name="MEM_VENDOR" value="JEDEC" /> -- Retrieval info: <generic name="MEM_FORMAT" value="DISCRETE" /> -- Retrieval info: <generic name="RDIMM_CONFIG" value="0000000000000000" /> -- Retrieval info: <generic name="LRDIMM_EXTENDED_CONFIG" value="0x000000000000000000" /> -- Retrieval info: <generic name="DISCRETE_FLY_BY" value="true" /> -- Retrieval info: <generic name="DEVICE_DEPTH" value="1" /> -- Retrieval info: <generic name="MEM_MIRROR_ADDRESSING" value="0" /> -- Retrieval info: <generic name="MEM_CLK_FREQ_MAX" value="400.0" /> -- Retrieval info: <generic name="MEM_ROW_ADDR_WIDTH" value="15" /> -- Retrieval info: <generic name="MEM_COL_ADDR_WIDTH" value="10" /> -- Retrieval info: <generic name="MEM_DQ_WIDTH" value="32" /> -- Retrieval info: <generic name="MEM_DQ_PER_DQS" value="8" /> -- Retrieval info: <generic name="MEM_BANKADDR_WIDTH" value="3" /> -- Retrieval info: <generic name="MEM_IF_DM_PINS_EN" value="true" /> -- Retrieval info: <generic name="MEM_IF_DQSN_EN" value="true" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_DIMMS" value="1" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_RANKS_PER_DIMM" value="1" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_RANKS_PER_DEVICE" value="1" /> -- Retrieval info: <generic name="MEM_RANK_MULTIPLICATION_FACTOR" value="1" /> -- Retrieval info: <generic name="MEM_CK_WIDTH" value="1" /> -- Retrieval info: <generic name="MEM_CS_WIDTH" value="1" /> -- Retrieval info: <generic name="MEM_CLK_EN_WIDTH" value="1" /> -- Retrieval info: <generic name="ALTMEMPHY_COMPATIBLE_MODE" value="false" /> -- Retrieval info: <generic name="NEXTGEN" value="true" /> -- Retrieval info: <generic name="MEM_IF_BOARD_BASE_DELAY" value="10" /> -- Retrieval info: <generic name="MEM_IF_SIM_VALID_WINDOW" value="0" /> -- Retrieval info: <generic name="MEM_GUARANTEED_WRITE_INIT" value="false" /> -- Retrieval info: <generic name="MEM_VERBOSE" value="true" /> -- Retrieval info: <generic name="PINGPONGPHY_EN" value="false" /> -- Retrieval info: <generic name="DUPLICATE_AC" value="false" /> -- Retrieval info: <generic name="REFRESH_BURST_VALIDATION" value="false" /> -- Retrieval info: <generic name="AP_MODE_EN" value="0" /> -- Retrieval info: <generic name="AP_MODE" value="false" /> -- Retrieval info: <generic name="MEM_BL" value="OTF" /> -- Retrieval info: <generic name="MEM_BT" value="Sequential" /> -- Retrieval info: <generic name="MEM_ASR" value="Manual" /> -- Retrieval info: <generic name="MEM_SRT" value="Normal" /> -- Retrieval info: <generic name="MEM_PD" value="DLL off" /> -- Retrieval info: <generic name="MEM_DRV_STR" value="RZQ/7" /> -- Retrieval info: <generic name="MEM_DLL_EN" value="true" /> -- Retrieval info: <generic name="MEM_RTT_NOM" value="RZQ/6" /> -- Retrieval info: <generic name="MEM_RTT_WR" value="RZQ/4" /> -- Retrieval info: <generic name="MEM_WTCL" value="5" /> -- Retrieval info: <generic name="MEM_ATCL" value="Disabled" /> -- Retrieval info: <generic name="MEM_TCL" value="6" /> -- Retrieval info: <generic name="MEM_AUTO_LEVELING_MODE" value="true" /> -- Retrieval info: <generic name="MEM_USER_LEVELING_MODE" value="Leveling" /> -- Retrieval info: <generic name="MEM_INIT_EN" value="false" /> -- Retrieval info: <generic name="MEM_INIT_FILE" value="" /> -- Retrieval info: <generic name="DAT_DATA_WIDTH" value="32" /> -- Retrieval info: <generic name="TIMING_TIS" value="200" /> -- Retrieval info: <generic name="TIMING_TIH" value="275" /> -- Retrieval info: <generic name="TIMING_TDS" value="75" /> -- Retrieval info: <generic name="TIMING_TDH" value="150" /> -- Retrieval info: <generic name="TIMING_TDQSQ" value="200" /> -- Retrieval info: <generic name="TIMING_TQH" value="0.38" /> -- Retrieval info: <generic name="TIMING_TDQSCK" value="400" /> -- Retrieval info: <generic name="TIMING_TDQSCKDS" value="450" /> -- Retrieval info: <generic name="TIMING_TDQSCKDM" value="900" /> -- Retrieval info: <generic name="TIMING_TDQSCKDL" value="1200" /> -- Retrieval info: <generic name="TIMING_TDQSS" value="0.25" /> -- Retrieval info: <generic name="TIMING_TQSH" value="0.38" /> -- Retrieval info: <generic name="TIMING_TDSH" value="0.2" /> -- Retrieval info: <generic name="TIMING_TDSS" value="0.2" /> -- Retrieval info: <generic name="MEM_TINIT_US" value="500" /> -- Retrieval info: <generic name="MEM_TMRD_CK" value="4" /> -- Retrieval info: <generic name="MEM_TRAS_NS" value="37.5" /> -- Retrieval info: <generic name="MEM_TRCD_NS" value="15.0" /> -- Retrieval info: <generic name="MEM_TRP_NS" value="15.0" /> -- Retrieval info: <generic name="MEM_TREFI_US" value="7.8" /> -- Retrieval info: <generic name="MEM_TRFC_NS" value="300.0" /> -- Retrieval info: <generic name="CFG_TCCD_NS" value="2.5" /> -- Retrieval info: <generic name="MEM_TWR_NS" value="15.0" /> -- Retrieval info: <generic name="MEM_TWTR" value="4" /> -- Retrieval info: <generic name="MEM_TFAW_NS" value="50.0" /> -- Retrieval info: <generic name="MEM_TRRD_NS" value="13.2" /> -- Retrieval info: <generic name="MEM_TRTP_NS" value="13.2" /> -- Retrieval info: <generic name="RATE" value="Half" /> -- Retrieval info: <generic name="MEM_CLK_FREQ" value="300.0" /> -- Retrieval info: <generic name="USE_MEM_CLK_FREQ" value="false" /> -- Retrieval info: <generic name="FORCE_DQS_TRACKING" value="AUTO" /> -- Retrieval info: <generic name="FORCE_SHADOW_REGS" value="AUTO" /> -- Retrieval info: <generic name="MRS_MIRROR_PING_PONG_ATSO" value="false" /> -- Retrieval info: <generic name="SYS_INFO_DEVICE_FAMILY" value="Cyclone V" /> -- Retrieval info: <generic name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM" value="" /> -- Retrieval info: <generic name="DEVICE_FAMILY_PARAM" value="" /> -- Retrieval info: <generic name="SPEED_GRADE" value="6" /> -- Retrieval info: <generic name="IS_ES_DEVICE" value="false" /> -- Retrieval info: <generic name="DISABLE_CHILD_MESSAGING" value="false" /> -- Retrieval info: <generic name="HARD_EMIF" value="false" /> -- Retrieval info: <generic name="HHP_HPS" value="false" /> -- Retrieval info: <generic name="HHP_HPS_VERIFICATION" value="false" /> -- Retrieval info: <generic name="HHP_HPS_SIMULATION" value="false" /> -- Retrieval info: <generic name="HPS_PROTOCOL" value="DEFAULT" /> -- Retrieval info: <generic name="CUT_NEW_FAMILY_TIMING" value="true" /> -- Retrieval info: <generic name="POWER_OF_TWO_BUS" value="false" /> -- Retrieval info: <generic name="SOPC_COMPAT_RESET" value="false" /> -- Retrieval info: <generic name="AVL_MAX_SIZE" value="4" /> -- Retrieval info: <generic name="BYTE_ENABLE" value="true" /> -- Retrieval info: <generic name="ENABLE_CTRL_AVALON_INTERFACE" value="true" /> -- Retrieval info: <generic name="CTL_DEEP_POWERDN_EN" value="false" /> -- Retrieval info: <generic name="CTL_SELF_REFRESH_EN" value="false" /> -- Retrieval info: <generic name="AUTO_POWERDN_EN" value="false" /> -- Retrieval info: <generic name="AUTO_PD_CYCLES" value="0" /> -- Retrieval info: <generic name="CTL_USR_REFRESH_EN" value="false" /> -- Retrieval info: <generic name="CTL_AUTOPCH_EN" value="false" /> -- Retrieval info: <generic name="CTL_ZQCAL_EN" value="false" /> -- Retrieval info: <generic name="ADDR_ORDER" value="0" /> -- Retrieval info: <generic name="CTL_LOOK_AHEAD_DEPTH" value="4" /> -- Retrieval info: <generic name="CONTROLLER_LATENCY" value="5" /> -- Retrieval info: <generic name="CFG_REORDER_DATA" value="true" /> -- Retrieval info: <generic name="STARVE_LIMIT" value="10" /> -- Retrieval info: <generic name="CTL_CSR_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_CSR_CONNECTION" value="INTERNAL_JTAG" /> -- Retrieval info: <generic name="CTL_ECC_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_HRB_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_ECC_AUTO_CORRECTION_ENABLED" value="false" /> -- Retrieval info: <generic name="MULTICAST_EN" value="false" /> -- Retrieval info: <generic name="CTL_DYNAMIC_BANK_ALLOCATION" value="false" /> -- Retrieval info: <generic name="CTL_DYNAMIC_BANK_NUM" value="4" /> -- Retrieval info: <generic name="DEBUG_MODE" value="false" /> -- Retrieval info: <generic name="ENABLE_BURST_MERGE" value="false" /> -- Retrieval info: <generic name="CTL_ENABLE_BURST_INTERRUPT" value="false" /> -- Retrieval info: <generic name="CTL_ENABLE_BURST_TERMINATE" value="false" /> -- Retrieval info: <generic name="LOCAL_ID_WIDTH" value="8" /> -- Retrieval info: <generic name="WRBUFFER_ADDR_WIDTH" value="6" /> -- Retrieval info: <generic name="MAX_PENDING_WR_CMD" value="16" /> -- Retrieval info: <generic name="MAX_PENDING_RD_CMD" value="32" /> -- Retrieval info: <generic name="USE_MM_ADAPTOR" value="true" /> -- Retrieval info: <generic name="USE_AXI_ADAPTOR" value="false" /> -- Retrieval info: <generic name="HCX_COMPAT_MODE" value="false" /> -- Retrieval info: <generic name="CTL_CMD_QUEUE_DEPTH" value="8" /> -- Retrieval info: <generic name="CTL_CSR_READ_ONLY" value="1" /> -- Retrieval info: <generic name="CFG_DATA_REORDERING_TYPE" value="INTER_BANK" /> -- Retrieval info: <generic name="NUM_OF_PORTS" value="1" /> -- Retrieval info: <generic name="ENABLE_BONDING" value="false" /> -- Retrieval info: <generic name="ENABLE_USER_ECC" value="false" /> -- Retrieval info: <generic name="AVL_DATA_WIDTH_PORT" value="32,32,32,32,32,32" /> -- Retrieval info: <generic name="PRIORITY_PORT" value="1,1,1,1,1,1" /> -- Retrieval info: <generic name="WEIGHT_PORT" value="0,0,0,0,0,0" /> -- Retrieval info: <generic name="CPORT_TYPE_PORT" value="Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional" /> -- Retrieval info: <generic name="ENABLE_EMIT_BFM_MASTER" value="false" /> -- Retrieval info: <generic name="FORCE_SEQUENCER_TCL_DEBUG_MODE" value="false" /> -- Retrieval info: <generic name="ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT" value="false" /> -- Retrieval info: <generic name="REF_CLK_FREQ" value="50.0" /> -- Retrieval info: <generic name="REF_CLK_FREQ_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="REF_CLK_FREQ_MIN_PARAM" value="0.0" /> -- Retrieval info: <generic name="REF_CLK_FREQ_MAX_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_DR_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_DR_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_DR_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_DR_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_DR_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_MEM_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_MEM_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_HR_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_HR_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_HR_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CLK_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="ENABLE_EXTRA_REPORTING" value="false" /> -- Retrieval info: <generic name="NUM_EXTRA_REPORT_PATH" value="10" /> -- Retrieval info: <generic name="ENABLE_ISS_PROBES" value="false" /> -- Retrieval info: <generic name="CALIB_REG_WIDTH" value="8" /> -- Retrieval info: <generic name="USE_SEQUENCER_BFM" value="false" /> -- Retrieval info: <generic name="PLL_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_PLL_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="EXPORT_AFI_HALF_CLK" value="false" /> -- Retrieval info: <generic name="ABSTRACT_REAL_COMPARE_TEST" value="false" /> -- Retrieval info: <generic name="INCLUDE_BOARD_DELAY_MODEL" value="false" /> -- Retrieval info: <generic name="INCLUDE_MULTIRANK_BOARD_DELAY_MODEL" value="false" /> -- Retrieval info: <generic name="USE_FAKE_PHY" value="false" /> -- Retrieval info: <generic name="FORCE_MAX_LATENCY_COUNT_WIDTH" value="0" /> -- Retrieval info: <generic name="ENABLE_NON_DESTRUCTIVE_CALIB" value="false" /> -- Retrieval info: <generic name="ENABLE_DELAY_CHAIN_WRITE" value="false" /> -- Retrieval info: <generic name="TRACKING_ERROR_TEST" value="false" /> -- Retrieval info: <generic name="TRACKING_WATCH_TEST" value="false" /> -- Retrieval info: <generic name="MARGIN_VARIATION_TEST" value="false" /> -- Retrieval info: <generic name="AC_ROM_USER_ADD_0" value="0_0000_0000_0000" /> -- Retrieval info: <generic name="AC_ROM_USER_ADD_1" value="0_0000_0000_1000" /> -- Retrieval info: <generic name="TREFI" value="35100" /> -- Retrieval info: <generic name="REFRESH_INTERVAL" value="15000" /> -- Retrieval info: <generic name="ENABLE_NON_DES_CAL_TEST" value="false" /> -- Retrieval info: <generic name="TRFC" value="350" /> -- Retrieval info: <generic name="ENABLE_NON_DES_CAL" value="false" /> -- Retrieval info: <generic name="EXTRA_SETTINGS" value="" /> -- Retrieval info: <generic name="MEM_DEVICE" value="MISSING_MODEL" /> -- Retrieval info: <generic name="FORCE_SYNTHESIS_LANGUAGE" value="" /> -- Retrieval info: <generic name="FORCED_NUM_WRITE_FR_CYCLE_SHIFTS" value="0" /> -- Retrieval info: <generic name="SEQUENCER_TYPE" value="NIOS" /> -- Retrieval info: <generic name="ADVERTIZE_SEQUENCER_SW_BUILD_FILES" value="false" /> -- Retrieval info: <generic name="FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT" value="false" /> -- Retrieval info: <generic name="PHY_ONLY" value="false" /> -- Retrieval info: <generic name="SEQ_MODE" value="0" /> -- Retrieval info: <generic name="ADVANCED_CK_PHASES" value="false" /> -- Retrieval info: <generic name="COMMAND_PHASE" value="0.0" /> -- Retrieval info: <generic name="MEM_CK_PHASE" value="0.0" /> -- Retrieval info: <generic name="P2C_READ_CLOCK_ADD_PHASE" value="0.0" /> -- Retrieval info: <generic name="C2P_WRITE_CLOCK_ADD_PHASE" value="0.0" /> -- Retrieval info: <generic name="ACV_PHY_CLK_ADD_FR_PHASE" value="0.0" /> -- Retrieval info: <generic name="MEM_VOLTAGE" value="1.5V DDR3" /> -- Retrieval info: <generic name="PLL_LOCATION" value="Top_Bottom" /> -- Retrieval info: <generic name="SKIP_MEM_INIT" value="true" /> -- Retrieval info: <generic name="READ_DQ_DQS_CLOCK_SOURCE" value="INVERTED_DQS_BUS" /> -- Retrieval info: <generic name="DQ_INPUT_REG_USE_CLKN" value="false" /> -- Retrieval info: <generic name="DQS_DQSN_MODE" value="DIFFERENTIAL" /> -- Retrieval info: <generic name="AFI_DEBUG_INFO_WIDTH" value="32" /> -- Retrieval info: <generic name="CALIBRATION_MODE" value="Full" /> -- Retrieval info: <generic name="NIOS_ROM_DATA_WIDTH" value="32" /> -- Retrieval info: <generic name="READ_FIFO_SIZE" value="8" /> -- Retrieval info: <generic name="PHY_CSR_ENABLED" value="false" /> -- Retrieval info: <generic name="PHY_CSR_CONNECTION" value="INTERNAL_JTAG" /> -- Retrieval info: <generic name="USER_DEBUG_LEVEL" value="0" /> -- Retrieval info: <generic name="TIMING_BOARD_DERATE_METHOD" value="AUTO" /> -- Retrieval info: <generic name="TIMING_BOARD_CK_CKN_SLEW_RATE" value="2.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_SLEW_RATE" value="1.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQS_DQSN_SLEW_RATE" value="2.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_SLEW_RATE" value="1.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TIS" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TIH" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TDS" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TDH" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_ISI_METHOD" value="AUTO" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_EYE_REDUCTION_SU" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_EYE_REDUCTION_H" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_EYE_REDUCTION" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_READ_DQ_EYE_REDUCTION" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME" value="0.0" /> -- Retrieval info: <generic name="PACKAGE_DESKEW" value="false" /> -- Retrieval info: <generic name="AC_PACKAGE_DESKEW" value="false" /> -- Retrieval info: <generic name="TIMING_BOARD_MAX_CK_DELAY" value="0.03" /> -- Retrieval info: <generic name="TIMING_BOARD_MAX_DQS_DELAY" value="0.03" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_CKDQS_DIMM_MIN" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_CKDQS_DIMM_MAX" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_BETWEEN_DIMMS" value="0.05" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_WITHIN_DQS" value="0.01" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_BETWEEN_DQS" value="0.08" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_TO_DQS_SKEW" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_SKEW" value="0.03" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_TO_CK_SKEW" value="0.0" /> -- Retrieval info: <generic name="ENABLE_EXPORT_SEQ_DEBUG_BRIDGE" value="false" /> -- Retrieval info: <generic name="CORE_DEBUG_CONNECTION" value="EXPORT" /> -- Retrieval info: <generic name="ADD_EXTERNAL_SEQ_DEBUG_NIOS" value="false" /> -- Retrieval info: <generic name="ED_EXPORT_SEQ_DEBUG" value="false" /> -- Retrieval info: <generic name="ADD_EFFICIENCY_MONITOR" value="false" /> -- Retrieval info: <generic name="ENABLE_ABS_RAM_MEM_INIT" value="false" /> -- Retrieval info: <generic name="ABS_RAM_MEM_INIT_FILENAME" value="meminit" /> -- Retrieval info: <generic name="DLL_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_DLL_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="OCT_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_OCT_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="AUTO_DEVICE" value="Unknown" /> -- Retrieval info: <generic name="AUTO_DEVICE_SPEEDGRADE" value="Unknown" /> -- Retrieval info: </instance> -- IPFS_FILES : ddr3controller.vho -- RELATED_FILES: ddr3controller.vhd, ddr3controller_0002.v, ddr3controller_pll0.sv, ddr3controller_p0_clock_pair_generator.v, ddr3controller_p0_read_valid_selector.v, ddr3controller_p0_addr_cmd_datapath.v, ddr3controller_p0_reset.v, ddr3controller_p0_acv_ldc.v, ddr3controller_p0_memphy.sv, ddr3controller_p0_reset_sync.v, ddr3controller_p0_new_io_pads.v, ddr3controller_p0_fr_cycle_shifter.v, ddr3controller_p0_fr_cycle_extender.v, ddr3controller_p0_read_datapath.sv, ddr3controller_p0_write_datapath.v, ddr3controller_p0_core_shadow_registers.sv, ddr3controller_p0_simple_ddio_out.sv, ddr3controller_p0_phy_csr.sv, ddr3controller_p0_iss_probe.v, ddr3controller_p0_addr_cmd_pads.v, ddr3controller_p0_flop_mem.v, ddr3controller_p0.sv, ddr3controller_p0_altdqdqs.v, altdq_dqs2_acv_cyclonev.sv, afi_mux_ddr3_ddrx.v, ddr3controller_s0.v, ddr3controller_s0_mm_interconnect_0_rsp_demux_003.sv, ddr3controller_s0_mm_interconnect_0_cmd_demux_001.sv, sequencer_phy_mgr.sv, ddr3controller_s0_mm_interconnect_0_router_001.sv, rw_manager_ram.v, rw_manager_inst_ROM_no_ifdef_params.v, ddr3controller_s0_mm_interconnect_0_rsp_mux_001.sv, rw_manager_inst_ROM_reg.v, ddr3controller_s0_mm_interconnect_0_router_005.sv, sequencer_scc_reg_file.v, altera_avalon_sc_fifo.v, rw_manager_bitcheck.v, rw_manager_lfsr36.v, sequencer_scc_sv_phase_decode.v, rw_manager_di_buffer_wrap.v, rw_manager_ac_ROM_reg.v, rw_manager_jumplogic.v, sequencer_reg_file.sv, rw_manager_ddr3.v, ddr3controller_s0_mm_interconnect_0.v, altera_mem_if_sequencer_cpu_cv_synth_cpu_inst_test_bench.v, rw_manager_core.sv, rw_manager_di_buffer.v, rw_manager_dm_decoder.v, rw_manager_write_decoder.v, altera_mem_if_sequencer_mem_no_ifdef_params.sv, rw_manager_data_broadcast.v, sequencer_data_mgr.sv, altera_merlin_slave_translator.sv, ddr3controller_s0_mm_interconnect_0_rsp_mux.sv, ddr3controller_s0_mm_interconnect_0_cmd_mux_003.sv, altera_mem_if_sequencer_cpu_cv_synth_cpu_inst.v, sequencer_scc_siii_phase_decode.v, ddr3controller_s0_irq_mapper.sv, rw_manager_pattern_fifo.v, ddr3controller_s0_mm_interconnect_0_router.sv, ddr3controller_s0_mm_interconnect_0_cmd_mux.sv, rw_manager_lfsr12.v, rw_manager_lfsr72.v, rw_manager_ram_csr.v, sequencer_scc_acv_wrapper.sv, sequencer_scc_acv_phase_decode.v, sequencer_scc_siii_wrapper.sv, altera_merlin_master_translator.sv, rw_manager_read_datapath.v, sequencer_scc_sv_wrapper.sv, altera_mem_if_sequencer_rst.sv, ddr3controller_s0_mm_interconnect_0_cmd_demux.sv, rw_manager_generic.sv, ddr3controller_s0_mm_interconnect_0_router_002.sv, altera_merlin_slave_agent.sv, altera_merlin_master_agent.sv, rw_manager_datamux.v, rw_manager_ac_ROM_no_ifdef_params.v, sequencer_scc_mgr.sv, rw_manager_data_decoder.v, altera_merlin_arbitrator.sv, altera_merlin_burst_uncompressor.sv, ddr3controller_c0.v, altera_mem_if_oct_cyclonev.sv, altera_mem_if_dll_cyclonev.sv, alt_mem_ddrx_addr_cmd.v, alt_mem_ddrx_addr_cmd_wrap.v, alt_mem_ddrx_ddr2_odt_gen.v, alt_mem_ddrx_ddr3_odt_gen.v, alt_mem_ddrx_lpddr2_addr_cmd.v, alt_mem_ddrx_odt_gen.v, alt_mem_ddrx_rdwr_data_tmg.v, alt_mem_ddrx_arbiter.v, alt_mem_ddrx_burst_gen.v, alt_mem_ddrx_cmd_gen.v, alt_mem_ddrx_csr.v, alt_mem_ddrx_buffer.v, alt_mem_ddrx_buffer_manager.v, alt_mem_ddrx_burst_tracking.v, alt_mem_ddrx_dataid_manager.v, alt_mem_ddrx_fifo.v, alt_mem_ddrx_list.v, alt_mem_ddrx_rdata_path.v, alt_mem_ddrx_wdata_path.v, alt_mem_ddrx_define.iv, alt_mem_ddrx_ecc_decoder.v, alt_mem_ddrx_ecc_decoder_32_syn.v, alt_mem_ddrx_ecc_decoder_64_syn.v, alt_mem_ddrx_ecc_encoder.v, alt_mem_ddrx_ecc_encoder_32_syn.v, alt_mem_ddrx_ecc_encoder_64_syn.v, alt_mem_ddrx_ecc_encoder_decoder_wrapper.v, alt_mem_ddrx_axi_st_converter.v, alt_mem_ddrx_input_if.v, alt_mem_ddrx_rank_timer.v, alt_mem_ddrx_sideband.v, alt_mem_ddrx_tbp.v, alt_mem_ddrx_timing_param.v, alt_mem_ddrx_controller.v, alt_mem_ddrx_controller_st_top.v, alt_mem_if_nextgen_ddr3_controller_core.sv, alt_mem_ddrx_mm_st_converter.v
gpl-2.0
d08f4d7c27077d041244253ce6d36ccc
0.576831
3.254855
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-altera-ep2sgx90-av/ahbrom.vhd
3
3,446
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2004 GAISLER RESEARCH -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- See the file COPYING for the full details of the license. -- ----------------------------------------------------------------------------- -- Entity: ahbrom -- File: ahbrom.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: AHB rom. 0/1-waitstate read ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; entity ahbrom is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#fff#; pipe : integer := 0; tech : integer := 0; kbytes : integer := 1); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end; architecture rtl of ahbrom is component prgmem is generic ( INIT_FILE_NAME : string; -- => init file for rom PRGM_MEM : positive := 12; -- => 4k word MEM_WIDTH : positive := 32 ); port ( -- common signals clk : in std_logic; -- normal system clock -- access (r) addr : in std_logic_vector(PRGM_MEM-1 downto 0); data : out std_logic_vector(MEM_WIDTH-1 downto 0) ); end component; constant abits : integer := 9; constant bytes : integer := 288; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0), 4 => ahb_membar(haddr, '1', '1', hmask), others => zero32); signal romdata : std_logic_vector(31 downto 0); signal addr : std_logic_vector(abits-1 downto 2); signal hsel, hready : std_ulogic; begin prg : prgmem generic map ( INIT_FILE_NAME => "prom.hex", PRGM_MEM => abits, MEM_WIDTH => 32 ) port map ( clk => clk, addr => romdata, data => addr ); ahbso.hresp <= "00"; ahbso.hsplit <= (others => '0'); ahbso.hirq <= (others => '0'); ahbso.hconfig <= hconfig; ahbso.hindex <= hindex; reg : process (clk) begin if rising_edge(clk) then addr <= ahbsi.haddr(abits-1 downto 2); end if; end process; p0 : if pipe = 0 generate --won't really work ahbso.hrdata <= romdata; ahbso.hready <= '1'; end generate; p1 : if pipe = 1 generate reg2 : process (clk) begin if rising_edge(clk) then hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1); hready <= ahbsi.hready; ahbso.hready <= (not rst) or (hsel and hready) or (ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready); --will be clocked in prgmem already --ahbso.hrdata <= romdata; end if; end process; ahbso.hrdata <= romdata; end generate; -- pragma translate_off bootmsg : report_version generic map ("ahbrom" & tost(hindex) & ": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" ); -- pragma translate_on end;
gpl-2.0
9bee9fd4985a5390a859e1e126e7d63d
0.556297
3.665957
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/toutpad.vhd
1
7,228
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: toutpad -- File: toutpad.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: tri-state output pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity toutpad is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; oepol : integer := 0); port (pad : out std_ulogic; i, en : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end; architecture rtl of toutpad is signal oen : std_ulogic; signal padx, gnd : std_ulogic; begin gnd <= '0'; oen <= not en when oepol /= padoen_polarity(tech) else en; gen0 : if has_pads(tech) = 0 generate pad <= i -- pragma translate_off after 2 ns -- pragma translate_on when oen = '0' -- pragma translate_off else 'X' after 2 ns when is_x(en) -- pragma translate_on else 'Z' -- pragma translate_off after 2 ns -- pragma translate_on ; end generate; xcv : if (is_unisim(tech) = 1) generate u0 : unisim_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; axc : if (tech = axcel) or (tech = axdsp) generate u0 : axcel_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; pa3 : if (tech = proasic) or (tech = apa3) generate u0 : apa3_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; pa3e : if (tech = apa3e) generate u0 : apa3e_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; igl2 : if (tech = igloo2) generate u0 : igloo2_toutpad port map (pad, i, oen); end generate; pa3l : if (tech = apa3l) generate u0 : apa3l_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; fus : if (tech = actfus) generate u0 : fusion_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; atc : if (tech = atc18s) generate u0 : atc18_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; atcrh : if (tech = atc18rha) generate u0 : atc18rha_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; um : if (tech = umc) generate u0 : umc_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; rhu : if (tech = rhumc) generate u0 : rhumc_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; saed : if (tech = saed32) generate u0 : saed32_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; rhs : if (tech = rhs65) generate u0 : rhs65_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen, cfgi(0), cfgi(2), cfgi(1)); end generate; dar : if (tech = dare) generate u0 : dare_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; ihp : if (tech = ihp25) generate u0 : ihp25_toutpad generic map (level, slew, voltage, strength) port map(pad, i, oen); end generate; ihprh : if (tech = ihp25rh) generate u0 : ihp25rh_toutpad generic map (level, slew, voltage, strength) port map(pad, i, oen); end generate; rh18t : if (tech = rhlib18t) generate u0 : rh_lib18t_iopad generic map (strength) port map (padx, i, oen, open); pad <= padx; end generate; ut025 : if (tech = ut25) generate u0 : ut025crh_toutpad generic map (level, slew, voltage, strength) port map(pad, i, oen); end generate; ut13 : if (tech = ut130) generate u0 : ut130hbd_toutpad generic map (level, slew, voltage, strength) port map(pad, i, oen); end generate; pere : if (tech = peregrine) generate u0 : peregrine_toutpad generic map (level, slew, voltage, strength) port map(pad, i, oen); end generate; nex : if (tech = easic90) generate u0 : nextreme_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen); end generate; n2x : if (tech = easic45) generate u0 : n2x_toutpad generic map (level, slew, voltage, strength) port map (pad, i, oen, cfgi(0), cfgi(1), cfgi(19 downto 15), cfgi(14 downto 10), cfgi(9 downto 6), cfgi(5 downto 2)); end generate; ut90nhbd : if (tech = ut90) generate u0 : ut90nhbd_toutpad generic map (level, slew, voltage, strength) port map(pad, i, oen, cfgi(0)); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity toutpadv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_ulogic; cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000" ); end; architecture rtl of toutpadv is begin v : for j in width-1 downto 0 generate u0 : toutpad generic map (tech, level, slew, voltage, strength, oepol) port map (pad(j), i(j), en, cfgi); end generate; end; library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; entity toutpadvv is generic (tech : integer := 0; level : integer := 0; slew : integer := 0; voltage : integer := x33v; strength : integer := 12; width : integer := 1; oepol : integer := 0); port ( pad : out std_logic_vector(width-1 downto 0); i : in std_logic_vector(width-1 downto 0); en : in std_logic_vector(width-1 downto 0); cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"); end; architecture rtl of toutpadvv is begin v : for j in width-1 downto 0 generate u0 : toutpad generic map (tech, level, slew, voltage, strength, oepol) port map (pad(j), i(j), en(j), cfgi); end generate; end;
gpl-2.0
d6d50f60bd061d86008fdb75bc4742eb
0.640841
3.460029
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/regfile_3p.vhd
1
3,753
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: regfile_3p -- File: regfile_3p.vhd -- Author: Jiri Gaisler Gaisler Research -- Description: 3-port regfile implemented with two 2-port rams ------------------------------------------------------------------------------ library ieee; library techmap; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allmem.all; entity regfile_3p is generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8; wrfst : integer := 0; numregs : integer := 64; testen : integer := 0; custombits : integer := 1); port ( wclk : in std_ulogic; waddr : in std_logic_vector((abits -1) downto 0); wdata : in std_logic_vector((dbits -1) downto 0); we : in std_ulogic; rclk : in std_ulogic; raddr1 : in std_logic_vector((abits -1) downto 0); re1 : in std_ulogic; rdata1 : out std_logic_vector((dbits -1) downto 0); raddr2 : in std_logic_vector((abits -1) downto 0); re2 : in std_ulogic; rdata2 : out std_logic_vector((dbits -1) downto 0); testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none ); end; architecture rtl of regfile_3p is constant rfinfer : boolean := (regfile_3p_infer(tech) = 1) or (((is_unisim(tech) = 1)) and (abits <= 5)); signal xwe,xre1,xre2 : std_ulogic; signal custominx,customoutx: std_logic_vector(syncram_customif_maxwidth downto 0); begin xwe <= we and not testin(TESTIN_WIDTH-2) when testen/=0 else we; xre1 <= re1 and not testin(TESTIN_WIDTH-2) when testen/=0 else re1; xre2 <= re2 and not testin(TESTIN_WIDTH-2) when testen/=0 else re2; s0 : if rfinfer generate rhu : generic_regfile_3p generic map (tech, abits, dbits, wrfst, numregs) port map ( wclk, waddr, wdata, we, rclk, raddr1, re1, rdata1, raddr2, re2, rdata2); end generate; s1 : if not rfinfer generate pere : if tech = peregrine generate rfhard : peregrine_regfile_3p generic map (abits, dbits) port map ( wclk, waddr, wdata, xwe, raddr1, xre1, rdata1, raddr2, xre2, rdata2); end generate; dp : if tech /= peregrine generate x0 : syncram_2p generic map (tech, abits, dbits, 0, wrfst, testen, 0, custombits) port map (rclk, re1, raddr1, rdata1, wclk, we, waddr, wdata, testin ); x1 : syncram_2p generic map (tech, abits, dbits, 0, wrfst, testen, 0, custombits) port map (rclk, re2, raddr2, rdata2, wclk, we, waddr, wdata, testin ); end generate; end generate; custominx <= (others => '0'); nocust: if syncram_has_customif(tech)=0 or rfinfer generate customoutx <= (others => '0'); end generate; end;
gpl-2.0
1a080e76265175cf03c6b7ceec3f8b0c
0.618971
3.650778
false
true
false
false
aortiz49/MIPS-Processor
Hardware/regFile_mux.vhd
1
3,369
library ieee; use ieee.std_logic_1164.all; entity regFile_mux is port( in31 : in std_logic_vector(31 downto 0); in30 : in std_logic_vector(31 downto 0); in29 : in std_logic_vector(31 downto 0); in28 : in std_logic_vector(31 downto 0); in27 : in std_logic_vector(31 downto 0); in26 : in std_logic_vector(31 downto 0); in25 : in std_logic_vector(31 downto 0); in24 : in std_logic_vector(31 downto 0); in23 : in std_logic_vector(31 downto 0); in22 : in std_logic_vector(31 downto 0); in21 : in std_logic_vector(31 downto 0); in20 : in std_logic_vector(31 downto 0); in19 : in std_logic_vector(31 downto 0); in18 : in std_logic_vector(31 downto 0); in17 : in std_logic_vector(31 downto 0); in16 : in std_logic_vector(31 downto 0); in15 : in std_logic_vector(31 downto 0); in14 : in std_logic_vector(31 downto 0); in13 : in std_logic_vector(31 downto 0); in12 : in std_logic_vector(31 downto 0); in11 : in std_logic_vector(31 downto 0); in10 : in std_logic_vector(31 downto 0); in09 : in std_logic_vector(31 downto 0); in08 : in std_logic_vector(31 downto 0); in07 : in std_logic_vector(31 downto 0); in06 : in std_logic_vector(31 downto 0); in05 : in std_logic_vector(31 downto 0); in04 : in std_logic_vector(31 downto 0); in03 : in std_logic_vector(31 downto 0); in02 : in std_logic_vector(31 downto 0); in01 : in std_logic_vector(31 downto 0); in00 : in std_logic_vector(31 downto 0); sel : in std_logic_vector(4 downto 0); output : out std_logic_vector(31 downto 0) ); end regFile_mux; architecture bhv of regFile_mux is begin process(in31,in30,in29,in28,in27,in26,in25,in24, in23,in22,in21,in20,in19,in18,in17,in16, in15,in14,in13,in12,in11,in10,in09,in08, in07,in06,in05,in04,in03,in02,in01,in00, sel ) begin case sel is when "00000" => output <= in00; when "00001" => output <= in01; when "00010" => output <= in02; when "00011" => output <= in03; when "00100" => output <= in04; when "00101" => output <= in05; when "00110" => output <= in06; when "00111" => output <= in07; when "01000" => output <= in08; when "01001" => output <= in09; when "01010" => output <= in10; when "01011" => output <= in11; when "01100" => output <= in12; when "01101" => output <= in13; when "01110" => output <= in14; when "01111" => output <= in15; when "10000" => output <= in16; when "10001" => output <= in17; when "10010" => output <= in18; when "10011" => output <= in19; when "10100" => output <= in20; when "10101" => output <= in21; when "10110" => output <= in22; when "10111" => output <= in23; when "11000" => output <= in24; when "11001" => output <= in25; when "11010" => output <= in26; when "11011" => output <= in27; when "11100" => output <= in28; when "11101" => output <= in29; when "11110" => output <= in30; when others => output <= in31; end case; end process; end bhv;
mit
ccc41e4c442f5e5646ffb99744d9ab7f
0.555951
2.508563
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/arith/mul32.vhd
1
15,127
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: mul -- File: mul.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: This unit implements signed/unsigned 32-bit multiply module, -- producing a 64-bit result. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.stdlib.all; use grlib.multlib.all; library gaisler; use gaisler.arith.all; library techmap; use techmap.gencomp.all; entity mul32 is generic ( tech : integer := 0; multype : integer range 0 to 3 := 0; pipe : integer range 0 to 1 := 0; mac : integer range 0 to 1 := 0; arch : integer range 0 to 3 := 0; scantest: integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; holdn : in std_ulogic; muli : in mul32_in_type; mulo : out mul32_out_type; testen : in std_ulogic := '0'; testrst : in std_ulogic := '1' ); end; architecture rtl of mul32 is --attribute sync_set_reset : string; --attribute sync_set_reset of rst : signal is "true"; constant m16x16 : integer := 0; constant m32x8 : integer := 1; constant m32x16 : integer := 2; constant m32x32 : integer := 3; constant MULTIPLIER : integer := multype; constant MULPIPE : boolean := ((multype = 0) or (multype = 3)) and (pipe = 1); constant MACEN : boolean := (multype = 0) and (mac = 1); type mul_regtype is record acc : std_logic_vector(63 downto 0); state : std_logic_vector(1 downto 0); start : std_logic; ready : std_logic; nready : std_logic; end record; type mac_regtype is record mmac, xmac : std_logic; msigned, xsigned : std_logic; end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant ASYNC_RESET : boolean := GRLIB_CONFIG_ARRAY(grlib_async_reset_enable) = 1; constant MULRES : mul_regtype := ( acc => (others => '0'), state => (others => '0'), start => '0', ready => '0', nready => '0'); constant MACRES : mac_regtype := ( mmac => '0', xmac => '0', msigned => '0', xsigned => '0'); signal arst : std_ulogic; signal rm, rmin : mul_regtype; signal mm, mmin : mac_regtype; signal ma, mb : std_logic_vector(32 downto 0); signal prod : std_logic_vector(65 downto 0); signal mreg : std_logic_vector(49 downto 0); signal vcc : std_logic; begin vcc <= '1'; arst <= testrst when (ASYNC_RESET and scantest/=0 and testen/='0') else rst when ASYNC_RESET else '1'; mulcomb : process(rst, rm, muli, mreg, prod, mm) variable mop1, mop2 : std_logic_vector(32 downto 0); variable acc, acc1, acc2 : std_logic_vector(48 downto 0); variable zero, rsigned, rmac : std_logic; variable v : mul_regtype; variable w : mac_regtype; constant CZero: std_logic_vector(47 downto 0) := "000000000000000000000000000000000000000000000000"; begin v := rm; w := mm; v.start := muli.start; v.ready := '0'; v.nready := '0'; mop1 := muli.op1; mop2 := muli.op2; acc1 := (others => '0'); acc2 := (others => '0'); zero := '0'; w.mmac := muli.mac; w.xmac := mm.mmac; w.msigned := muli.signed; w.xsigned := mm.msigned; if MULPIPE then rsigned := mm.xsigned; rmac := mm.xmac; else rsigned := mm.msigned; rmac := mm.mmac; end if; -- select input 2 to accumulator case MULTIPLIER is when m16x16 => acc2(32 downto 0) := mreg(32 downto 0); when m32x8 => acc2(40 downto 0) := mreg(40 downto 0); when m32x16 => acc2(48 downto 0) := mreg(48 downto 0); when others => null; end case; -- state machine + inputs to multiplier and accumulator input 1 case rm.state is when "00" => case MULTIPLIER is when m16x16 => mop1(16 downto 0) := '0' & muli.op1(15 downto 0); mop2(16 downto 0) := '0' & muli.op2(15 downto 0); if MULPIPE and (rm.ready = '1' ) then acc1(32 downto 0) := rm.acc(48 downto 16); else acc1(32 downto 0) := '0' & rm.acc(63 downto 32); end if; when m32x8 => mop1 := muli.op1; mop2(8 downto 0) := '0' & muli.op2(7 downto 0); acc1(40 downto 0) := '0' & rm.acc(63 downto 24); when m32x16 => mop1 := muli.op1; mop2(16 downto 0) := '0' & muli.op2(15 downto 0); acc1(48 downto 0) := '0' & rm.acc(63 downto 16); when others => null; end case; if (rm.start = '1') then v.state := "01"; end if; when "01" => case MULTIPLIER is when m16x16 => mop1(16 downto 0) := muli.op1(32 downto 16); mop2(16 downto 0) := '0' & muli.op2(15 downto 0); if MULPIPE then acc1(32 downto 0) := '0' & rm.acc(63 downto 32); end if; v.state := "10"; when m32x8 => mop1 := muli.op1; mop2(8 downto 0) := '0' & muli.op2(15 downto 8); v.state := "10"; when m32x16 => mop1 := muli.op1; mop2(16 downto 0) := muli.op2(32 downto 16); v.state := "00"; when others => null; end case; when "10" => case MULTIPLIER is when m16x16 => mop1(16 downto 0) := '0' & muli.op1(15 downto 0); mop2(16 downto 0) := muli.op2(32 downto 16); if MULPIPE then acc1 := (others => '0'); acc2 := (others => '0'); else acc1(32 downto 0) := rm.acc(48 downto 16); end if; v.state := "11"; when m32x8 => mop1 := muli.op1; mop2(8 downto 0) := '0' & muli.op2(23 downto 16); acc1(40 downto 0) := rm.acc(48 downto 8); v.state := "11"; when others => null; end case; when others => case MULTIPLIER is when m16x16 => mop1(16 downto 0) := muli.op1(32 downto 16); mop2(16 downto 0) := muli.op2(32 downto 16); if MULPIPE then acc1(32 downto 0) := rm.acc(48 downto 16); else acc1(32 downto 0) := rm.acc(48 downto 16); end if; v.state := "00"; when m32x8 => mop1 := muli.op1; mop2(8 downto 0) := muli.op2(32 downto 24); acc1(40 downto 0) := rm.acc(56 downto 16); v.state := "00"; when others => null; end case; end case; -- optional UMAC/SMAC support if MACEN then if ((muli.mac and muli.signed) = '1') then mop1(16) := muli.op1(15); mop2(16) := muli.op2(15); end if; if rmac = '1' then acc1(32 downto 0) := muli.acc(32 downto 0);--muli.y(0) & muli.asr18; if rsigned = '1' then acc2(39 downto 32) := (others => mreg(31)); else acc2(39 downto 32) := (others => '0'); end if; end if; acc1(39 downto 33) := muli.acc(39 downto 33);--muli.y(7 downto 1); end if; -- accumulator for iterative multiplication (and MAC) -- pragma translate_off if not (is_x(acc1 & acc2)) then -- pragma translate_on case MULTIPLIER is when m16x16 => if MACEN then acc(39 downto 0) := acc1(39 downto 0) + acc2(39 downto 0); else acc(32 downto 0) := acc1(32 downto 0) + acc2(32 downto 0); end if; when m32x8 => acc(40 downto 0) := acc1(40 downto 0) + acc2(40 downto 0); when m32x16 => acc(48 downto 0) := acc1(48 downto 0) + acc2(48 downto 0); when m32x32 => v.acc(31 downto 0) := prod(63 downto 32); when others => null; end case; -- pragma translate_off end if; -- pragma translate_on -- save intermediate result to accumulator case rm.state is when "00" => case MULTIPLIER is when m16x16 => if MULPIPE and (rm.ready = '1' ) then v.acc(48 downto 16) := acc(32 downto 0); if rsigned = '1' then v.acc(63 downto 49) := (others => acc(32)); end if; else v.acc(63 downto 32) := acc(31 downto 0); end if; when m32x8 => v.acc(63 downto 24) := acc(39 downto 0); when m32x16 => v.acc(63 downto 16) := acc(47 downto 0); when others => null; end case; when "01" => case MULTIPLIER is when m16x16 => if MULPIPE then v.acc := (others => '0'); else v.acc := CZero(31 downto 0) & mreg(31 downto 0); end if; when m32x8 => v.acc := CZero(23 downto 0) & mreg(39 downto 0); if muli.signed = '1' then v.acc(48 downto 40) := (others => acc(40)); end if; when m32x16 => v.acc := CZero(15 downto 0) & mreg(47 downto 0); v.ready := '1'; if muli.signed = '1' then v.acc(63 downto 48) := (others => acc(48)); end if; when others => null; end case; v.nready := '1'; when "10" => case MULTIPLIER is when m16x16 => if MULPIPE then v.acc := CZero(31 downto 0) & mreg(31 downto 0); else v.acc(48 downto 16) := acc(32 downto 0); end if; when m32x8 => v.acc(48 downto 8) := acc(40 downto 0); if muli.signed = '1' then v.acc(56 downto 49) := (others => acc(40)); end if; when others => null; end case; when others => case MULTIPLIER is when m16x16 => if MULPIPE then v.acc(48 downto 16) := acc(32 downto 0); else v.acc(48 downto 16) := acc(32 downto 0); if rsigned = '1' then v.acc(63 downto 49) := (others => acc(32)); end if; end if; v.ready := '1'; when m32x8 => v.acc(56 downto 16) := acc(40 downto 0); v.ready := '1'; if muli.signed = '1' then v.acc(63 downto 57) := (others => acc(40)); end if; when others => null; end case; end case; -- drive result and condition codes if (muli.flush = '1') then v.state := "00"; v.start := '0'; end if; if (not ASYNC_RESET) and (not RESET_ALL) and (rst = '0') then v.nready := MULRES.nready; v.ready := MULRES.ready; v.state := MULRES.state; v.start := MULRES.start; end if; rmin <= v; ma <= mop1; mb <= mop2; mmin <= w; if MULPIPE then mulo.ready <= rm.ready; mulo.nready <= rm.nready; else mulo.ready <= v.ready; mulo.nready <= v.nready; end if; case MULTIPLIER is when m16x16 => if rm.acc(31 downto 0) = CZero(31 downto 0) then zero := '1'; end if; if MACEN and (rmac = '1') then mulo.result(39 downto 0) <= acc(39 downto 0); if rsigned = '1' then mulo.result(63 downto 40) <= (others => acc(39)); else mulo.result(63 downto 40) <= (others => '0'); end if; else mulo.result(39 downto 0) <= v.acc(39 downto 32) & rm.acc(31 downto 0); mulo.result(63 downto 40) <= v.acc(63 downto 40); end if; mulo.icc <= rm.acc(31) & zero & "00"; when m32x8 => if (rm.acc(23 downto 0) = CZero(23 downto 0)) and (v.acc(31 downto 24) = CZero(7 downto 0)) then zero := '1'; end if; mulo.result <= v.acc(63 downto 24) & rm.acc(23 downto 0); mulo.icc <= v.acc(31) & zero & "00"; when m32x16 => if (rm.acc(15 downto 0) = CZero(15 downto 0)) and (v.acc(31 downto 16) = CZero(15 downto 0)) then zero := '1'; end if; mulo.result <= v.acc(63 downto 16) & rm.acc(15 downto 0); mulo.icc <= v.acc(31) & zero & "00"; when m32x32 => -- mulo.result <= rm.acc(31 downto 0) & prod(31 downto 0); mulo.result <= prod(63 downto 0); mulo.icc(1 downto 0) <= "00"; if prod(31 downto 0) = zero32 then mulo.icc(2) <= '1' ; else mulo.icc(2) <= '0'; end if; mulo.icc(3) <= prod(31); when others => null; mulo.result <= (others => '-'); mulo.icc <= (others => '-'); end case; end process; xm1616 : if MULTIPLIER = m16x16 generate m1616 : techmult generic map (tech, arch, 17, 17, pipe+1, pipe) port map (ma(16 downto 0), mb(16 downto 0), clk, holdn, vcc, prod(33 downto 0)); syncrregs : if not ASYNC_RESET generate reg : process(clk) begin if rising_edge(clk) then if (holdn = '1') then mm <= mmin; mreg(33 downto 0) <= prod(33 downto 0); end if; if RESET_ALL and (rst = '0') then mm <= MACRES; mreg(33 downto 0) <= (others => '0'); end if; end if; end process; end generate syncrregs; asyncrregs : if ASYNC_RESET generate reg : process(clk, arst) begin if (arst = '0') then mm <= MACRES; mreg(33 downto 0) <= (others => '0'); elsif rising_edge(clk) then if (holdn = '1') then mm <= mmin; mreg(33 downto 0) <= prod(33 downto 0); end if; end if; end process; end generate asyncrregs; mreg(49 downto 34) <= (others => '0'); prod(65 downto 34) <= (others => '0'); end generate; xm3208 : if MULTIPLIER = m32x8 generate m3208 : techmult generic map (tech, arch, 33, 8, 2, 1) port map (ma(32 downto 0), mb(8 downto 0), clk, holdn, vcc, mreg(41 downto 0)); mm <= ('0', '0', '0', '0'); mreg(49 downto 42) <= (others => '0'); prod <= (others => '0'); end generate; xm3216 : if MULTIPLIER = m32x16 generate m3216 : techmult generic map (tech, arch, 33, 17, 2, 1) port map (ma(32 downto 0), mb(16 downto 0), clk, holdn, vcc, mreg(49 downto 0)); mm <= ('0', '0', '0', '0'); prod <= (others => '0'); end generate; xm3232 : if MULTIPLIER = m32x32 generate m3232 : techmult generic map (tech, arch, 33, 33, pipe+1, pipe) port map (ma(32 downto 0), mb(32 downto 0), clk, holdn, vcc, prod(65 downto 0)); mm <= ('0', '0', '0', '0'); mreg <= (others => '0'); end generate; syncrregs : if not ASYNC_RESET generate reg : process(clk) begin if rising_edge(clk) then if (holdn = '1') then rm <= rmin; end if; if (rst = '0') then if RESET_ALL then rm <= MULRES; else rm.nready <= MULRES.nready; rm.ready <= MULRES.ready; rm.state <= MULRES.state; rm.start <= MULRES.start; end if; end if; end if; end process; end generate syncrregs; asyncrregs : if ASYNC_RESET generate reg : process(clk, arst) begin if (arst = '0') then rm <= MULRES; elsif rising_edge(clk) then if (holdn = '1') then rm <= rmin; end if; end if; end process; end generate asyncrregs; end;
gpl-2.0
af970123c4fce04c3b466e0e5d8f0503
0.564752
3.227438
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-digilent-atlys/vga2tmds.vhd
3
13,273
------------------------------------------------------------------------------- -- vga2tmds.vhd -- Joris van Rantwijk -- -- This entity takes VGA signals as input (in the form of 8-bit RGB words -- and HSYNC/VSYNC signals) and produces TMDS signals as output. -- -- The input side of this entity may be connected to SVGACTRL or APBVGA -- components from GRLIB. The output side of this entity may be connected -- to a TMDS transmitter for a HDMI or DVI output port. -- -- The output operates in DVI mode: no guard bands and no data islands -- are sent. This is actually not allowed on HDMI links, but HDMI devices -- should just accept it in DVI-compatibility mode. -- ------------------------------------------------------------------------------ -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, see <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library gaisler; use gaisler.misc.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library unisim; use unisim.vcomponents.ODDR2; entity vga2tmds is generic ( tech : integer := 0 ); port ( -- VGA pixel clock. vgaclk : in std_logic; -- Fast clock at 5 times pixel clock frequency. fastclk : in std_logic; -- Output signals from APBVGA or SVGACTRL. vgao : in apbvga_out_type; -- TMDS output signals. tmdsclk : out std_logic; tmdsdat : out std_logic_vector(2 downto 0) ); end entity; architecture rtl of vga2tmds is -- registers in video coding pipeline type video_coder_regs is record q0_dat : std_logic_vector(8 downto 0); -- stage 0: partial XOR q1_dat : std_logic_vector(8 downto 0); -- stage 1: transition minimized q2_dat : std_logic_vector(8 downto 0); -- stage 2: transition minimized q2_nd : signed(3 downto 0); -- stage 2: (N1 - N0) / 2 q3_dat : std_logic_vector(9 downto 0); -- stage 3: final output q3_cnt : signed(3 downto 0); -- stage 3: DC counter end record; -- registers in pixel clock domain type pregs_type is record blank : std_logic_vector(3 downto 0); -- pipeline for blanking signal vcode0 : video_coder_regs; -- coding pipeline for ch0 vcode1 : video_coder_regs; -- coding pipeline for ch1 vcode2 : video_coder_regs; -- coding pipeline for ch2 bufptr : std_ulogic; -- current buffer slot dbuf0 : std_logic_vector(29 downto 0); -- 2-slot output buffer dbuf1 : std_logic_vector(29 downto 0); end record; -- registers in fast clock domain type fregs_type is record bufptr : std_logic_vector(1 downto 0); -- resynced bufptr shiftstate : std_logic_vector(3 downto 0); -- one-hot state tm0shift : std_logic_vector(9 downto 0); -- output shift registers tm1shift : std_logic_vector(9 downto 0); tm2shift : std_logic_vector(9 downto 0); tm0out : std_logic_vector(1 downto 0); -- DDR output registers tm1out : std_logic_vector(1 downto 0); tm2out : std_logic_vector(1 downto 0); tmcout : std_logic_vector(1 downto 0); end record; -- reset values constant video_coder_regs_reset: video_coder_regs := ( q0_dat => (others => '0'), q1_dat => (others => '0'), q2_dat => (others => '0'), q2_nd => (others => '0'), q3_dat => (others => '0'), q3_cnt => (others => '0') ); constant pregs_reset: pregs_type := ( blank => (others => '0'), vcode0 => video_coder_regs_reset, vcode1 => video_coder_regs_reset, vcode2 => video_coder_regs_reset, bufptr => '0', dbuf0 => (others => '0'), dbuf1 => (others => '0') ); -- registers in pixel clock domain signal pr : pregs_type := pregs_reset; signal prin : pregs_type; -- registers in fast clock domain signal fr, frin : fregs_type; signal vcc, gnd: std_ulogic; signal fastclk_n: std_ulogic; -- Control Period Coding constant tmds_ctrl_code_00: std_logic_vector(9 downto 0) := "1101010100"; constant tmds_ctrl_code_01: std_logic_vector(9 downto 0) := "0010101011"; constant tmds_ctrl_code_10: std_logic_vector(9 downto 0) := "0101010100"; constant tmds_ctrl_code_11: std_logic_vector(9 downto 0) := "1010101011"; ----------------------------------------------------------------------------- -- Video Coding pipeline ----------------------------------------------------------------------------- function count_bits(d: in std_logic_vector; n: in integer) return unsigned is variable y: unsigned(n-1 downto 0); begin y := to_unsigned(0, n); for i in d'range loop if d(i) = '1' then y := y + 1; end if; end loop; return y; end function; procedure tmds_video_coder(din: in std_logic_vector(7 downto 0); clrn: in std_ulogic; regs: in video_coder_regs; vregs: out video_coder_regs ) is variable v_cnt_din: unsigned(2 downto 0); begin -- stage 1: XOR first 5 bits and choose between XOR/XNOR coding vregs.q0_dat(0) := din(0); vregs.q0_dat(1) := din(0) xor din(1); vregs.q0_dat(2) := din(0) xor din(1) xor din(2); vregs.q0_dat(3) := din(0) xor din(1) xor din(2) xor din(3); vregs.q0_dat(4) := din(0) xor din(1) xor din(2) xor din(3) xor din(4); vregs.q0_dat(7 downto 5) := din(7 downto 5); v_cnt_din := count_bits(din(7 downto 1), 3); if v_cnt_din > 3 then vregs.q0_dat(8) := '0'; else vregs.q0_dat(8) := '1'; end if; -- stage 2: XOR last 3 bits and apply XNOR if needed vregs.q1_dat(0) := regs.q0_dat(0); vregs.q1_dat(1) := regs.q0_dat(1) xor regs.q0_dat(8) xor '1'; vregs.q1_dat(2) := regs.q0_dat(2); vregs.q1_dat(3) := regs.q0_dat(3) xor regs.q0_dat(0) xor '1'; vregs.q1_dat(4) := regs.q0_dat(4); vregs.q1_dat(5) := regs.q0_dat(4) xor regs.q0_dat(5) xor regs.q0_dat(8) xor '1'; vregs.q1_dat(6) := regs.q0_dat(4) xor regs.q0_dat(5) xor regs.q0_dat(6); vregs.q1_dat(7) := regs.q0_dat(4) xor regs.q0_dat(5) xor regs.q0_dat(6) xor regs.q0_dat(7) xor regs.q0_dat(8) xor '1'; vregs.q1_dat(8) := regs.q0_dat(8); -- stage 3: count difference between nr of 1 and 0 bits (divided by 2) vregs.q2_dat := regs.q1_dat; vregs.q2_nd := signed(count_bits(regs.q1_dat(7 downto 0), 4)) - 4; -- stage 4: DC balance if (regs.q3_cnt < 0 and regs.q2_nd < 0) or ((regs.q3_cnt = 0 or regs.q2_nd = 0) and (regs.q2_dat(8) = '0')) then -- flip bits vregs.q3_dat(7 downto 0) := not regs.q2_dat(7 downto 0); vregs.q3_dat(8) := regs.q2_dat(8); vregs.q3_dat(9) := '1'; if regs.q2_dat(8) = '1' then vregs.q3_cnt := regs.q3_cnt - regs.q2_nd + 1; else vregs.q3_cnt := regs.q3_cnt - regs.q2_nd; end if; else -- keep bits vregs.q3_dat(7 downto 0) := regs.q2_dat(7 downto 0); vregs.q3_dat(8) := regs.q2_dat(8); vregs.q3_dat(9) := '0'; if regs.q2_dat(8) = '1' then vregs.q3_cnt := regs.q3_cnt + regs.q2_nd; else vregs.q3_cnt := regs.q3_cnt + regs.q2_nd - 1; end if; end if; -- reset DC counter if clrn = '0' then vregs.q3_cnt := "0000"; end if; end procedure; begin vcc <= '1'; gnd <= '0'; fastclk_n <= not fastclk; ----------------------------------------------------------------------------- -- DDR output registers ----------------------------------------------------------------------------- -- It would of course be nicer to do this with ddr_oreg from techmap, -- but it can not handle the rising -> falling data path fast enough. ddr0: ODDR2 generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" ) port map ( Q => tmdsdat(0), C0 => fastclk, C1 => fastclk_n, CE => vcc, D0 => fr.tm0out(0), D1 => fr.tm0out(1), R => gnd, S => gnd ); ddr1: ODDR2 generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" ) port map ( Q => tmdsdat(1), C0 => fastclk, C1 => fastclk_n, CE => vcc, D0 => fr.tm1out(0), D1 => fr.tm1out(1), R => gnd, S => gnd ); ddr2: ODDR2 generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" ) port map ( Q => tmdsdat(2), C0 => fastclk, C1 => fastclk_n, CE => vcc, D0 => fr.tm2out(0), D1 => fr.tm2out(1), R => gnd, S => gnd ); ddrc: ODDR2 generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" ) port map ( Q => tmdsclk, C0 => fastclk, C1 => fastclk_n, CE => vcc, D0 => fr.tmcout(0), D1 => fr.tmcout(1), R => gnd, S => gnd ); ----------------------------------------------------------------------------- -- Combinatorial process for slow signals (TMDS encoder) ----------------------------------------------------------------------------- pcomb: process (pr, vgao) is variable v: pregs_type; variable vtm0: std_logic_vector(9 downto 0); variable vtm1: std_logic_vector(9 downto 0); variable vtm2: std_logic_vector(9 downto 0); begin v := pr; -- Video Data Coding pipeline. v.blank := pr.blank(2 downto 0) & vgao.blank; tmds_video_coder(vgao.video_out_b, pr.blank(2), pr.vcode0, v.vcode0); tmds_video_coder(vgao.video_out_g, pr.blank(2), pr.vcode1, v.vcode1); tmds_video_coder(vgao.video_out_r, pr.blank(2), pr.vcode2, v.vcode2); if pr.blank(3) = '0' then -- Display blanking; use Control Period Coding. -- Send D0=HSYNC and D1=VSYNC via channel 0. if vgao.vsync = '0' and vgao.hsync = '0' then vtm0 := tmds_ctrl_code_00; elsif vgao.vsync = '0' and vgao.hsync = '1' then vtm0 := tmds_ctrl_code_01; elsif vgao.vsync = '1' and vgao.hsync = '0' then vtm0 := tmds_ctrl_code_10; else vtm0 := tmds_ctrl_code_11; end if; -- Send Video Data Preamble via channels 1 and 2. vtm1 := tmds_ctrl_code_01; vtm2 := tmds_ctrl_code_00; else -- Send Video Data; use 24-bit RGB pixel encoding. vtm0 := pr.vcode0.q3_dat; vtm1 := pr.vcode1.q3_dat; vtm2 := pr.vcode2.q3_dat; end if; -- Store output in buffer. v.bufptr := not pr.bufptr; if pr.bufptr = '1' then v.dbuf0 := vtm2 & vtm1 & vtm0; else v.dbuf1 := vtm2 & vtm1 & vtm0; end if; prin <= v; end process; ----------------------------------------------------------------------------- -- Combinatorial process for fast signals (TMDS serializer) ----------------------------------------------------------------------------- fcomb: process (pr, fr) is variable v: fregs_type; begin v := fr; -- Resynchronize buffer pointer in fast clock domain. v.bufptr := pr.bufptr & fr.bufptr(1 downto 1); -- Update shift state. if fr.shiftstate(0) = '1' then v.shiftstate := (others => '0'); else v.shiftstate := "1" & fr.shiftstate(fr.shiftstate'high downto 1); end if; -- Update data shift registers. if fr.shiftstate(0) = '1' then -- Pick up a new set of 10-bit words once per 5 clock cycles. if pr.bufptr = '0' then v.tm0shift := pr.dbuf0(9 downto 0); v.tm1shift := pr.dbuf0(19 downto 10); v.tm2shift := pr.dbuf0(29 downto 20); else v.tm0shift := pr.dbuf1(9 downto 0); v.tm1shift := pr.dbuf1(19 downto 10); v.tm2shift := pr.dbuf1(29 downto 20); end if; else v.tm0shift := "00" & fr.tm0shift(9 downto 2); v.tm1shift := "00" & fr.tm1shift(9 downto 2); v.tm2shift := "00" & fr.tm2shift(9 downto 2); end if; -- Select 2 output bits per channel per clock cycle. v.tm0out := fr.tm0shift(1 downto 0); v.tm1out := fr.tm1shift(1 downto 0); v.tm2out := fr.tm2shift(1 downto 0); v.tmcout := fr.shiftstate(2 downto 1); frin <= v; end process; ----------------------------------------------------------------------------- -- Synchronous process in pixel clock domain ----------------------------------------------------------------------------- pregs: process (vgaclk) is begin if rising_edge(vgaclk) then pr <= prin; end if; end process; ----------------------------------------------------------------------------- -- Synchronous process in fast clock domain ----------------------------------------------------------------------------- fregs: process (fastclk) is begin if rising_edge(fastclk) then fr <= frin; end if; end process; end architecture;
gpl-2.0
30db3f440b7db5a4c600d79731a67391
0.54366
3.354309
false
false
false
false
Stederr/ESCOM
Arquitectura de Computadoras/Practica02_SumadorRestador4Bits/top_sumadormedio.vhd
1
678
library ieee; use ieee.std_logic_1164.all; use pack_sum_medio.all; -- IPN - ESCOM -- Arquitectura de Computadoras -- ww ww ww - 3CM9 -- ww.com/arquitectura -- Entidad entity eTopSumMedio is port( entrada1_tsm: in std_logic; entrada2_tsm: in std_logic; resultado_tsm: out std_logic; acarreo_tsm: out std_logic); end; -- Arquitectura architecture aTopSumMedio of eTopSumMedio is begin U1: eAnd port map( entrada1_and => entrada1_tsm, entrada2_and => entrada2_tsm, salida_and => acarreo_tsm); U2: eXor port map( entrada1_xor => entrada1_tsm, entrada2_xor => entrada2_tsm, salida_xor => resultado_tsm); end aTopSumMedio;
apache-2.0
b92597b160c901f8d99821c0fc251d44
0.675516
3.153488
false
false
false
false
Stederr/ESCOM
Arquitectura de Computadoras/Practica02_Original/topha4bit00txt.txt.vhd
1
544
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use packageha4bit00.all; entity topha4bit00 is port( A0: in std_logic ; B0: in std_logic ; S0: out std_logic ; C0: out std_logic ); end; architecture topha4bit0 of topha4bit00 is begin U1: and00 port map(Aa => A0, Ba => B0, Ya => C0); U2: xor00 port map(Ax => A0, Bx => B0, Yx => S0); end topha4bit0;
apache-2.0
10f77d0dcc4dc53c91319bdfd27c795f
0.525735
2.909091
false
false
false
false
rhexsel/cmips
cMIPS/vhdl/rom.vhd
1
8,649
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- syncronous ROM; FPGA version, word-indexed -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; use work.p_memory.all; entity ROM is generic (LOAD_FILE_NAME : string := "prog.bin"); -- not used with FPGA port (rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' rdy : out std_logic; -- active in '0' strobe : in std_logic; addr : in reg32; data : out reg32); -- FPGA version constant INST_ADDRS_BITS : natural := log2_ceil(INST_MEM_SZ); subtype rom_address is natural range 0 to ((INST_MEM_SZ / 4) - 1); end entity ROM; architecture rtl of ROM is component wait_states is generic (NUM_WAIT_STATES :integer := 0); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component single_port_rom is generic (N_WORDS : integer); port (address : in rom_address; clken : in std_logic; clock : in std_logic; q : out std_logic_vector); end component single_port_rom; component alt_mf_rom port (address : IN STD_LOGIC_VECTOR ((INST_ADDRS_BITS-1) DOWNTO 0); clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '1'; q : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)); end component alt_mf_rom; signal instrn : reg32; signal index : rom_address := 0; signal waiting, clken : std_logic; signal raw_addr : std_logic_vector((INST_ADDRS_BITS-1) downto 0); begin -- rtl U_BUS_WAIT: wait_states generic map (ROM_WAIT_STATES) port map (rst, clk, sel, waiting); rdy <= not(waiting); clken <= not(sel); -- >>2 = /4: byte addressed but word indexed index <= to_integer(unsigned(addr((INST_ADDRS_BITS-1)+2 downto 2))); -- U_ROM: single_port_rom generic map (INST_MEM_SZ / 4) -- port map (index, clken, strobe, instrn); raw_addr <= addr((INST_ADDRS_BITS-1)+2 downto 2); U_RTL_ROM: alt_mf_rom port map (raw_addr, clken, strobe, instrn); U_ROM_ACCESS: process (instrn, sel, index) begin if sel = '0' then data <= instrn; assert (index >= 0) and (index < INST_MEM_SZ/4) report "rom index out of bounds: " & natural'image(index) severity failure; assert TRUE -- DEBUG report "romRD["& natural'image(index) &"]="& SLV32HEX(instrn); else data <= (others => 'X'); end if; end process U_ROM_ACCESS; end architecture rtl; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Adapted from Altera's design for a ROM that may be synthesized -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.p_wires.all; entity single_port_rom is generic (N_WORDS : integer := 32); port (address : in natural range 0 to (N_WORDS - 1); clken : in std_logic; clock : in std_logic; q : out reg32); end entity; architecture rtl of single_port_rom is -- Build a 2-D array type for the RoM subtype word_t is std_logic_vector(31 downto 0); type memory_t is array(0 to (N_WORDS-1)) of word_t; -- assemble.sh -v mac_lcd.s |\ -- sed -e '1,6d' -e '/^$/d' -e '/^ /!d' -e 's:\t: :g' \ -- -e 's#\(^ *[a-f0-9]*:\) *\(........\) *\(.*\)$#x"\2", -- \1 \3#' \ -- -e '$s:,: :' constant test_prog : memory_t := (others => (others => '0')); function init_rom return memory_t is variable tmp : memory_t := (others => (others => '0')); variable i_addr : integer; begin for addr_pos in test_prog'range loop tmp(addr_pos) := test_prog(addr_pos); -- i_addr := addr_pos; end loop; for addr_pos in test_prog'high to (N_WORDS - 1) loop tmp(addr_pos) := x"00000000"; -- nop end loop; return tmp; end init_rom; -- Declare the ROM signal and specify a default value. Quartus II -- will create a memory initialization file (ROM.mif) based on the -- default value. signal rom : memory_t := init_rom; begin process(clock,clken) begin if(clken = '1' and rising_edge(clock)) then q <= rom(address); end if; end process; end architecture rtl; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- syncronous ROM; MIPS executable loaded into ROM at CPU reset, wd-indexed -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ architecture simulation of ROM is component wait_states is generic (NUM_WAIT_STATES :integer := 0); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component FFT is port(clk, rst, T : in std_logic; Q : out std_logic); end component FFT; constant WAIT_COUNT : max_wait_states := (NUM_MAX_W_STS - ROM_WAIT_STATES); constant WAIT_FOR : reg10 := std_logic_vector(to_signed(WAIT_COUNT, 10)); signal waiting, do_wait : std_logic; begin -- behavioral U_BUS_WAIT: wait_states generic map (ROM_WAIT_STATES) port map (rst, clk, sel, waiting); rdy <= not(waiting); U_ROM: process (rst, sel, strobe, addr) subtype t_address is unsigned((INST_ADDRS_BITS - 1) downto 0); variable u_addr : t_address; subtype word is std_logic_vector(data'length - 1 downto 0); type storage_array is array( natural range 0 to (INST_MEM_SZ - 1) ) of word; variable storage : storage_array; variable index, latched : natural; type binary_file is file of integer; file load_file: binary_file open read_mode is LOAD_FILE_NAME; variable instr: integer; -- := to_integer(unsigned(NULL_INSTRUCTION)); variable s_instr : signed(31 downto 0); begin if rst = '0' then -- reset, read binary executable index := 0; -- indexed by word for i in 0 to (INST_MEM_SZ - 1) loop if not endfile(load_file) then read(load_file, instr); s_instr := to_signed(instr, 32); assert TRUE report "romINIT["& natural'image(index*4) &"]= " & SLV32HEX(std_logic_vector(s_instr)); -- DEBUG storage(index) := std_logic_vector(s_instr); index := index + 1; end if; end loop; -- i else -- normal operation if sel = '0' and rising_edge(strobe) then u_addr := unsigned(addr((2+(INST_ADDRS_BITS-1)) downto 2)); -- >>2 = /4 index := to_integer(u_addr); -- indexed by word, not by byte assert (index >= 0) and (index < INST_MEM_SZ/4) report "romRDindex out of bounds: " & SLV32HEX(addr) & " = " & natural'image(index) severity warning; -- failure; latched := index; end if; if sel = '0' then data <= storage(latched); assert TRUE -- DEBUG report "romRD["& natural'image(index) &"]="& SLV32HEX(storage(index)); else data <= (others => 'X'); end if; end if; end process; end architecture simulation; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
3a74e39898f4697d7c653cd76778fa6d
0.534281
3.760435
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/leon3v3/l3stat.vhd
1
18,501
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2014, Aeroflex Gaisler AB - all rights reserved. -- -- ANY USE OR REDISTRIBUTION IN PART OR IN WHOLE MUST BE HANDLED IN -- ACCORDANCE WITH THE GAISLER LICENSE AGREEMENT AND MUST BE APPROVED -- IN ADVANCE IN WRITING. ----------------------------------------------------------------------------- -- Entity: l3stat -- File: l3stat.vhd -- Author: Jiri Gaisler - Gaisler Research -- Modified: Jan Andersson - Aeroflex Gaisler -- Description: LEON3 statistic counters ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library gaisler; use gaisler.leon3.all; entity l3stat is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; ncnt : integer := 4; ncpu : integer := 1; nmax : integer := 0; lahben : integer := 0; dsuen : integer := 0; nextev : integer range 0 to 16 := 0; apb2en : integer := 0; pindex2 : integer := 0; paddr2 : integer := 0; pmask2 : integer := 16#fff#; astaten : integer := 0; selreq : integer := 0; clatch : integer := 0 ); port ( rstn : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ahbsi : in ahb_slv_in_type; dbgo : in l3_debug_out_vector(0 to NCPU-1); dsuo : in dsu_out_type := dsu_out_none; stati : in l3stat_in_type := l3stat_in_none; apb2i : in apb_slv_in_type := apb_slv_in_none; apb2o : out apb_slv_out_type; astat : in amba_stat_type := amba_stat_none ); end; architecture rtl of l3stat is constant REVISION : integer := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg (VENDOR_GAISLER, GAISLER_L3STAT, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); constant pconfig2 : apb_config_type := ( 0 => ahb_device_reg (VENDOR_GAISLER, GAISLER_L3STAT, 0, REVISION, 0), 1 => apb_iobar(paddr2, pmask2)); constant MAX_CNT : natural := 32; -- Maximum number of counters constant MADDR : natural := log2(MAX_CNT) + 2; function op_len return integer is begin if selreq /= 0 then return 8; end if; return 7; end function op_len; constant LATCH_CNT : boolean := clatch /= 0; type cnt_type is record cpu : std_logic_vector(3 downto 0); op : std_logic_vector(op_len-1 downto 0); en : std_logic; clr : std_logic; inc : std_logic; cnt : std_logic_vector(31 downto 0); suen : std_logic_vector(1 downto 0); end record; type mcnt_type is record el : std_ulogic; cd : std_ulogic; max : std_logic_vector(31 downto 0); end record; type reg_type is record hmaster : std_logic_vector(3 downto 0); active : std_logic; latcnt : std_logic; timer : std_logic_vector(31 downto 0); end record; constant cnt_none : cnt_type := ("0000", zero32(op_len-1 downto 0), '0', '0', '0', zero32, "00"); constant mcnt_none : mcnt_type := ('0', '0', zero32); type cnt_type_vector is array (natural range <>) of cnt_type; type mcnt_type_vector is array (natural range <>) of mcnt_type; function calc_inc( cnt : cnt_type; dbgox : l3_debug_out_vector(0 to 15); r : reg_type; dastat : dsu_astat_type; ev : std_logic_vector(15 downto 0); esource: l3stat_src_array; astat : amba_stat_type; req : std_logic_vector(15 downto 0); sel : std_logic_vector(15 downto 0)) return std_logic is variable wbhold, inc, icnt, fcnt, bpmiss, dsumode : std_logic; variable istat, dstat : l3_cstat_type; variable cpu : natural range 0 to 15; variable inst : std_logic_vector(5 downto 0); variable su : std_logic; begin cpu := conv_integer(cnt.cpu); wbhold := dbgox(cpu).wbhold; istat := dbgox(cpu).istat; dstat := dbgox(cpu).dstat; icnt := dbgox(cpu).icnt; fcnt := dbgox(cpu).fcnt; inst := dbgox(cpu).optype; bpmiss := dbgox(cpu).bpmiss; dsumode := dbgox(cpu).dsumode; su := dbgox(cpu).su; inc := '0'; if selreq = 0 or cnt.op(cnt.op'left) = '0' then if (nextev = 0 and dsuen = 0) or cnt.op(6) = '0' then case cnt.op(5 downto 0) is when "000000" => inc := istat.cmiss; -- icache miss when "000001" => inc := istat.tmiss; -- icache tlb miss when "000010" => inc := istat.chold; -- icache total hold when "000011" => inc := istat.mhold; -- icache MMU hold when "001000" => inc := dstat.cmiss; when "001001" => inc := dstat.tmiss; when "001010" => inc := dstat.chold; when "001011" => inc := dstat.mhold; when "010000" => inc := wbhold; -- dcache write buffer hold when "010001" => inc := icnt; -- total number of instructions when "010010" => inc := icnt and not fcnt; -- integer instructions when "010011" => inc := fcnt; -- FPU instructions when "010100" => inc := bpmiss; -- branch prediction miss when "010101" => inc := not dsumode; -- total cycles when "010111" => -- AHB utilization per master if lahben /= 0 then if (r.active = '1') and (r.hmaster = cnt.cpu) then inc := '1'; end if; end if; when "011000" => -- Total AHB utilization if lahben /= 0 then if (r.active = '1') then inc := '1'; end if; end if; when "100010" => -- integer branches if inst(5 downto 1) = "00010" then inc := icnt; end if; when "101000" => -- CALL if inst(5 downto 4) = "01" then inc := icnt; end if; when "110000" => -- Normal instructions if inst(5 downto 4) = "10" then inc := icnt; end if; when "111000" => -- load & store if inst(5 downto 4) = "11" then inc := icnt; end if; when "111001" => -- load if (inst(5 downto 4) = "11") and ((inst(0) = '0') or inst(1) = '1') then inc := icnt; end if; when "111010" => -- store if (inst(5 downto 4) = "11") and (inst(0) = '1') then inc := icnt; end if; when others => null; end case; case cnt.suen is when "01" => if su = '0' then inc := '0'; end if; when "10" => if su = '1' then inc := '0'; end if; when others => null; end case; elsif dsuen /= 0 and cnt.op(6 downto 5) = "10" then case cnt.op(4 downto 0) is when "00000" => inc := dastat.idle; when "00001" => inc := dastat.busy; when "00010" => inc := dastat.nseq; when "00011" => inc := dastat.seq; when "00100" => inc := dastat.read; when "00101" => inc := dastat.write; when "00110" => inc := dastat.hsize(0); when "00111" => inc := dastat.hsize(1); when "01000" => inc := dastat.hsize(2); when "01001" => inc := dastat.hsize(3); when "01010" => inc := dastat.hsize(4); when "01011" => inc := dastat.hsize(5); when "01100" => inc := dastat.ws; when "01101" => inc := dastat.retry; when "01110" => inc := dastat.split; when "01111" => inc := dastat.spdel; when "10000" => inc := dastat.locked; when others => null; end case; if cnt.suen(1) = '1' and cnt.cpu /= dastat.hmaster then inc := '0'; end if; elsif astaten /= 0 and cnt.op(6 downto 4) = "111" then -- 0x70 - 0x7F case cnt.op(3 downto 0) is when "0000" => inc := astat.idle; when "0001" => inc := astat.busy; when "0010" => inc := astat.nseq; when "0011" => inc := astat.seq; when "0100" => inc := astat.read; when "0101" => inc := astat.write; when "0110" => inc := astat.hsize(0); when "0111" => inc := astat.hsize(1); when "1000" => inc := astat.hsize(2); when "1001" => inc := astat.hsize(3); when "1010" => inc := astat.hsize(4); when "1011" => inc := astat.hsize(5); when "1100" => inc := astat.ws; when "1101" => inc := astat.retry; when "1110" => inc := astat.split; when "1111" => inc := astat.spdel; when others => null; end case; if cnt.suen(1) = '1' and cnt.cpu /= astat.hmaster then inc := '0'; end if; elsif nextev /= 0 then -- 0x60 - 0x6F -- External event 0 to 15 for i in 0 to 15 loop if i >= nextev then exit; end if; if i = conv_integer(cnt.op(3 downto 0)) then if cnt.suen(1) = '0' or cnt.cpu = esource(i) then inc := ev(i); end if; end if; end loop; end if; end if; if selreq /= 0 and cnt.op(cnt.op'left) = '1' then -- Possible extensions to the below: -- - add check for when OP(3:0).hbusreq and AHBM.hbusreq is asserted at -- the same time -- - also take supervisor/usermode into account -- - do not only check on bus master but also/instead on MMU context ID for i in 0 to selreq loop for j in 0 to selreq loop if (i = conv_integer(cnt.op(3 downto 0)) and j = conv_integer(cnt.cpu)) then inc := req(j) and (sel(i) xor cnt.op(4)); end if; end loop; end loop; end if; return(inc); end; function nmax_right return integer is begin if nmax /= 0 then return nmax-1; end if; return 0; end function; signal rc, rcin : cnt_type_vector(0 to ncnt-1); signal mrc, mrcin : mcnt_type_vector(0 to nmax_right); signal r, rin : reg_type; begin comb : process(r, rc, mrc, rstn, apbi, dbgo, astat) variable rdata : std_logic_vector(31 downto 0); variable rdata2 : std_logic_vector(31 downto 0); variable rv : cnt_type_vector(0 to MAX_CNT-1); variable mrv : mcnt_type_vector(0 to MAX_CNT-1); variable lrc : cnt_type_vector(0 to MAX_CNT-1); variable lmrc : mcnt_type_vector(0 to MAX_CNT-1); variable v : reg_type; variable addr : natural; variable addr2 : natural; variable dbgol : l3_debug_out_vector(0 to 15); begin for i in 0 to MAX_CNT-1 loop rv(i) := cnt_none; mrv(i) := mcnt_none; lrc(i) := cnt_none; lmrc(i) := mcnt_none; end loop; rv(0 to ncnt-1) := rc; mrv(0 to nmax_right) := mrc; v := r; lrc(0 to ncnt-1) := rc; lmrc(0 to nmax_right) := mrc; addr := conv_integer(apbi.paddr(MADDR-1 downto 2)); rdata := zero32; rdata2 := zero32; v.latcnt := '0'; v.timer := (others => '0'); if LATCH_CNT then v.latcnt := stati.latcnt; if r.latcnt = '1' then v.timer := stati.timer; end if; end if; for i in 0 to ncpu-1 loop dbgol(i) := dbgo(i); end loop; for i in ncpu to 15 loop dbgol(i) := l3_dbgo_none; end loop; for i in 0 to ncnt-1 loop rv(i).inc := calc_inc(rc(i), dbgol, r, dsuo.astat, stati.event, stati.esource, astat, stati.req, stati.sel) and rc(i).en; if nmax = 0 or i >= nmax or mrc(i).cd = '0' then if rc(i).inc = '1' then rv(i).cnt := rc(i).cnt + 1; end if; elsif nmax /= 0 and i < nmax then -- count maximum duration if (rc(i).en = '1') then if rc(i).inc = mrc(i).el then rv(i).cnt := rc(i).cnt + 1; else rv(i).cnt := zero32; end if; if rc(i).cnt > mrc(i).max then mrv(i).max := rc(i).cnt; end if; end if; end if; if LATCH_CNT and r.latcnt = '1' and nmax /= 0 and i < nmax and mrc(i).cd = '0' then mrv(i).max := rv(i).cnt; if rc(i).clr = '1' then rv(i).cnt := (others => '0'); end if; end if; end loop; if apb2en /= 0 then -- 2nd APB interface addr2 := conv_integer(apb2i.paddr(MADDR-1 downto 2)); if (apb2i.psel(pindex2) and apb2i.penable) = '1' and (not LATCH_CNT or apb2i.paddr(8) = '0') then if apb2i.pwrite = '0' then if apb2i.paddr(MADDR) = '0' then rdata2 := lrc(addr2).cnt; if nmax = 0 or lmrc(addr2).cd = '0' then rdata2 := lrc(addr2).cnt; else rdata2 := lmrc(addr2).max; end if; if rv(addr2).clr = '1' then rv(addr2).cnt := zero32; if nmax /= 0 and nmax > addr2 then mrv(addr2).max := zero32; end if; end if; else rdata2(31 downto 28) := conv_std_logic_vector(ncpu-1, 4); rdata2(27 downto 23) := conv_std_logic_vector(ncnt-1, 5); rdata2(22) := conv_std_logic(nmax > addr2); rdata2(21) := conv_std_logic(lahben /= 0); rdata2(20) := conv_std_logic(dsuen /= 0); rdata2(19) := conv_std_logic(nextev /= 0); rdata2(18) := conv_std_logic(astaten /= 0); if nmax /= 0 and nmax > addr2 then rdata2(17) := lmrc(addr2).el; rdata2(16) := lmrc(addr2).cd; end if; rdata2(15 downto 14) := lrc(addr2).suen; rdata2(13) := lrc(addr2).clr; rdata2(12) := lrc(addr2).en; rdata2(11 downto 4) := (others => '0'); rdata2(4+op_len-1 downto 4) := lrc(addr2).op; rdata2(3 downto 0) := lrc(addr2).cpu; end if; else if apb2i.paddr(MADDR) = '0' then rv(addr2).cnt := apb2i.pwdata; if nmax /= 0 and nmax > addr2 then mrv(addr2).max := apbi.pwdata; end if; else if nmax /= 0 and nmax > addr2 then mrv(addr2).el := apb2i.pwdata(17); mrv(addr2).cd := apb2i.pwdata(16); end if; rv(addr2).suen := apb2i.pwdata(15 downto 14); rv(addr2).clr := apb2i.pwdata(13); rv(addr2).en := apb2i.pwdata(12); rv(addr2).op := apb2i.pwdata(4+op_len-1 downto 4); rv(addr2).cpu := apb2i.pwdata(3 downto 0); end if; end if; end if; if LATCH_CNT and apb2i.paddr(8) = '1' and (apb2i.psel(pindex2) and apb2i.penable) = '1' then if apb2i.paddr(7) = '0' then rdata2 := lmrc(addr2).max; else rdata := r.timer; end if; v.latcnt := v.latcnt or apb2i.pwrite; end if; else addr2 := 0; end if; if (apbi.psel(pindex) and apbi.penable) = '1' and (not LATCH_CNT or apbi.paddr(8) = '0') then if apbi.pwrite = '0' then if apbi.paddr(MADDR) = '0' then if nmax = 0 or lmrc(addr).cd = '0' then rdata := lrc(addr).cnt; else rdata := lmrc(addr).max; end if; if rv(addr).clr = '1' then rv(addr).cnt := zero32; if nmax /= 0 and nmax > addr then mrv(addr).max := zero32; end if; end if; else rdata(31 downto 28) := conv_std_logic_vector(ncpu-1, 4); rdata(27 downto 23) := conv_std_logic_vector(ncnt-1, 5); rdata(22) := conv_std_logic(nmax > addr); rdata(21) := conv_std_logic(lahben /= 0); rdata(20) := conv_std_logic(dsuen /= 0); rdata(19) := conv_std_logic(nextev /= 0); rdata(18) := conv_std_logic(astaten /= 0); if nmax /= 0 and nmax > addr then rdata(17) := lmrc(addr).el; rdata(16) := lmrc(addr).cd; end if; rdata(15 downto 14) := lrc(addr).suen; rdata(13) := lrc(addr).clr; rdata(12) := lrc(addr).en; rdata(11 downto 4) := (others => '0'); rdata(4+op_len-1 downto 4) := lrc(addr).op; rdata(3 downto 0) := lrc(addr).cpu; end if; else if apbi.paddr(MADDR) = '0' then rv(addr).cnt := apbi.pwdata; if nmax /= 0 and nmax > addr then mrv(addr).max := apbi.pwdata; end if; else if nmax /= 0 and nmax > addr then mrv(addr).el := apbi.pwdata(17); mrv(addr).cd := apbi.pwdata(16); end if; rv(addr).suen := apbi.pwdata(15 downto 14); rv(addr).clr := apbi.pwdata(13); rv(addr).en := apbi.pwdata(12); rv(addr).op := apbi.pwdata(4+op_len-1 downto 4); rv(addr).cpu := apbi.pwdata(3 downto 0); end if; end if; if LATCH_CNT and apbi.paddr(8) = '1' and (apbi.psel(pindex) and apbi.penable) = '1' then if apbi.paddr(7) = '0' then rdata:= lmrc(addr).max; else rdata := r.timer; end if; v.latcnt := v.latcnt or apbi.pwrite; end if; end if; if lahben /= 0 then if ahbsi.hready = '1' then if ahbsi.htrans(1) = '1' then v.active := '1'; v.hmaster := ahbsi.hmaster; else v.active := '0'; end if; end if; else v.active := '0'; v.hmaster := (others => '0'); end if; if rstn = '0' then for i in 0 to ncnt-1 loop rv(i).en := '0'; rv(i).inc := '0'; end loop; if lahben /= 0 then v.active := '0'; end if; end if; if nextev = 0 and dsuen = 0 and astaten = 0 then for i in 0 to ncnt-1 loop rv(i).op(6) := '0'; end loop; end if; rcin <= rv(0 to ncnt-1); mrcin <= mrv(0 to nmax_right); rin <= v; apbo.prdata <= rdata; -- drive apb read bus apbo.pirq <= (others => '0'); apb2o.prdata <= rdata2; apb2o.pirq <= (others => '0'); end process; apbo.pindex <= pindex; apbo.pconfig <= pconfig; apb2o.pindex <= pindex2; apb2o.pconfig <= pconfig2; regs : process(clk) begin if rising_edge(clk) then rc <= rcin; end if; end process; mregs : if nmax /= 0 generate regs : process(clk) begin if rising_edge(clk) then mrc <= mrcin; end if; end process; end generate; nomregs : if nmax = 0 generate mrc(0) <= mcnt_none; end generate; ahbregs : if lahben /= 0 or LATCH_CNT generate regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; end generate; noahbregs : if lahben = 0 and not LATCH_CNT generate r <= ((others => '0'), '0', '0', (others => '0')); end generate; -- pragma translate_off bootmsg : report_version generic map ("lstat_" & tost(pindex) & ": " & "LEON Statistics Unit, " & "ncpu : " & tost(ncpu) & ", ncnt : " & tost(ncnt) & ", rev " & tost(REVISION)); -- pragma translate_on -- pragma translate_off cproc : process begin assert (clatch = 0) or (pmask /= 16#fff# and nmax /= 0) report "LSTAT: clatch /= 0 requires pmask /= 16#fff# and nmax /= 0" severity failure; wait; end process; -- pragma translate_on end;
gpl-2.0
e7f72a452fe35c4a2f0171f3de0b0505
0.53943
3.220366
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/tech/atc18/components/atmel_simprims.vhd
1
7,914
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: Various -- File: atmel_simprims.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: ATMEL ATC18 behavioural models -- Modelled after IO33/PCILIB data sheets ------------------------------------------------------------------------------ -- pragma translate_off -- input pad library ieee; use ieee.std_logic_1164.all; entity pc33d00z is port (pad : in std_logic; cin : out std_logic); end; architecture rtl of pc33d00z is begin cin <= to_x01(pad) after 1 ns; end; -- input pad with pull-up library ieee; use ieee.std_logic_1164.all; entity pc33d00uz is port (pad : inout std_logic; cin : out std_logic); end; architecture rtl of pc33d00uz is begin cin <= to_x01(pad) after 1 ns; pad <= 'H'; end; -- input schmitt pad library ieee; use ieee.std_logic_1164.all; entity pc33d20z is port (pad : in std_logic; cin : out std_logic); end; architecture rtl of pc33d20z is begin cin <= to_x01(pad) after 1 ns; end; -- input schmitt pad with pull-up library ieee; use ieee.std_logic_1164.all; entity pc33d20uz is port (pad : inout std_logic; cin : out std_logic); end; architecture rtl of pc33d20uz is begin cin <= to_x01(pad) after 1 ns; pad <= 'H'; end; -- output pads library ieee; use ieee.std_logic_1164.all; entity pt33o01z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o01z is begin pad <= to_x01(i) after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33o02z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o02z is begin pad <= to_x01(i) after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33o04z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o04z is begin pad <= to_x01(i) after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33o08z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pt33o08z is begin pad <= to_x01(i) after 2 ns; end; -- output tri-state pads library ieee; use ieee.std_logic_1164.all; entity pt33t01z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t02z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t02z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t04z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t04z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t08z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t08z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; -- output tri-state pads with pull-up library ieee; use ieee.std_logic_1164.all; entity pt33t01uz is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t01uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t02uz is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t02uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33t04uz is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pt33t04uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; end; -- bidirectional pad library ieee; use ieee.std_logic_1164.all; entity pt33b01z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b02z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b02z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b08z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b08z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b04z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b04z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; -- bidirectional pads with pull-up library ieee; use ieee.std_logic_1164.all; entity pt33b01uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b01uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b02uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b02uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b08uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b08uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; library ieee; use ieee.std_logic_1164.all; entity pt33b04uz is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pt33b04uz is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'H' after 2 ns; cin <= to_x01(pad) after 1 ns; end; -- PCI output pad library ieee; use ieee.std_logic_1164.all; entity pp33o01z is port (i : in std_logic; pad : out std_logic); end; architecture rtl of pp33o01z is begin pad <= to_x01(i) after 2 ns; end; -- PCI bidirectional pad library ieee; use ieee.std_logic_1164.all; entity pp33b01z is port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic); end; architecture rtl of pp33b01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; cin <= to_x01(pad) after 1 ns; end; -- PCI output tri-state pad library ieee; use ieee.std_logic_1164.all; entity pp33t01z is port (i, oen : in std_logic; pad : out std_logic); end; architecture rtl of pp33t01z is begin pad <= to_x01(i) after 2 ns when oen = '0' else 'Z' after 2 ns; end; -- pragma translate_on
gpl-2.0
2616eb38c5be206bf531e96ddd3531cc
0.667804
2.874682
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/leon3v3/grfpwx.vhd
1
4,593
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grfpwx -- File: grfpwx.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: GRFPU/GRFPC wrapper and FP register file ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.netcomp.all; library gaisler; use gaisler.leon3.all; use gaisler.libleon3.all; use gaisler.libfpu.all; entity grfpwx is generic (fabtech : integer := 0; memtech : integer := 0; mul : integer range 0 to 3 := 0; pclow : integer range 0 to 2 := 2; dsu : integer range 0 to 1 := 0; disas : integer range 0 to 2 := 0; netlist : integer := 0; index : integer := 0; scantest : integer := 0); port ( rst : in std_ulogic; -- Reset clk : in std_ulogic; holdn : in std_ulogic; -- pipeline hold cpi : in fpc_in_type; cpo : out fpc_out_type; testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) ); end; architecture rtl of grfpwx is signal rfi1, rfi2 : fp_rf_in_type; signal rfo1, rfo2 : fp_rf_out_type; signal rf1rd1, rf1rd2, rf2rd1, rf2rd2, rf1wd, rf2wd : std_logic_vector(38 downto 0); begin x1 : if true generate grfpw0 : grfpw_net generic map (fabtech, pclow, dsu, disas) port map ( rst , clk , holdn , cpi.flush , cpi.exack , cpi.a_rs1 , cpi.d.pc , cpi.d.inst , cpi.d.cnt , cpi.d.trap , cpi.d.annul , cpi.d.pv , cpi.a.pc , cpi.a.inst , cpi.a.cnt , cpi.a.trap , cpi.a.annul , cpi.a.pv , cpi.e.pc , cpi.e.inst , cpi.e.cnt , cpi.e.trap , cpi.e.annul , cpi.e.pv , cpi.m.pc , cpi.m.inst , cpi.m.cnt , cpi.m.trap , cpi.m.annul , cpi.m.pv , cpi.x.pc , cpi.x.inst , cpi.x.cnt , cpi.x.trap , cpi.x.annul , cpi.x.pv , cpi.lddata , cpi.dbg.enable , cpi.dbg.write , cpi.dbg.fsr , cpi.dbg.addr , cpi.dbg.data , cpo.data , cpo.exc , cpo.cc , cpo.ccv , cpo.ldlock , cpo.holdn , cpo.dbg.data , rfi1.rd1addr , rfi1.rd2addr , rfi1.wraddr , rfi1.wrdata , rfi1.ren1 , rfi1.ren2 , rfi1.wren , rfi2.rd1addr , rfi2.rd2addr , rfi2.wraddr , rfi2.wrdata , rfi2.ren1 , rfi2.ren2 , rfi2.wren , rfo1.data1 , rfo1.data2 , rfo2.data1 , rfo2.data2 ); end generate; rf1 : regfile_3p_l3 generic map (memtech, 4, 32, 1, 16, scantest ) port map (clk, rfi1.wraddr, rfi1.wrdata, rfi1.wren, clk, rfi1.rd1addr, rfi1.ren1, rfo1.data1, rfi1.rd2addr, rfi1.ren2, rfo1.data2, testin ); rf2 : regfile_3p_l3 generic map (memtech, 4, 32, 1, 16, scantest ) port map (clk, rfi2.wraddr, rfi2.wrdata, rfi2.wren, clk, rfi2.rd1addr, rfi2.ren1, rfo2.data1, rfi2.rd2addr, rfi2.ren2, rfo2.data2, testin ); end;
gpl-2.0
9bf159cb5555078d13b95f897d75b3e1
0.501197
3.435303
false
false
false
false
aortiz49/MIPS-Processor
Hardware/mux_gen.vhd
1
508
library ieee; use ieee.std_logic_1164.all; entity mux_gen is generic ( width : integer := 32 ); port( in1 : in std_logic_vector(width-1 downto 0); in0 : in std_logic_vector(width-1 downto 0); sel : in std_logic; output : out std_logic_vector(width-1 downto 0) ); end mux_gen; architecture bhv of mux_gen is begin process(in0,in1,sel) begin case sel is when '1' => output <= in1; when others => output <= in0; end case; end process; end bhv;
mit
26efbd5a3ccccb09ffbee09d3a203e6a
0.610236
2.552764
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/grlib/amba/ahbmst.vhd
1
5,770
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ahbmst -- File: ahbmst.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Generic AHB master interface ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; entity ahbmst is generic ( hindex : integer := 0; hirq : integer := 0; venid : integer := VENDOR_GAISLER; devid : integer := 0; version : integer := 0; chprot : integer := 3; incaddr : integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; dmai : in ahb_dma_in_type; dmao : out ahb_dma_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type ); end; architecture rtl of ahbmst is constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( venid, devid, 0, version, 0), others => zero32); type reg_type is record start : std_ulogic; retry : std_ulogic; grant : std_ulogic; active : std_ulogic; end record; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RES : reg_type := ('0', '0', '0', '0'); signal r, rin : reg_type; begin comb : process(ahbi, dmai, rst, r) variable v : reg_type; variable ready : std_ulogic; variable retry : std_ulogic; variable mexc : std_ulogic; variable inc : std_logic_vector(5 downto 0); -- address increment variable haddr : std_logic_vector(31 downto 0); -- AHB address variable hwdata : std_logic_vector(AHBDW-1 downto 0); -- AHB write data variable htrans : std_logic_vector(1 downto 0); -- transfer type variable hwrite : std_ulogic; -- read/write variable hburst : std_logic_vector(2 downto 0); -- burst type variable newaddr : std_logic_vector(9 downto 0); -- next sequential address variable hbusreq : std_ulogic; -- bus request variable hprot : std_logic_vector(3 downto 0); -- transfer type variable xhirq : std_logic_vector(NAHBIRQ-1 downto 0); begin v := r; ready := '0'; mexc := '0'; retry := '0'; inc := (others => '0'); hprot := conv_std_logic_vector(chprot, 4); -- non-cached supervisor data xhirq := (others => '0'); xhirq(hirq) := dmai.irq; haddr := dmai.address; hbusreq := dmai.start; hwdata := dmai.wdata; newaddr := dmai.address(9 downto 0); if INCADDR > 0 then inc(conv_integer(dmai.size)) := '1'; newaddr := haddr(9 downto 0) + inc; end if; if dmai.burst = '0' then hburst := HBURST_SINGLE; else hburst := HBURST_INCR; end if; if dmai.start = '1' then if (r.active and dmai.burst and not r.retry) = '1' then haddr(9 downto 0) := newaddr; if dmai.busy = '1' then htrans := HTRANS_BUSY; else htrans := HTRANS_SEQ; end if; hburst := HBURST_INCR; else htrans := HTRANS_NONSEQ; end if; else htrans := HTRANS_IDLE; end if; if r.active = '1' then if ahbi.hready = '1' then case ahbi.hresp is when HRESP_OKAY => ready := '1'; when HRESP_RETRY | HRESP_SPLIT=> retry := '1'; when others => ready := '1'; mexc := '1'; end case; end if; if ((ahbi.hresp = HRESP_RETRY) or (ahbi.hresp = HRESP_SPLIT)) then v.retry := not ahbi.hready; else v.retry := '0'; end if; end if; if r.retry = '1' then htrans := HTRANS_IDLE; end if; v.start := '0'; if ahbi.hready = '1' then v.grant := ahbi.hgrant(hindex); if (htrans = HTRANS_NONSEQ) or (htrans = HTRANS_SEQ) or (htrans = HTRANS_BUSY) then v.active := r.grant; v.start := r.grant; else v.active := '0'; end if; end if; if (not RESET_ALL) and (rst = '0') then v.retry := RES.retry; v.active := RES.active; end if; rin <= v; ahbo.haddr <= haddr; ahbo.htrans <= htrans; ahbo.hbusreq <= hbusreq; ahbo.hwdata <= hwdata; ahbo.hconfig <= hconfig; ahbo.hlock <= '0'; ahbo.hwrite <= dmai.write; ahbo.hsize <= dmai.size; ahbo.hburst <= hburst; ahbo.hprot <= hprot; ahbo.hirq <= xhirq; ahbo.hindex <= hindex; dmao.start <= r.start; dmao.active <= r.active; dmao.ready <= ready; dmao.mexc <= mexc; dmao.retry <= retry; dmao.haddr <= newaddr; dmao.rdata <= ahbi.hrdata; end process; regs : process(clk) begin if rising_edge(clk) then r <= rin; if RESET_ALL and rst = '0' then r <= RES; end if; end if; end process; end;
gpl-2.0
f95faf7e86326e271c1c2f6493554fb6
0.580416
3.599501
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/gencomp/clkgen.in.vhd
6
343
-- Clock generator constant CFG_CLKTECH : integer := CFG_CLK_TECH; constant CFG_CLKMUL : integer := CONFIG_CLK_MUL; constant CFG_CLKDIV : integer := CONFIG_CLK_DIV; constant CFG_PCIDLL : integer := CONFIG_PCI_CLKDLL; constant CFG_PCISYSCLK: integer := CONFIG_PCI_SYSCLK; constant CFG_CLK_NOFB : integer := CONFIG_CLK_NOFB;
gpl-2.0
1d9a1776c7421da70bccfa7e408c2cc1
0.699708
3.610526
false
true
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-ml605/svga2ch7301c.vhd
1
7,634
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: svga2ch7301c -- File: svga2ch7301c.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- [email protected] -- -- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel -- CH7301C DVI transmitter. Multiplexes data and generates clocks. -- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB -- template designs. -- -- This multiplexer has been developed for use with the Chrontel CH7301C DVI -- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet: -- -- IDF Description -- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1) -- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2) -- 2 8-bit multiplexed RGB input (16-bit color, 565) -- 3 8-bit multiplexed RGB input (15-bit color, 555) -- -- This core assumes a 100 MHz input clock on the 'clk' input. -- -- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth -- to decide if multiplexing should be done according to IDF 0 or IDF 2. -- vago.bitdepth = "11" gives IDF 0, others give IDF2. -- The 'idf' generic is not used when the 'dynamic' generic is non-zero. -- Note that if dynamic selection is enabled you will need to reconfigure -- the DVI transmitter when the VGA core changes bit depth. -- library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.misc.all; library grlib; use grlib.stdlib.all; -- pragma translate_off library unisim; use unisim.BUFG; use unisim.DCM; -- pragma translate_on library techmap; use techmap.gencomp.all; entity svga2ch7301c is generic ( tech : integer := 0; idf : integer := 0; dynamic : integer := 0 ); port ( clk100 : in std_ulogic; clk125 : in std_ulogic; rstn : in std_ulogic; clksel : in std_logic_vector(1 downto 0); vgao : in apbvga_out_type; clkvga : out std_ulogic; dclk_p : out std_ulogic; dclk_n : out std_ulogic; data : out std_logic_vector(11 downto 0); hsync : out std_ulogic; vsync : out std_ulogic; de : out std_ulogic ); end svga2ch7301c; architecture rtl of svga2ch7301c is component BUFG port (O : out std_logic; I : in std_logic); end component; constant VERSION : integer := 1; signal vcc, gnd : std_logic; signal d0, d1 : std_logic_vector(11 downto 0); signal red, green, blue : std_logic_vector(7 downto 0); signal lvgaclk, vgaclk, clk40, clk65, clk50, clk25 : std_ulogic; signal clkval : std_logic_vector(1 downto 0); signal clkval40 : std_logic_vector(1 downto 0); signal clkval65 : std_logic_vector(1 downto 0); begin -- rtl vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------- -- RGB data multiplexer ----------------------------------------------------------------------------- red <= vgao.video_out_r; green <= vgao.video_out_g; blue <= vgao.video_out_b; clkvga <= vgaclk; static: if dynamic = 0 generate idf0: if (idf = 0) generate d0 <= green(3 downto 0) & blue(7 downto 0); d1 <= red(7 downto 0) & green(7 downto 4); end generate; idf1: if (idf = 1) generate d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0); d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1); end generate; idf2: if (idf = 2) generate d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate; idf3: if (idf = 3) generate d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3); d0(3 downto 0) <= (others => '0'); d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6); d1(3 downto 0) <= (others => '0'); data(3 downto 0) <= (others => '0'); end generate idf3; -- DDR regs dataregs: for i in 11 downto (4*(idf/2)) generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; nostatic: if dynamic /= 0 generate d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else green(4 downto 2) & blue(7 downto 3) & "0000"; d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else red(7 downto 3) & green(7 downto 5) & "0000"; dataregs: for i in 11 downto 0 generate ddr_oreg0 : ddr_oreg generic map (tech) port map (q => data(i), c1 => vgaclk, c2 => gnd, ce => vcc, d1 => d0(i), d2 => d1(i), r => gnd, s => gnd); end generate; end generate; ----------------------------------------------------------------------------- -- Sync signals ----------------------------------------------------------------------------- process (vgaclk) begin -- process if rising_edge(vgaclk) then hsync <= vgao.hsync; vsync <= vgao.vsync; de <= vgao.blank; end if; end process; ----------------------------------------------------------------------------- -- Clock generation ----------------------------------------------------------------------------- ddroreg_p : ddr_oreg generic map (tech) port map (q => dclk_p, c1 => vgaclk, c2 => gnd, ce => vcc, d1 => vcc, d2 => gnd, r => gnd, s => gnd); ddroreg_n : ddr_oreg generic map (tech) port map (q => dclk_n, c1 => vgaclk, c2 => gnd, ce => vcc, d1 => gnd, d2 => vcc, r => gnd, s => gnd); -- Clock selection bufg00 : BUFG port map (I => lvgaclk, O => vgaclk); lvgaclk <= clk25 when clksel = "00" else clk40 when clksel = "01" else clk50 when clksel = "10" else clk65; -- Generate clocks clkdiv : process(clk100, rstn) begin if rstn = '0' then clkval <= "00"; elsif rising_edge(clk100) then clkval <= clkval + 1; end if; end process; clkdiv65 : process(clk125, rstn) begin if rstn = '0' then clkval65 <= "00"; clkval40 <= "00"; elsif rising_edge(clk125) then clkval65 <= clkval65 + 1; if clkval40 = "10" then clkval40 <= "00"; else clkval40 <= clkval40 + 1; end if; end if; end process; clk25 <= clkval(1); clk50 <= clkval(0); clk40 <= clkval40(1); clk65 <= clkval65(0); end rtl;
gpl-2.0
3cbd2aede73af014e257f5e71b0d4baf
0.560781
3.630052
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/syncfifo_2p.vhd
1
5,626
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: syncfifo_2p -- File: syncfifo_2p.vhd -- Author: Andrea Gianarro - Aeroflex Gaisler AB -- Description: Syncronous 2-port fifo with tech selection ----------------------------------------------------------------------------- -- Revisions: -- 2014/12/16 Pascal Trotta: support for generic fifo -- Notes: Generic fifo has the following features & limitations: -- -almost full is driven only in write clock domain; -- -almost empty is driven only in read clock domain; -- -full and empty are driven in both clock domains; -- -usedw is re-computed in each clock domain; -- -in "first word fall through" mode empty should be observed as data -- valid signal. If renable is asserted while empty='0', and at the -- next read clock rising edge empty='1', then new read data is not -- valid because fifo is empty. This does not apply in standard fifo -- mode, i.e., when empty is asserted, the last read data is valid; -- -supports only sepclk=1, i.e., asynchronous read/write clocks. -- -it works also if rclk = wclk, but synchronization stages and gray -- encoder/decoder are always instantiated, even if not necessary. ------------------------------------------------------------------------------ library ieee; library techmap; use ieee.std_logic_1164.all; use techmap.gencomp.all; use work.allmem.all; library grlib; use grlib.config.all; use grlib.config_types.all; use grlib.stdlib.all; entity syncfifo_2p is generic ( tech : integer := 0; -- target technology abits : integer := 10; -- fifo address bits (actual fifo depth = 2**abits) dbits : integer := 32; -- fifo data width sepclk : integer := 1; -- 1 = asynchrounous read/write clocks, 0 = synchronous read/write clocks pfull : integer := 100; -- almost full threshold (max 2**abits - 3) pempty : integer := 10; -- almost empty threshold (min 2) fwft : integer := 0 -- 1 = first word fall trough mode, 0 = standard mode ); port ( rclk : in std_logic; -- read clock rrstn : in std_logic; -- read clock domain synchronous reset wrstn : in std_logic; -- write clock domain synchronous reset renable : in std_logic; -- read enable rfull : out std_logic; -- fifo full (synchronized in read clock domain) rempty : out std_logic; -- fifo empty aempty : out std_logic; -- fifo almost empty (depending on pempty threshold) rusedw : out std_logic_vector(abits-1 downto 0); -- fifo used words (synchronized in read clock domain) dataout : out std_logic_vector(dbits-1 downto 0); -- fifo data output wclk : in std_logic; -- write clock write : in std_logic; -- write enable wfull : out std_logic; -- fifo full afull : out std_logic; -- fifo almost full (depending on pfull threshold) wempty : out std_logic; -- fifo empty (synchronized in write clock domain) wusedw : out std_logic_vector(abits-1 downto 0); -- fifo used words (synchronized in write clock domain) datain : in std_logic_vector(dbits-1 downto 0)); -- fifo data input end; architecture rtl of syncfifo_2p is begin -- Altera fifo alt : if (tech = altera) or (tech = stratix1) or (tech = stratix2) or (tech = stratix3) or (tech = stratix4) generate x0 : altera_fifo_dp generic map (tech, abits, dbits) port map (rclk, renable, rfull, rempty, rusedw, dataout, wclk, write, wfull, wempty, wusedw, datain); end generate; -- generic FIFO implemented using syncram_2p component inf : if (tech /= altera) and (tech /= stratix1) and (tech /= stratix2) and (tech /= stratix3) and (tech /= stratix4) generate x0: generic_fifo generic map (tech, abits, dbits, sepclk, pfull, pempty, fwft) port map (rclk, rrstn, wrstn, renable, rfull, rempty, aempty, rusedw, dataout, wclk, write, wfull, afull, wempty, wusedw, datain); end generate; -- pragma translate_off nofifo : if (has_2pfifo(tech) = 0) and (has_2pram(tech) = 0) generate x : process begin assert false report "syncfifo_2p: technology " & tech_table(tech) & " not supported" severity failure; wait; end process; end generate; dmsg : if GRLIB_CONFIG_ARRAY(grlib_debug_level) >= 2 generate x : process begin assert false report "syncfifo_2p: " & tost(2**abits) & "x" & tost(dbits) & " (" & tech_table(tech) & ")" severity note; wait; end process; end generate; -- pragma translate_on end;
gpl-2.0
fd17c79ecfa2095ce8c4c377a3c61aa8
0.630466
3.926029
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/leon3v3/dsu3x.vhd
1
40,249
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: dsu -- File: dsu.vhd -- Author: Jiri Gaisler, Edvin Catovic - Gaisler Research -- Description: Combined LEON3 debug support and AHB trace unit ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.config_types.all; use grlib.config.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.leon3.all; library techmap; use techmap.gencomp.all; entity dsu3x is generic ( hindex : integer := 0; haddr : integer := 16#900#; hmask : integer := 16#f00#; ncpu : integer := 1; tbits : integer := 30; -- timer bits (instruction trace time tag) tech : integer := DEFMEMTECH; irq : integer := 0; kbytes : integer := 0; clk2x : integer range 0 to 1 := 0; testen : integer := 0; bwidth : integer := 32; ahbpf : integer := 0 ); port ( rst : in std_ulogic; hclk : in std_ulogic; cpuclk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; tahbsi : in ahb_slv_in_type; dbgi : in l3_debug_out_vector(0 to NCPU-1); dbgo : out l3_debug_in_vector(0 to NCPU-1); dsui : in dsu_in_type; dsuo : out dsu_out_type; hclken : in std_ulogic ); attribute sync_set_reset of rst : signal is "true"; end; architecture rtl of dsu3x is constant TBUFABITS : integer := log2(kbytes) + 6; constant NBITS : integer := log2x(ncpu); constant PROC_H : integer := 24+NBITS-1; constant PROC_L : integer := 24; constant AREA_H : integer := 23; constant AREA_L : integer := 20; constant HBITS : integer := 28; constant DSU3_VERSION : integer := 2; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_LEON3DSU, 0, DSU3_VERSION, 0), 4 => ahb_membar(haddr, '0', '0', hmask), others => zero32); type slv_reg_type is record hsel : std_ulogic; haddr : std_logic_vector(PROC_H downto 0); hwrite : std_ulogic; hwdata : std_logic_vector(31 downto 0); hrdata : std_logic_vector(31 downto 0); hready : std_ulogic; hready2 : std_ulogic; end record; constant slv_reg_none : slv_reg_type := ( hsel => '0', haddr => (others => '0'), hwrite => '0', hwdata => (others => '0'), hrdata => (others => '0'), hready => '1', hready2 => '1' ); type reg_type is record slv : slv_reg_type; en : std_logic_vector(0 to NCPU-1); te : std_logic_vector(0 to NCPU-1); be : std_logic_vector(0 to NCPU-1); bw : std_logic_vector(0 to NCPU-1); bs : std_logic_vector(0 to NCPU-1); bx : std_logic_vector(0 to NCPU-1); bz : std_logic_vector(0 to NCPU-1); halt : std_logic_vector(0 to NCPU-1); reset : std_logic_vector(0 to NCPU-1); bn : std_logic_vector(NCPU-1 downto 0); ss : std_logic_vector(NCPU-1 downto 0); bmsk : std_logic_vector(NCPU-1 downto 0); dmsk : std_logic_vector(NCPU-1 downto 0); cnt : std_logic_vector(2 downto 0); dsubre : std_logic_vector(2 downto 0); dsuen : std_logic_vector(2 downto 0); act : std_ulogic; timer : std_logic_vector(tbits-1 downto 0); pwd : std_logic_vector(NCPU-1 downto 0); tstop : std_ulogic; end record; constant RRES : reg_type := ( slv => slv_reg_none, en => (others => '0'), te => (others => '0'), be => (others => '0'), bw => (others => '0'), bs => (others => '0'), bx => (others => '0'), bz => (others => '0'), halt => (others => '0'), reset => (others => '0'), bn => (others => '0'), ss => (others => '0'), bmsk => (others => '0'), dmsk => (others => '0'), cnt => (others => '0'), dsubre => (others => '0'), dsuen => (others => '0'), act => '0', timer => (others => '0'), pwd => (others => '0'), tstop => '0' ); type trace_break_reg is record addr : std_logic_vector(31 downto 2); mask : std_logic_vector(31 downto 2); read : std_logic; write : std_logic; end record; constant trace_break_none : trace_break_reg := ( addr => (others => '0'), mask => (others => '0'), read => '0', write => '0' ); type tregtype is record haddr : std_logic_vector(31 downto 0); hwrite : std_logic; htrans : std_logic_vector(1 downto 0); hsize : std_logic_vector(2 downto 0); hburst : std_logic_vector(2 downto 0); hwdata : std_logic_vector(31 downto 0); hmaster : std_logic_vector(3 downto 0); hmastlock : std_logic; ahbactive : std_logic; aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index enable : std_logic; -- trace enable bphit : std_logic; -- AHB breakpoint hit bphit2 : std_logic; -- delayed bphit dcnten : std_logic; -- delay counter enable delaycnt : std_logic_vector(TBUFABITS - 1 downto 0); -- delay counter tbreg1 : trace_break_reg; tbreg2 : trace_break_reg; tbwr : std_logic; -- trace buffer write enable break : std_logic; -- break CPU when AHB tracing stops tforce : std_logic; -- Force AHB trace timeren : std_logic; -- Keep timer enabled sample : std_logic; -- Force sample end record; constant TRES : tregtype := ( haddr => (others => '0'), hwrite => '0', htrans => (others => '0'), hsize => (others => '0'), hburst => (others => '0'), hwdata => (others => '0'), hmaster => (others => '0'), hmastlock => '0', ahbactive => '0', aindex => (others => '0'), enable => '0', bphit => '0', bphit2 => '0', dcnten => '0', delaycnt => (others => '0'), tbreg1 => trace_break_none, tbreg2 => trace_break_none, tbwr => '0', break => '0', tforce => '0', timeren => '0', sample => '0' ); type tfregtype is record shsel : std_logic_vector(0 to NAHBSLV-1); pf : std_ulogic; -- Filter perf outputs af : std_ulogic; -- Address filtering fr : std_ulogic; -- Filter reads fw : std_ulogic; -- Filter writes smask : std_logic_vector(15 downto 0); mmask : std_logic_vector(15 downto 0); bpfilt : std_logic_vector(1 downto 0); end record; type pregtype is record stat : dsu_astat_type; split : std_ulogic; splmst : std_logic_vector(3 downto 0); hready : std_ulogic; hresp : std_logic_vector(1 downto 0); end record; constant PRES : pregtype := ( stat => dsu_astat_none, split => '0', splmst => "0000", hready => '1', hresp => "00"); constant TFRES : tfregtype := (shsel => (others => '0'), pf => '0', af => '0', fr => '0', fw => '0', smask => (others => '0'), mmask => (others => '0'), bpfilt => (others => '0')); type hclk_reg_type is record irq : std_ulogic; oen : std_ulogic; end record; constant hclk_reg_none : hclk_reg_type := ( irq => '0', oen => '0' ); constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant TRACEN : boolean := (kbytes /= 0); constant FILTEN : boolean := TRACEN and (ahbpf > 0); constant PERFEN : boolean := (ahbpf > 1); function ahb_filt_hit ( tr : tregtype; tfr : tfregtype) return boolean is variable hit : boolean; begin -- filter hit -> inhibit hit := false; -- Filter on read/write if ((tfr.fw and tr.hwrite) or (tfr.fr and not tr.hwrite)) = '1' then hit := true; end if; -- Filter on address range if (((tr.tbreg2.addr xor tr.haddr(31 downto 2)) and tr.tbreg2.mask) /= zero32(29 downto 0)) then if tfr.af = '1' then hit := true; end if; end if; -- Filter on master mask for i in tfr.mmask'range loop if i > NAHBMST-1 then exit; end if; if i = conv_integer(tr.hmaster) and tfr.mmask(i) = '1' then hit := true; end if; end loop; -- Filter on slave mask for i in tfr.smask'range loop if i > NAHBSLV-1 then exit; end if; if (tfr.shsel(i) and tfr.smask(i)) /= '0' then hit := true; end if; end loop; return hit; end function ahb_filt_hit; signal tbi : tracebuf_in_type; signal tbo : tracebuf_out_type; signal pr, prin : pregtype; signal tfr, tfrin : tfregtype; signal tr, trin : tregtype; signal r, rin : reg_type; signal rh, rhin : hclk_reg_type; signal ahbsi2, tahbsi2 : ahb_slv_in_type; signal hrdata2x : std_logic_vector(31 downto 0); begin comb: process(rst, r, ahbsi, ahbsi2, tahbsi2, dbgi, dsui, ahbmi, tr, tbo, hclken, rh, hrdata2x, tfr, pr) variable v : reg_type; variable iuacc : std_ulogic; variable dbgmode, tstop : std_ulogic; variable rawindex : integer range 0 to (2**NBITS)-1; variable index : natural range 0 to NCPU-1; variable hasel1 : std_logic_vector(AREA_H-1 downto AREA_L); variable hasel2 : std_logic_vector(6 downto 2); variable tv : tregtype; variable vabufi : tracebuf_in_type; variable aindex : std_logic_vector(TBUFABITS - 1 downto 0); -- buffer index variable hirq : std_logic_vector(NAHBIRQ-1 downto 0); variable cpwd : std_logic_vector(15 downto 0); variable hrdata : std_logic_vector(31 downto 0); variable hwdata : std_logic_vector(31 downto 0); variable rdata, wdata : std_logic_vector(127 downto 0); variable bphit : std_logic_vector(1 to 2); variable vh : hclk_reg_type; variable atact : std_ulogic; -- ahb trace active variable tfv : tfregtype; variable pv : pregtype; begin v := r; iuacc := '0'; --v.slv.hready := '0'; dbgmode := '0'; tstop := '1'; v.dsubre := r.dsubre(1 downto 0) & dsui.break; v.dsuen := r.dsuen(1 downto 0) & dsui.enable; hrdata := r.slv.hrdata; hwdata := ahbreadword(ahbsi2.hwdata, r.slv.haddr(4 downto 2)); wdata := (others => '0'); rdata := (others => '0'); tv := tr; vabufi.enable := '0'; tv.bphit := '0'; tv.tbwr := '0'; tv.sample := '0'; if (clk2x /= 0) then tv.bphit2 := tr.bphit; else tv.bphit2 := '0'; end if; vabufi.data := (others => '0'); vabufi.addr := (others => '0'); vabufi.write := (others => '0'); aindex := (others => '0'); hirq := (others => '0'); v.reset := (others => '0'); tfv := tfr; pv := pr; if TRACEN then aindex := tr.aindex + 1; if (clk2x /= 0) then vh.irq := tr.bphit or tr.bphit2; hirq(irq) := rh.irq; else hirq(irq) := tr.bphit; end if; end if; if hclken = '1' then v.slv.hready := '0'; v.act := '0'; end if; atact := tr.enable and ((not r.act) or tr.tforce); -- check for AHB watchpoints bphit := (others => '0'); if TRACEN and ((tahbsi2.hready and tr.ahbactive) = '1') then if ((((tr.tbreg1.addr xor tr.haddr(31 downto 2)) and tr.tbreg1.mask) = zero32(29 downto 0)) and (((tr.tbreg1.read and not tr.hwrite) or (tr.tbreg1.write and tr.hwrite)) = '1')) then bphit(1) := '1'; end if; if ((((tr.tbreg2.addr xor tr.haddr(31 downto 2)) and tr.tbreg2.mask) = zero32(29 downto 0)) and (((tr.tbreg2.read and not tr.hwrite) or (tr.tbreg2.write and tr.hwrite)) = '1')) then bphit(2) := '1'; end if; end if; -- generate AHB buffer inputs vabufi.write := (others => '0'); if TRACEN then wdata(AHBDW-1 downto 0) := tahbsi2.hwdata; rdata(AHBDW-1 downto 0) := ahbmi.hrdata; if atact = '1' then vabufi.addr(TBUFABITS-1 downto 0) := tr.aindex; vabufi.data(127) := orv(bphit); vabufi.data(96+tbits-1 downto 96) := r.timer; vabufi.data(94 downto 80) := (others => '0'); --ahbmi.hirq(15 downto 1); vabufi.data(79) := tr.hwrite; vabufi.data(78 downto 77) := tr.htrans; vabufi.data(76 downto 74) := tr.hsize; vabufi.data(73 downto 71) := tr.hburst; vabufi.data(70 downto 67) := tr.hmaster; vabufi.data(66) := tr.hmastlock; vabufi.data(65 downto 64) := ahbmi.hresp; if tr.hwrite = '1' then vabufi.data(63 downto 32) := wdata(31 downto 0); vabufi.data(223 downto 128) := wdata(127 downto 32); else vabufi.data(63 downto 32) := rdata(31 downto 0); vabufi.data(223 downto 128) := rdata(127 downto 32); end if; vabufi.data(31 downto 0) := tr.haddr; else if bwidth = 32 then vabufi.addr(TBUFABITS-1 downto 0) := r.slv.haddr(TBUFABITS+3 downto 4); --tr.haddr(TBUFABITS+3 downto 4); else vabufi.addr(TBUFABITS-1 downto 0) := r.slv.haddr(TBUFABITS+4 downto 5); --tr.haddr(TBUFABITS+4 downto 5); end if; -- Note: HWDATA from register i/f vabufi.data(255 downto 0) := hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata & hwdata; end if; -- filter and write trace buffer if atact = '1' then if ((tr.ahbactive and tahbsi2.hready) or tr.sample) = '1' then if not (FILTEN and ahb_filt_hit(tr, tfr)) then tv.aindex := aindex; tv.tbwr := '1'; vabufi.enable := '1'; vabufi.write := (others => '1'); elsif FILTEN then for i in 1 to 2 loop if tfr.bpfilt(i-1) = '1' then bphit(i) := '0'; end if; end loop; end if; end if; end if; -- trigger AHB break/watchpoints if orv(bphit) = '1' then if (atact = '1') and (tr.dcnten = '0') and (tr.delaycnt /= zero32(TBUFABITS-1 downto 0)) then tv.dcnten := '1'; else tv.enable := '0'; tv.tforce := '0'; tv.timeren := '0'; tv.bphit := tr.break; end if; end if; -- trace buffer delay counter handling if (tr.dcnten = '1') then if (tr.delaycnt = zero32(TBUFABITS-1 downto 0)) then tv.enable := '0'; tv.dcnten := '0'; tv.bphit := tr.break; end if; if tr.tbwr = '1' then tv.delaycnt := tr.delaycnt - 1; end if; end if; -- AHB statistics if PERFEN then pv.hready := tahbsi2.hready; pv.hresp := ahbmi.hresp; pv.stat := dsu_astat_none; if pr.hready = '1' then case tr.htrans is when HTRANS_IDLE => pv.stat.idle := '1'; when HTRANS_BUSY => pv.stat.busy := '1'; when HTRANS_NONSEQ => pv.stat.nseq := '1'; when others => pv.stat.seq := '1'; end case; if tr.ahbactive = '1' then pv.stat.read := not tr.hwrite; pv.stat.write := tr.hwrite; case tr.hsize is when HSIZE_BYTE => pv.stat.hsize(0) := '1'; when HSIZE_HWORD => pv.stat.hsize(1) := '1'; when HSIZE_WORD => pv.stat.hsize(2) := '1'; when HSIZE_DWORD => pv.stat.hsize(3) := '1'; when HSIZE_4WORD => pv.stat.hsize(4) := '1'; when others => pv.stat.hsize(5) := '1'; end case; end if; pv.stat.hmaster := tr.hmaster; end if; if pr.hresp = HRESP_OKAY then pv.stat.ws := not pr.hready; end if; -- It may also be interesting to count the maximum grant latency. That -- is; the delay between asserting hbusreq and receiving hgrant. This -- would require that all bus request signals were present in this -- entity. This has been left as a possible future extension. if pr.hready = '1' then if pr.hresp = HRESP_SPLIT then pv.stat.split := '1'; pv.split := '1'; if pr.split = '0' then pv.splmst := tr.hmaster; end if; end if; if pr.hresp = HRESP_RETRY then pv.stat.retry := '1'; end if; end if; pv.stat.locked := tr.hmastlock; if tfr.pf = '1' and ahb_filt_hit(tr, tfr) then pv.stat := dsu_astat_none; pv.split := pr.split; pv.splmst := pr.splmst; end if; -- Count cycles where master is in SPLIT if pr.split = '1' then for i in ahbmi.hgrant'range loop if i = conv_integer(pr.splmst) and ahbmi.hgrant(i) = '1' then pv.split := '0'; end if; end loop; pv.stat.spdel := pv.split; end if; end if; -- save AHB transfer parameters if (tahbsi2.hready or tr.sample) = '1' then tv.haddr := tahbsi2.haddr; tv.hwrite := tahbsi2.hwrite; tv.htrans := tahbsi2.htrans; tv.hsize := tahbsi2.hsize; tv.hburst := tahbsi2.hburst; tv.hmaster := tahbsi2.hmaster; tv.hmastlock := tahbsi2.hmastlock; tv.ahbactive := tahbsi2.htrans(1); if FILTEN then tfv.shsel := tahbsi2.hsel; end if; end if; end if; if r.slv.hsel = '1' then if (clk2x = 0) then v.cnt := r.cnt - 1; else if (r.cnt /= "111") or (hclken = '1') then v.cnt := r.cnt - 1; end if; end if; end if; if (r.slv.hready and hclken) = '1' then v.slv.hsel := '0'; --v.slv.act := '0'; end if; for i in 0 to NCPU-1 loop if dbgi(i).dsumode = '1' then if r.dmsk(i) = '0' then dbgmode := '1'; if hclken = '1' then v.act := '1'; end if; end if; v.bn(i) := '1'; else tstop := '0'; end if; end loop; if ((r.dsuen(2) and not tstop) or tr.timeren) = '1' then v.timer := r.timer + 1; end if; if (clk2x /= 0) then if hclken = '1' then v.tstop := tstop; end if; tstop := r.tstop; end if; cpwd := (others => '0'); for i in 0 to NCPU-1 loop v.bn(i) := v.bn(i) or (dbgmode and r.bmsk(i)) or (r.dsubre(1) and not r.dsubre(2)); if TRACEN then v.bn(i) := v.bn(i) or (tr.bphit and not r.ss(i) and not r.act); end if; v.pwd(i) := dbgi(i).idle and (not dbgi(i).ipend) and not v.bn(i); end loop; cpwd(NCPU-1 downto 0) := r.pwd; if (ahbsi2.hready and ahbsi2.hsel(hindex)) = '1' then if (ahbsi2.htrans(1) = '1') then v.slv.hsel := '1'; v.slv.haddr := ahbsi2.haddr(PROC_H downto 0); v.slv.hwrite := ahbsi2.hwrite; v.cnt := "111"; end if; end if; for i in 0 to NCPU-1 loop v.en(i) := r.dsuen(2) and dbgi(i).dsu; end loop; rawindex := conv_integer(r.slv.haddr(PROC_H downto PROC_L)); if ncpu = 1 then index := 0; else if rawindex > ncpu then index := ncpu-1; else index := rawindex; end if; end if; hasel1 := r.slv.haddr(AREA_H-1 downto AREA_L); hasel2 := r.slv.haddr(6 downto 2); if r.slv.hsel = '1' then case hasel1 is when "000" => -- DSU registers if r.cnt(2 downto 0) = "110" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; hrdata := (others => '0'); case hasel2 is when "00000" => if r.slv.hwrite = '1' then if hclken = '1' then v.te(index) := hwdata(0); v.be(index) := hwdata(1); v.bw(index) := hwdata(2); v.bs(index) := hwdata(3); v.bx(index) := hwdata(4); v.bz(index) := hwdata(5); v.reset(index) := hwdata(9); v.halt(index) := hwdata(10); else v.reset := r.reset; end if; end if; hrdata(0) := r.te(index); hrdata(1) := r.be(index); hrdata(2) := r.bw(index); hrdata(3) := r.bs(index); hrdata(4) := r.bx(index); hrdata(5) := r.bz(index); hrdata(6) := dbgi(index).dsumode; hrdata(7) := r.dsuen(2); hrdata(8) := r.dsubre(2); hrdata(9) := not dbgi(index).error; hrdata(10) := dbgi(index).halt; hrdata(11) := dbgi(index).pwd; when "00010" => -- timer if r.slv.hwrite = '1' then if hclken = '1' then v.timer := hwdata(tbits-1 downto 0); else v.timer := r.timer; end if; end if; hrdata(tbits-1 downto 0) := r.timer; when "01000" => if r.slv.hwrite = '1' then if hclken = '1' then v.bn := hwdata(NCPU-1 downto 0); v.ss := hwdata(16+NCPU-1 downto 16); else v.bn := r.bn; v.ss := r.ss; end if; end if; hrdata(NCPU-1 downto 0) := r.bn; hrdata(16+NCPU-1 downto 16) := r.ss; when "01001" => if (r.slv.hwrite and hclken) = '1' then v.bmsk(NCPU-1 downto 0) := hwdata(NCPU-1 downto 0); v.dmsk(NCPU-1 downto 0) := hwdata(NCPU-1+16 downto 16); end if; hrdata(NCPU-1 downto 0) := r.bmsk; hrdata(NCPU-1+16 downto 16) := r.dmsk; when "10000" => if TRACEN then hrdata((TBUFABITS + 15) downto 16) := tr.delaycnt; hrdata(6 downto 5) := tr.timeren & tr.tforce; hrdata(4 downto 0) := conv_std_logic_vector(log2(bwidth/32), 2) & tr.break & tr.dcnten & tr.enable; if r.slv.hwrite = '1' then if hclken = '1' then tv.delaycnt := hwdata((TBUFABITS+ 15) downto 16); tv.sample := hwdata(7); tv.timeren := hwdata(6); tv.tforce := hwdata(5); tv.break := hwdata(2); tv.dcnten := hwdata(1); tv.enable := hwdata(0); else tv.delaycnt := tr.delaycnt; tv.sample := tr.sample; tv.timeren := tr.timeren; tv.tforce := tr.tforce; tv.break := tr.break; tv.dcnten := tr.dcnten; tv.enable := tr.enable; end if; end if; end if; when "10001" => if TRACEN then hrdata((TBUFABITS - 1 + 4) downto 4) := tr.aindex; if r.slv.hwrite = '1' then if hclken = '1' then tv.aindex := hwdata((TBUFABITS - 1 + 4) downto 4); else tv.aindex := tr.aindex; end if; end if; end if; when "10010" => if FILTEN then hrdata(9 downto 8) := tfr.bpfilt; hrdata(3 downto 0) := tfr.pf & tfr.af & tfr.fr & tfr.fw; if r.slv.hwrite = '1' then if hclken = '1' then tfv.bpfilt := hwdata(9 downto 8); tfv.pf := hwdata(3); tfv.af := hwdata(2); tfv.fr := hwdata(1); tfv.fw := hwdata(0); else tfv.bpfilt := tfr.bpfilt; tfv.pf := tfr.pf; tfv.af := tfr.af; tfv.fr := tfr.fr; tfv.fw := tfr.fw; end if; end if; end if; when "10011" => if FILTEN then hrdata := tfr.smask & tfr.mmask; if r.slv.hwrite = '1' then if hclken = '1' then tfv.smask := hwdata(31 downto 16); tfv.mmask := hwdata(15 downto 0); else tfv.smask := tfr.smask; tfv.mmask := tfr.mmask; end if; end if; end if; when "10100" => if TRACEN then hrdata(31 downto 2) := tr.tbreg1.addr; if (r.slv.hwrite and hclken) = '1' then tv.tbreg1.addr := hwdata(31 downto 2); end if; end if; when "10101" => if TRACEN then hrdata := tr.tbreg1.mask & tr.tbreg1.read & tr.tbreg1.write; if (r.slv.hwrite and hclken) = '1' then tv.tbreg1.mask := hwdata(31 downto 2); tv.tbreg1.read := hwdata(1); tv.tbreg1.write := hwdata(0); end if; end if; when "10110" => if TRACEN then hrdata(31 downto 2) := tr.tbreg2.addr; if (r.slv.hwrite and hclken) = '1' then tv.tbreg2.addr := hwdata(31 downto 2); end if; end if; when "10111" => if TRACEN then hrdata := tr.tbreg2.mask & tr.tbreg2.read & tr.tbreg2.write; if (r.slv.hwrite and hclken) = '1' then tv.tbreg2.mask := hwdata(31 downto 2); tv.tbreg2.read := hwdata(1); tv.tbreg2.write := hwdata(0); end if; end if; when others => end case; when "010" => -- AHB tbuf if TRACEN then if r.cnt(2 downto 0) = "101" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; vabufi.enable := not atact; case r.slv.haddr(4 downto 2) is --case tr.haddr(4 downto 2) is when "000" => hrdata := tbo.data(127 downto 96); if (r.slv.hwrite and hclken) = '1' then vabufi.write(3) := vabufi.enable and v.slv.hready; end if; when "001" => hrdata := tbo.data(95 downto 64); if (r.slv.hwrite and hclken) = '1' then vabufi.write(2) := vabufi.enable and v.slv.hready; end if; when "010" => hrdata := tbo.data(63 downto 32); if (r.slv.hwrite and hclken) = '1' then vabufi.write(1) := vabufi.enable and v.slv.hready; end if; when "011" => hrdata := tbo.data(31 downto 0); if (r.slv.hwrite and hclken) = '1' then vabufi.write(0) := vabufi.enable and v.slv.hready; end if; when "100" => if bwidth > 32 then hrdata := tbo.data(159 downto 128); if (r.slv.hwrite and hclken) = '1' then vabufi.write(7) := vabufi.enable and v.slv.hready; end if; else hrdata := tbo.data(127 downto 96); if (r.slv.hwrite and hclken) = '1' then vabufi.write(3) := vabufi.enable and v.slv.hready; end if; end if; when "101" => if bwidth > 32 then if bwidth > 64 then hrdata := tbo.data(223 downto 192); if (r.slv.hwrite and hclken) = '1' then vabufi.write(6) := vabufi.enable and v.slv.hready; end if; else hrdata := zero32; end if; else hrdata := tbo.data(95 downto 64); if (r.slv.hwrite and hclken) = '1' then vabufi.write(2) := vabufi.enable and v.slv.hready; end if; end if; when "110" => if bwidth > 32 then if bwidth > 64 then hrdata := tbo.data(191 downto 160); if (r.slv.hwrite and hclken) = '1' then vabufi.write(5) := vabufi.enable and v.slv.hready; end if; else hrdata := zero32; end if; else hrdata := tbo.data(63 downto 32); if (r.slv.hwrite and hclken) = '1' then vabufi.write(1) := vabufi.enable and v.slv.hready; end if; end if; when others => if bwidth > 32 then hrdata := zero32; else hrdata := tbo.data(31 downto 0); if (r.slv.hwrite and hclken) = '1' then vabufi.write(0) := vabufi.enable and v.slv.hready; end if; end if; end case; else if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; when "011" | "001" => -- IU reg file, IU tbuf iuacc := '1'; hrdata := dbgi(index).data; if r.cnt(2 downto 0) = "101" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; when "100" => -- IU reg access iuacc := '1'; hrdata := dbgi(index).data; if r.cnt(1 downto 0) = "11" then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; when "111" => -- DSU ASI if r.cnt(2 downto 1) = "11" then iuacc := '1'; else iuacc := '0'; end if; if (dbgi(index).crdy = '1') or (r.cnt = "000") then if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end if; hrdata := dbgi(index).data; when others => if hclken = '1' then v.slv.hready := '1'; else v.slv.hready2 := '1'; end if; end case; if (r.slv.hready and hclken and not v.slv.hsel) = '1' then v.slv.hready := '0'; end if; if (clk2x /= 0) and (r.slv.hready2 and hclken) = '1' then v.slv.hready := '1'; end if; end if; if r.slv.hsel = '1' then if (r.slv.hwrite and hclken) = '1' then v.slv.hwdata := hwdata(31 downto 0); end if; if (clk2x = 0) or ((r.slv.hready or r.slv.hready2) = '0') then v.slv.hrdata := hrdata; end if; end if; if ((ahbsi2.hready and ahbsi2.hsel(hindex)) = '1') and (ahbsi2.htrans(1) = '0') then if (clk2x = 0) or (r.slv.hsel = '0') then v.slv.hready := '1'; end if; end if; if (clk2x /= 0) and (r.slv.hready = '1') then v.slv.hready2 := '0'; end if; if v.slv.hsel = '0' then v.slv.hready := '1'; end if; vh.oen := '0'; if (clk2x /= 0) then if (hclken and r.slv.hsel and (r.slv.hready2 or v.slv.hready)) = '1' then vh.oen := '1'; end if; if (r.slv.hsel = '1') and (r.cnt = "111") and (hclken = '0') then iuacc := '0'; end if; end if; if (not RESET_ALL) and (rst = '0') then v.bn := (others => r.dsubre(2)); v.bmsk := (others => '0'); v.dmsk := (others => '0'); v.ss := (others => '0'); v.timer := (others => '0'); v.slv.hsel := '0'; for i in 0 to NCPU-1 loop v.bw(i) := r.dsubre(2); v.be(i) := r.dsubre(2); v.bx(i) := r.dsubre(2); v.bz(i) := r.dsubre(2); v.bs(i) := '0'; v.te(i) := '0'; end loop; tv.ahbactive := '0'; tv.enable := '0'; tv.tforce := '0'; tv.timeren := '0'; tv.dcnten := '0'; tv.tbreg1.read := '0'; tv.tbreg1.write := '0'; tv.tbreg2.read := '0'; tv.tbreg2.write := '0'; v.slv.hready := '1'; v.halt := (others => '0'); v.act := '0'; v.tstop := '0'; if FILTEN then tfv.pf := '0'; tfv.af := '0'; tfv.fr := '0'; tfv.fw := '0'; tfv.smask := (others => '0'); tfv.mmask := (others => '0'); tfv.bpfilt := (others => '0'); end if; if PERFEN then pv.split := '0'; pv.splmst := (others => '0'); end if; end if; rin <= v; trin <= tv; tbi <= vabufi; tfrin <= tfv; prin <= pv; for i in 0 to NCPU-1 loop dbgo(i).tenable <= r.te(i); dbgo(i).dsuen <= r.en(i); dbgo(i).dbreak <= r.bn(i); -- or (dbgmode and r.bmsk(i)); if conv_integer(r.slv.haddr(PROC_H downto PROC_L)) = i then dbgo(i).denable <= iuacc; else dbgo(i).denable <= '0'; end if; dbgo(i).step <= r.ss(i); dbgo(i).berror <= r.be(i); dbgo(i).bsoft <= r.bs(i); dbgo(i).bwatch <= r.bw(i); dbgo(i).btrapa <= r.bx(i); dbgo(i).btrape <= r.bz(i); dbgo(i).daddr <= r.slv.haddr(PROC_L-1 downto 2); dbgo(i).ddata <= r.slv.hwdata(31 downto 0); dbgo(i).dwrite <= r.slv.hwrite; dbgo(i).halt <= r.halt(i); dbgo(i).reset <= r.reset(i); dbgo(i).timer(tbits-1 downto 0) <= r.timer; dbgo(i).timer(30 downto tbits) <= (others => '0'); end loop; ahbso.hconfig <= hconfig; ahbso.hresp <= HRESP_OKAY; ahbso.hready <= r.slv.hready; if (clk2x = 0) then ahbso.hrdata <= ahbdrivedata(r.slv.hrdata); else ahbso.hrdata <= ahbdrivedata(hrdata2x); end if; ahbso.hsplit <= (others => '0'); ahbso.hirq <= hirq; ahbso.hindex <= hindex; dsuo.active <= r.act; dsuo.tstop <= tstop; dsuo.pwd <= cpwd; if PERFEN then dsuo.astat <= pr.stat; else dsuo.astat <= dsu_astat_none; end if; rhin <= vh; end process; comb2gen0 : if (clk2x /= 0) generate -- register i/f gen0 : for i in ahbsi.hsel'range generate ag0 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hsel(i), hclken, ahbsi2.hsel(i)); end generate; gen1 : for i in ahbsi.haddr'range generate ag1 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.haddr(i), hclken, ahbsi2.haddr(i)); end generate; ag2 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hwrite, hclken, ahbsi2.hwrite); gen3 : for i in ahbsi.htrans'range generate ag3 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.htrans(i), hclken, ahbsi2.htrans(i)); end generate; gen4 : for i in ahbsi.hwdata'range generate ag4 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hwdata(i), hclken, ahbsi2.hwdata(i)); end generate; ag5 : clkand generic map (tech => 0, ren => 0) port map (ahbsi.hready, hclken, ahbsi2.hready); -- not used by register i/f: ahbsi2.hsize <= (others => '0'); ahbsi2.hburst <= (others => '0'); ahbsi2.hprot <= (others => '0'); ahbsi2.hmaster <= (others => '0'); ahbsi2.hmastlock <= '0'; ahbsi2.hmbsel <= (others => '0'); ahbsi2.hirq <= (others => '0'); ahbsi2.testen <= '0'; ahbsi2.testrst <= '0'; ahbsi2.scanen <= '0'; ahbsi2.testoen <= '0'; -- trace buffer: gen6 : for i in tahbsi.haddr'range generate ag6 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.haddr(i), hclken, tahbsi2.haddr(i)); end generate; ag7 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hwrite, hclken, tahbsi2.hwrite); gen8 : for i in tahbsi.htrans'range generate ag8 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.htrans(i), hclken, tahbsi2.htrans(i)); end generate; gen9 : for i in tahbsi.hsize'range generate ag9 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hsize(i), hclken, tahbsi2.hsize(i)); end generate; gen10 : for i in tahbsi.hburst'range generate a10 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hburst(i), hclken, tahbsi2.hburst(i)); end generate; gen11 : for i in tahbsi.hwdata'range generate ag11 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hwdata(i), hclken, tahbsi2.hwdata(i)); end generate; ag12 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hready, hclken, tahbsi2.hready); gen12 : for i in tahbsi.hmaster'range generate ag12 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hmaster(i), hclken, tahbsi2.hmaster(i)); end generate; ag13 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hmastlock, hclken, tahbsi2.hmastlock); gen14 : for i in tahbsi.hsel'range generate ag14 : clkand generic map (tech => 0, ren => 0) port map (tahbsi.hsel(i), hclken, tahbsi2.hsel(i)); end generate; -- not used by trace buffer: tahbsi2.hprot <= (others => '0'); tahbsi2.hmbsel <= (others => '0'); tahbsi2.hirq <= (others => '0'); tahbsi2.testen <= '0'; tahbsi2.testrst <= '0'; tahbsi2.scanen <= '0'; tahbsi2.testoen <= '0'; gen15 : for i in hrdata2x'range generate ag15 : clkand generic map (tech => 0, ren => 0) port map (r.slv.hrdata(i), rh.oen, hrdata2x(i)); end generate; reg2 : process(hclk) begin if rising_edge(hclk) then rh <= rhin; end if; end process; end generate; comb2gen1 : if (clk2x = 0) generate ahbsi2 <= ahbsi; rh.irq <= '0'; rh.oen <= '0'; hrdata2x <= (others => '0'); tahbsi2 <= tahbsi; end generate; reg : process(cpuclk) begin if rising_edge(cpuclk) then r <= rin; if RESET_ALL and (rst = '0') then r <= RRES; for i in 0 to NCPU-1 loop r.bn(i) <= r.dsubre(2); r.bw(i) <= r.dsubre(2); r.be(i) <= r.dsubre(2); r.bx(i) <= r.dsubre(2); r.bz(i) <= r.dsubre(2); end loop; r.dsubre <= rin.dsubre; -- Sync. regs. r.dsuen <= rin.dsuen; r.en <= rin.en; end if; end if; end process; tb0 : if TRACEN generate treg : process(cpuclk) begin if rising_edge(cpuclk) then tr <= trin; if RESET_ALL and (rst = '0') then tr <= TRES; end if; end if; end process; tpf : if FILTEN generate pfreg : process(cpuclk) begin if rising_edge(cpuclk) then tfr <= tfrin; if RESET_ALL and (rst = '0') then tfr <= TFRES; end if; end if; end process; end generate; perf : if PERFEN generate preg : process(cpuclk) begin if rising_edge(cpuclk) then pr <= prin; if RESET_ALL and (rst = '0') then pr <= PRES; end if; end if; end process; end generate; mem0 : tbufmem generic map (tech => tech, tbuf => kbytes, dwidth => bwidth, testen => testen) port map (cpuclk, tbi, tbo, ahbsi.testin ); -- pragma translate_off bootmsg : report_version generic map ("dsu3_" & tost(hindex) & ": LEON3 Debug support unit + AHB Trace Buffer, " & tost(kbytes) & " kbytes"); -- pragma translate_on end generate; notb : if not TRACEN generate tbo.data <= (others => '0'); tr <= TRES; -- pragma translate_off bootmsg : report_version generic map ("dsu3_" & tost(hindex) & ": LEON3 Debug support unit"); -- pragma translate_on end generate; notpf : if not FILTEN generate tfr.shsel <= (others => '0'); tfr.pf <= '0'; tfr.af <= '0'; tfr.fr <= '0'; tfr.fw <= '0'; tfr.smask <= (others => '0'); tfr.mmask <= (others => '0'); tfr.bpfilt <= (others => '0'); end generate; noperf : if not PERFEN generate pr.stat <= dsu_astat_none; pr.split <= '0'; pr.splmst <= (others => '0'); pr.hready <= '0'; pr.hresp <= (others => '0'); end generate; end;
gpl-2.0
e56dd4e27f0e6675f67f1e8f54881d0c
0.51246
3.429241
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-clock-gate/testbench.vhd
1
19,912
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; use gaisler.jtagtst.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 20; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); port ( pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents component leon3mp generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_logic; clk : in std_logic; pllref : in std_logic; errorn : out std_logic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); sa : out std_logic_vector(14 downto 0); sd : inout std_logic_vector(63 downto 0); sdclk : out std_logic; sdcke : out std_logic_vector (1 downto 0); -- sdram clock enable sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select sdwen : out std_logic; -- sdram write enable sdrasn : out std_logic; -- sdram ras sdcasn : out std_logic; -- sdram cas sddqm : out std_logic_vector (7 downto 0); -- sdram dqm dsutx : out std_logic; -- DSU tx data dsurx : in std_logic; -- DSU rx data dsuen : in std_logic; dsubre : in std_logic; dsuact : out std_logic; txd1 : out std_logic; -- UART1 tx data rxd1 : in std_logic; -- UART1 rx data txd2 : out std_logic; -- UART1 tx data rxd2 : in std_logic; -- UART1 rx data ramsn : out std_logic_vector (4 downto 0); ramoen : out std_logic_vector (4 downto 0); rwen : out std_logic_vector (3 downto 0); oen : out std_logic; writen : out std_logic; read : out std_logic; iosn : out std_logic; romsn : out std_logic_vector (1 downto 0); gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port emdio : inout std_logic; -- ethernet PHY interface etx_clk : in std_logic; erx_clk : in std_logic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_logic; erx_er : in std_logic; erx_col : in std_logic; erx_crs : in std_logic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_logic; etx_er : out std_logic; emdc : out std_logic; emddis : out std_logic; epwrdwn : out std_logic; ereset : out std_logic; esleep : out std_logic; epause : out std_logic; pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic; pci_arb_req : in std_logic_vector(0 to 3); pci_arb_gnt : out std_logic_vector(0 to 3); can_txd : out std_logic; can_rxd : in std_logic; can_stb : out std_logic; spw_clk : in std_logic; spw_rxd : in std_logic_vector(0 to 2); spw_rxdn : in std_logic_vector(0 to 2); spw_rxs : in std_logic_vector(0 to 2); spw_rxsn : in std_logic_vector(0 to 2); spw_txd : out std_logic_vector(0 to 2); spw_txdn : out std_logic_vector(0 to 2); spw_txs : out std_logic_vector(0 to 2); spw_txsn : out std_logic_vector(0 to 2); tck, tms, tdi : in std_logic; tdo : out std_logic ); end component; signal clk : std_logic := '0'; signal Rst : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(31 downto 0); signal ramsn : std_logic_vector(4 downto 0); signal ramoen : std_logic_vector(4 downto 0); signal rwen : std_logic_vector(3 downto 0); signal rwenx : std_logic_vector(3 downto 0); signal romsn : std_logic_vector(1 downto 0); signal iosn : std_logic; signal oen : std_logic; signal read : std_logic; signal writen : std_logic; signal brdyn : std_logic; signal bexcn : std_logic; signal wdog : std_logic; signal dsuen, dsutx, dsurx, dsubre, dsuact : std_logic; signal dsurst : std_logic; signal test : std_logic; signal error : std_logic; signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal GND : std_logic := '0'; signal VCC : std_logic := '1'; signal NC : std_logic := 'Z'; signal clk2 : std_logic := '1'; signal sdcke : std_logic_vector ( 1 downto 0); -- clk en signal sdcsn : std_logic_vector ( 1 downto 0); -- chip sel signal sdwen : std_logic; -- write en signal sdrasn : std_logic; -- row addr stb signal sdcasn : std_logic; -- col addr stb signal sddqm : std_logic_vector ( 7 downto 0); -- data i/o mask signal sdclk : std_logic; signal plllock : std_logic; signal txd1, rxd1 : std_logic; signal txd2, rxd2 : std_logic; signal etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic:='0'; signal erxd, etxd: std_logic_vector(3 downto 0):=(others=>'0'); signal erxdt, etxdt: std_logic_vector(7 downto 0):=(others=>'0'); signal gtx_clk : std_logic := '0'; signal emdc, emdio: std_logic; signal emddis : std_logic; signal epwrdwn : std_logic; signal ereset : std_logic; signal esleep : std_logic; signal epause : std_logic; constant lresp : boolean := false; signal sa : std_logic_vector(14 downto 0); signal sd : std_logic_vector(63 downto 0); signal pci_arb_req, pci_arb_gnt : std_logic_vector(0 to 3); signal can_txd : std_logic; signal can_rxd : std_logic; signal can_stb : std_logic; signal spw_clk : std_logic := '0'; signal spw_rxd : std_logic_vector(0 to 2) := "000"; signal spw_rxdn : std_logic_vector(0 to 2) := "000"; signal spw_rxs : std_logic_vector(0 to 2) := "000"; signal spw_rxsn : std_logic_vector(0 to 2) := "000"; signal spw_txd : std_logic_vector(0 to 2); signal spw_txdn : std_logic_vector(0 to 2); signal spw_txs : std_logic_vector(0 to 2); signal spw_txsn : std_logic_vector(0 to 2); signal tck, tms, tdi, tdo : std_logic; constant CFG_SDEN : integer := CFG_SDCTRL + CFG_MCTRL_SDEN ; constant CFG_SD64 : integer := CFG_SDCTRL_SD64 + CFG_MCTRL_SD64; begin -- clock and reset spw_clk <= not spw_clk after 20 ns; spw_rxd(0) <= spw_txd(0); spw_rxdn(0) <= spw_txdn(0); spw_rxs(0) <= spw_txs(0); spw_rxsn(0) <= spw_txsn(0); spw_rxd(1) <= spw_txd(1); spw_rxdn(1) <= spw_txdn(1); spw_rxs(1) <= spw_txs(1); spw_rxsn(1) <= spw_txsn(1); spw_rxd(2) <= spw_txd(0); spw_rxdn(2) <= spw_txdn(2); spw_rxs(2) <= spw_txs(0); spw_rxsn(2) <= spw_txsn(2); clk <= not clk after ct * 1 ns; rst <= dsurst; dsuen <= '1'; dsubre <= '0'; rxd1 <= '1'; --## can_rxd <= '1'; can_rxd <= can_txd; -- CAN LOOP BACK ## d3 : leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow ) port map (rst, clk, sdclk, error, address(27 downto 0), data, sa, sd, sdclk, sdcke, sdcsn, sdwen, sdrasn, sdcasn, sddqm, dsutx, dsurx, dsuen, dsubre, dsuact, txd1, rxd1, txd2, rxd2, ramsn, ramoen, rwen, oen, writen, read, iosn, romsn, gpio, emdio, etx_clk, erx_clk, erxd, erx_dv, erx_er, erx_col, erx_crs, etxd, etx_en, etx_er, emdc, emddis, epwrdwn, ereset, esleep, epause, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66, pci_arb_req, pci_arb_gnt, can_txd, can_rxd, can_stb, spw_clk, spw_rxd, spw_rxdn, spw_rxs, spw_rxsn, spw_txd, spw_txdn, spw_txs, spw_txsn, tck, tms, tdi, tdo); -- optional sdram sd0 : if (CFG_SDEN /= 0) and (CFG_MCTRL_SEPBUS = 0) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => data(31 downto 16), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => data(15 downto 0), Addr => address(14 downto 2), Ba => address(16 downto 15), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); end generate; sd1 : if (CFG_SDEN /= 0) and (CFG_MCTRL_SEPBUS = 1) generate u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); u2: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(31 downto 16), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(3 downto 2)); u3: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(15 downto 0), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(1 downto 0)); sd64 : if (CFG_SD64 /= 0) generate u4: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u5: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(0), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); u6: mt48lc16m16a2 generic map (index => 0, fname => sdramfile) PORT MAP( Dq => sd(63 downto 48), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(7 downto 6)); u7: mt48lc16m16a2 generic map (index => 16, fname => sdramfile) PORT MAP( Dq => sd(47 downto 32), Addr => sa(12 downto 0), Ba => sa(14 downto 13), Clk => sdclk, Cke => sdcke(0), Cs_n => sdcsn(1), Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen, Dqm => sddqm(5 downto 4)); end generate; end generate; prom0 : for i in 0 to (romwidth/8)-1 generate sr0 : sram generic map (index => i, abits => romdepth, fname => promfile) port map (address(romdepth+1 downto 2), data(31-i*8 downto 24-i*8), romsn(0), rwen(i), oen); end generate; sbanks : for k in 0 to srambanks-1 generate sram0 : for i in 0 to (sramwidth/8)-1 generate sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile) port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn(k), rwen(i), ramoen(k)); end generate; end generate; emdio <= 'H'; erxd <= erxdt(3 downto 0); etxdt <= "0000" & etxd; p0: phy generic map(base1000_t_fd => 0, base1000_t_hd => 0) port map(rst, emdio, etx_clk, erx_clk, erxdt, erx_dv, erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, gtx_clk); error <= 'H'; -- ERROR pull-up iuerr : process begin wait for 2500 ns; if to_x01(error) = '1' then wait on error; end if; assert (to_x01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; data <= buskeep(data), (others => 'H') after 250 ns; sd <= buskeep(sd), (others => 'H') after 250 ns; test0 : grtestmod port map ( rst, clk, error, address(21 downto 2), data, iosn, oen, writen, brdyn); dsucom : process procedure dsucfg(signal dsurx : in std_logic; signal dsutx : out std_logic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 500 ns; dsurst <= '1'; -- wait; wait for 355000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#0F#, 16#DD#, 16#94#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp); txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; jtagproc : process begin wait; jtagcom(tdo, tck, tms, tdi, 100, 20, 16#40000000#, true); wait; end process; end;
gpl-2.0
d170ca9a6927d2c91b1ee1ff9089d330
0.573072
3.019715
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/syncram256bw.vhd
1
6,379
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: syncram256bw -- File: syncram256bw.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: 256-bit syncronous 1-port ram with 8-bit write strobes -- and tech selection ------------------------------------------------------------------------------ library ieee; library techmap; use ieee.std_logic_1164.all; use techmap.gencomp.all; library grlib; use grlib.config.all; use grlib.config_types.all; use grlib.stdlib.all; entity syncram256bw is generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits: integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (255 downto 0); dataout : out std_logic_vector (255 downto 0); enable : in std_logic_vector (31 downto 0); write : in std_logic_vector (31 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none ); end; architecture rtl of syncram256bw is component unisim_syncram128bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0) ); end component; component altera_syncram128bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0) ); end component; component altera_syncram256bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (255 downto 0); dataout : out std_logic_vector (255 downto 0); enable : in std_logic_vector (31 downto 0); write : in std_logic_vector (31 downto 0) ); end component; component tm65gplus_syncram256bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (255 downto 0); dataout : out std_logic_vector (255 downto 0); enable : in std_logic_vector (31 downto 0); write : in std_logic_vector (31 downto 0); testin : in std_logic_vector (3 downto 0) := "0000" ); end component; component cmos9sf_syncram256bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (255 downto 0); dataout : out std_logic_vector (255 downto 0); enable : in std_logic_vector (31 downto 0); write : in std_logic_vector (31 downto 0); testin : in std_logic_vector (3 downto 0) := "0000" ); end component; signal xenable, xwrite : std_logic_vector(31 downto 0); signal custominx,customoutx: std_logic_vector(syncram_customif_maxwidth downto 0); begin xenable <= enable when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0'); xwrite <= write when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0'); custominx <= (others => '0'); nocust: if has_sram256bw(tech)=0 or syncram_has_customif(tech)=0 generate customoutx <= (others => '0'); end generate; s256 : if has_sram256bw(tech) = 1 generate uni : if (is_unisim(tech) = 1) generate x0 : unisim_syncram128bw generic map (abits) port map (clk, address, datain(127 downto 0), dataout(127 downto 0), xenable(15 downto 0), xwrite(15 downto 0)); x1 : unisim_syncram128bw generic map (abits) port map (clk, address, datain(255 downto 128), dataout(255 downto 128), xenable(31 downto 16), xwrite(31 downto 16)); end generate; alt : if (tech = stratix2) or (tech = stratix3) or (tech = stratix4) or (tech = cyclone3) or (tech = altera) generate x0 : altera_syncram256bw generic map (abits) port map (clk, address, datain, dataout, xenable, xwrite); end generate; tm65: if tech = tm65gplus generate x0 : tm65gplus_syncram256bw generic map (abits) port map (clk, address, datain, dataout, xenable, xwrite, testin); end generate; cm9s: if tech = cmos9sf generate x0 : cmos9sf_syncram256bw generic map (abits) port map (clk, address, datain, dataout, xenable, xwrite, testin); end generate; -- pragma translate_off dmsg : if GRLIB_CONFIG_ARRAY(grlib_debug_level) >= 2 generate x : process begin assert false report "syncram256bw: " & tost(2**abits) & "x256" & " (" & tech_table(tech) & ")" severity note; wait; end process; end generate; -- pragma translate_on end generate; nos256 : if has_sram256bw(tech) = 0 generate rx : for i in 0 to 31 generate x0 : syncram generic map (tech, abits, 8, testen, custombits) port map (clk, address, datain(i*8+7 downto i*8), dataout(i*8+7 downto i*8), enable(i), write(i), testin ); end generate; end generate; end;
gpl-2.0
9216a2e29b1ee851c0f48217608edad9
0.628155
3.628555
false
true
false
false
aortiz49/MIPS-Processor
Hardware/decoder.vhd
1
2,963
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity decoder is port( enable : in std_logic_vector(4 downto 0); wr_en : in std_logic; decode_out : out std_logic_vector(31 downto 0) ); end entity; architecture BHV of decoder is begin process(wr_en, enable) begin if(wr_en = '1' ) then case enable is when "00000" => decode_out <= "00000000000000000000000000000001"; when "00001" => decode_out <= "00000000000000000000000000000010"; when "00010" => decode_out <= "00000000000000000000000000000100"; when "00011" => decode_out <= "00000000000000000000000000001000"; when "00100" => decode_out <= "00000000000000000000000000010000"; when "00101" => decode_out <= "00000000000000000000000000100000"; when "00110" => decode_out <= "00000000000000000000000001000000"; when "00111" => decode_out <= "00000000000000000000000010000000"; when "01000" => decode_out <= "00000000000000000000000100000000"; when "01001" => decode_out <= "00000000000000000000001000000000"; when "01010" => decode_out <= "00000000000000000000010000000000"; when "01011" => decode_out <= "00000000000000000000100000000000"; when "01100" => decode_out <= "00000000000000000001000000000000"; when "01101" => decode_out <= "00000000000000000010000000000000"; when "01110" => decode_out <= "00000000000000000100000000000000"; when "01111" => decode_out <= "00000000000000001000000000000000"; when "10000" => decode_out <= "00000000000000010000000000000000"; when "10001" => decode_out <= "00000000000000100000000000000000"; when "10010" => decode_out <= "00000000000001000000000000000000"; when "10011" => decode_out <= "00000000000010000000000000000000"; when "10100" => decode_out <= "00000000000100000000000000000000"; when "10101" => decode_out <= "00000000001000000000000000000000"; when "10110" => decode_out <= "00000000010000000000000000000000"; when "10111" => decode_out <= "00000000100000000000000000000000"; when "11000" => decode_out <= "00000001000000000000000000000000"; when "11001" => decode_out <= "00000010000000000000000000000000"; when "11010" => decode_out <= "00000100000000000000000000000000"; when "11011" => decode_out <= "00001000000000000000000000000000"; when "11100" => decode_out <= "00010000000000000000000000000000"; when "11101" => decode_out <= "00100000000000000000000000000000"; when "11110" => decode_out <= "01000000000000000000000000000000"; when others => decode_out <= "10000000000000000000000000000000"; end case; else decode_out <= "00000000000000000000000000000000"; end if; end process; end BHV;
mit
612590b5f3b2ef03f292244d4997cc82
0.644617
4.300435
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/nandtree.vhd
1
2,461
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: nandtree -- File: nandtree.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Nand-tree with tech mapping ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity nandtree is generic( tech : integer := inferred; width : integer := 2; imp : integer := 0 ); port( i : in std_logic_vector(width-1 downto 0); o : out std_ulogic; en : in std_ulogic ); end entity; architecture rtl of nandtree is component rh_lib18t_nand_tree generic (npins : integer := 2); port( -- Input Signlas: -- TEST_MODE : in std_logic; IN_PINS_BUS : in std_logic_vector(npins-1 downto 0); NAND_TREE_OUT : out std_logic ); end component; function fnandtree(v : std_logic_vector) return std_ulogic is variable a : std_logic_vector(v'length-1 downto 0); variable b : std_logic_vector(v'length downto 0); begin a := v; b(0) := '1'; for i in 0 to v'length-1 loop b(i+1) := a(i) nand b(i); end loop; return b(v'length); end; begin behav : if tech /= rhlib18t generate o <= fnandtree(i); end generate; rhlib : if tech = rhlib18t generate rhnand : rh_lib18t_nand_tree generic map (width) port map (en, i, o); end generate; end;
gpl-2.0
7d39d60da11e5b036aff9e31a1d61bf8
0.600163
3.745814
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-avnet-xc2v1500/testbench.vhd
1
8,177
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; use work.debug.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 25; -- system clock period romwidth : integer := 32; -- rom data width (8/32) romdepth : integer := 16; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 18; -- ram address depth srambanks : integer := 2 -- number of ram banks ); port ( pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents signal sys_clk : std_logic := '0'; signal sys_rst_in : std_logic := '0'; -- Reset constant ct : integer := clkperiod/2; signal errorn : std_logic; signal address : std_logic_vector(27 downto 0); signal data : std_logic_vector(15 downto 0); signal xdata : std_logic_vector(31 downto 0); signal romsn : std_logic; signal writen, read : std_logic; signal oen : std_logic; signal flash_rstn : std_logic; signal ddr_clk : std_logic_vector(1 downto 0); signal ddr_clkb : std_logic_vector(1 downto 0); signal ddr_clk_fb : std_logic; signal ddr_clk_fb_out : std_logic; signal ddr_cke : std_logic_vector(1 downto 0); signal ddr_csb : std_logic_vector(1 downto 0); signal ddr_web : std_logic; -- ddr write enable signal ddr_rasb : std_logic; -- ddr ras signal ddr_casb : std_logic; -- ddr cas signal ddr_dm : std_logic_vector (7 downto 0); -- ddr dm signal ddr_dqs : std_logic_vector (7 downto 0); -- ddr dqs signal ddr_ad : std_logic_vector (12 downto 0); -- ddr address signal ddr_ba : std_logic_vector (1 downto 0); -- ddr bank address signal ddr_dq : std_logic_vector (63 downto 0); -- ddr data signal txd1 : std_logic; -- UART1 tx data signal rxd1 : std_logic; -- UART1 rx data signal gpio : std_logic_vector(31 downto 0); -- I/O port signal flash_cex : std_logic; signal clk125 : std_logic := '0'; signal GND : std_logic := '0'; signal VCC : std_logic := '1'; signal NC : std_logic := 'Z'; constant lresp : boolean := false; signal dsuen : std_logic; signal dsubre : std_logic; signal dsuact : std_logic; begin -- clock and reset sys_clk <= not sys_clk after ct * 1 ns; sys_rst_in <= '0', '1' after 200 ns; rxd1 <= 'H'; errorn <= 'H'; ddr_clk_fb <= ddr_clk_fb_out; clk125 <= not clk125 after 6.75 ns; cpu : entity work.leon3mp generic map ( fabtech, memtech, padtech, ncpu, disas, dbguart, pclow ) port map ( sys_rst_in, sys_clk, clk125, errorn, flash_rstn, address, data, dsuen, dsubre, dsuact, oen, writen, read, romsn, ddr_clk, ddr_clkb, ddr_clk_fb, ddr_clk_fb_out, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_ad, ddr_ba, ddr_dq, txd1, rxd1, -- gpio, pci_rst, pci_clk, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, pci_par, pci_req, pci_serr, pci_host, pci_66 ); ddrmem : for i in 0 to 1 generate -- u3 : mt46v16m16 -- generic map (index => 3, fname => sdramfile, bbits => 64) -- PORT MAP( -- Dq => ddr_dq(15 downto 0), Dqs => ddr_dqs(1 downto 0), Addr => ddr_ad, -- Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), -- Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, -- Dm => ddr_dm(1 downto 0)); -- u2 : mt46v16m16 -- generic map (index => 2, fname => sdramfile, bbits => 64) -- PORT MAP( -- Dq => ddr_dq(31 downto 16), Dqs => ddr_dqs(3 downto 2), Addr => ddr_ad, -- Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), -- Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, -- Dm => ddr_dm(3 downto 2)); -- u1 : mt46v16m16 -- generic map (index => 1, fname => sdramfile, bbits => 64) -- PORT MAP( -- Dq => ddr_dq(47 downto 32), Dqs => ddr_dqs(5 downto 4), Addr => ddr_ad, -- Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), -- Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, -- Dm => ddr_dm(5 downto 4)); -- u0 : mt46v16m16 -- generic map (index => 0, fname => sdramfile, bbits => 64) -- PORT MAP( -- Dq => ddr_dq(63 downto 48), Dqs => ddr_dqs(7 downto 6), Addr => ddr_ad, -- Ba => ddr_ba, Clk => ddr_clk(i), Clk_n => ddr_clkb(i), Cke => ddr_cke(i), -- Cs_n => ddr_csb(i), Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web, -- Dm => ddr_dm(7 downto 6)); ddr0 : ddrram generic map(width => 64, abits => 13, colbits => 9, rowbits => 13, implbanks => 1, fname => sdramfile, density => 1) port map (ck => ddr_clk(i), cke => ddr_cke(i), csn => ddr_csb(i), rasn => ddr_rasb, casn => ddr_casb, wen => ddr_web, dm => ddr_dm, ba => ddr_ba, a => ddr_ad, dq => ddr_dq, dqs => ddr_dqs); end generate; prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile) port map (address(romdepth-1 downto 0), data, gnd, gnd, romsn, writen, oen); iuerr : process begin wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; xdata <= "0000000000000000" & data; data <= buskeep(data), (others => 'H') after 250 ns; ddr_dq <= buskeep(ddr_dq), (others => 'H') after 250 ns; end ;
gpl-2.0
d8c0105ab26f8cfcb8d20ed0a5d13735
0.58689
3.180475
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/greth/greth_mb.vhd
1
14,083
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: greth_mb -- File: greth_mb.vhd -- Author: Marko Isomaki -- Description: Ethernet Media Access Controller with Ethernet Debug -- Communication Link and dual AHB master interfaces ------------------------------------------------------------------------------ library ieee; library grlib; library gaisler; use ieee.std_logic_1164.all; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use gaisler.net.all; use gaisler.ethernet_mac.all; library eth; use eth.ethcomp.all; entity greth_mb is generic( hindex : integer := 0; ehindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; enable_mdio : integer range 0 to 1 := 0; fifosize : integer range 4 to 512 := 8; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; rmii : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahb : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; maxsize : integer; gmiimode : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbmi2 : in ahb_mst_in_type; ahbmo2 : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type ); end entity; architecture rtl of greth_mb is function getfifosize(edcl, fifosize, ebufsize : in integer) return integer is begin if (edcl /= 0) and (ebufsize > fifosize) then return ebufsize; else return fifosize; end if; end function; constant fabits : integer := log2(fifosize); type szvct is array (0 to 6) of integer; constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256); constant eabits : integer := log2(edclbufsz) + 8; constant bufsize : std_logic_vector(2 downto 0) := conv_std_logic_vector(log2(edclbufsz), 3); constant ebufsize : integer := ebuf(log2(edclbufsz)); constant txfifosize : integer := getfifosize(edcl, fifosize, ebufsize); constant txfabits : integer := log2(txfifosize); constant REVISION : amba_version_type := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask)); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, revision, 0), others => zero32); constant ehconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_EDCLMST, 0, REVISION, 0), others => zero32); signal irq : std_ulogic; --rx ahb fifo signal rxrenable : std_ulogic; signal rxraddress : std_logic_vector(10 downto 0); signal rxwrite : std_ulogic; signal rxwdata : std_logic_vector(31 downto 0); signal rxwaddress : std_logic_vector(10 downto 0); signal rxrdata : std_logic_vector(31 downto 0); --tx ahb fifo signal txrenable : std_ulogic; signal txraddress : std_logic_vector(10 downto 0); signal txwrite : std_ulogic; signal txwdata : std_logic_vector(31 downto 0); signal txwaddress : std_logic_vector(10 downto 0); signal txrdata : std_logic_vector(31 downto 0); --edcl buf signal erenable : std_ulogic; signal eraddress : std_logic_vector(15 downto 0); signal ewritem : std_ulogic; signal ewritel : std_ulogic; signal ewaddressm : std_logic_vector(15 downto 0); signal ewaddressl : std_logic_vector(15 downto 0); signal ewdata : std_logic_vector(31 downto 0); signal erdata : std_logic_vector(31 downto 0); signal lmdio_oe : std_ulogic; -- Fix for wider bus signal hwdata : std_logic_vector(31 downto 0); signal hrdata : std_logic_vector(31 downto 0); signal ehwdata : std_logic_vector(31 downto 0); signal ehrdata : std_logic_vector(31 downto 0); begin ethc0: grethc generic map( ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, mdcscaler => mdcscaler, enable_mdio => enable_mdio, fifosize => fifosize, nsync => nsync, edcl => edcl, edclbufsz => edclbufsz, macaddrh => macaddrh, macaddrl => macaddrl, ipaddrh => ipaddrh, ipaddrl => ipaddrl, phyrstadr => phyrstadr, rmii => rmii, oepol => oepol, scanen => scanen, mdint_pol => mdint_pol, enable_mdint => enable_mdint, multicast => multicast, edclsepahbg => edclsepahb, ramdebug => ramdebug, mdiohold => mdiohold, maxsize => maxsize, gmiimode => gmiimode ) port map( rst => rst, clk => clk, --ahb mst in hgrant => ahbmi.hgrant(hindex), hready => ahbmi.hready, hresp => ahbmi.hresp, hrdata => hrdata, --ahb mst out hbusreq => ahbmo.hbusreq, hlock => ahbmo.hlock, htrans => ahbmo.htrans, haddr => ahbmo.haddr, hwrite => ahbmo.hwrite, hsize => ahbmo.hsize, hburst => ahbmo.hburst, hprot => ahbmo.hprot, hwdata => hwdata, --edcl ahb mst in ehgrant => ahbmi2.hgrant(ehindex), ehready => ahbmi2.hready, ehresp => ahbmi2.hresp, ehrdata => ehrdata, --edcl ahb mst out ehbusreq => ahbmo2.hbusreq, ehlock => ahbmo2.hlock, ehtrans => ahbmo2.htrans, ehaddr => ahbmo2.haddr, ehwrite => ahbmo2.hwrite, ehsize => ahbmo2.hsize, ehburst => ahbmo2.hburst, ehprot => ahbmo2.hprot, ehwdata => ehwdata, --apb slv in psel => apbi.psel(pindex), penable => apbi.penable, paddr => apbi.paddr, pwrite => apbi.pwrite, pwdata => apbi.pwdata, --apb slv out prdata => apbo.prdata, --irq irq => irq, --rx ahb fifo rxrenable => rxrenable, rxraddress => rxraddress, rxwrite => rxwrite, rxwdata => rxwdata, rxwaddress => rxwaddress, rxrdata => rxrdata, --tx ahb fifo txrenable => txrenable, txraddress => txraddress, txwrite => txwrite, txwdata => txwdata, txwaddress => txwaddress, txrdata => txrdata, --edcl buf erenable => erenable, eraddress => eraddress, ewritem => ewritem, ewritel => ewritel, ewaddressm => ewaddressm, ewaddressl => ewaddressl, ewdata => ewdata, erdata => erdata, --ethernet input signals rmii_clk => ethi.rmii_clk, tx_clk => ethi.tx_clk, tx_dv => ethi.tx_dv, rx_clk => ethi.rx_clk, rxd => ethi.rxd(3 downto 0), rx_dv => ethi.rx_dv, rx_er => ethi.rx_er, rx_col => ethi.rx_col, rx_crs => ethi.rx_crs, rx_en => ethi.rx_en, mdio_i => ethi.mdio_i, phyrstaddr => ethi.phyrstaddr, mdint => ethi.mdint, --ethernet output signals reset => etho.reset, txd => etho.txd(3 downto 0), tx_en => etho.tx_en, tx_er => etho.tx_er, mdc => etho.mdc, mdio_o => etho.mdio_o, mdio_oe => lmdio_oe, --scantest testrst => ahbmi.testrst, testen => ahbmi.testen, testoen => ahbmi.testoen, edcladdr => ethi.edcladdr, edclsepahb => ethi.edclsepahb, edcldisable => ethi.edcldisable, speed => etho.speed); etho.txd(7 downto 4) <= "0000"; etho.mdio_oe <= ahbmi.testoen when (scanen = 1) and (ahbmi.testen = '1') else lmdio_oe; etho.gbit <= '0'; etho.tx_clk <= '0'; -- driven in rgmii component irqdrv : process(irq) begin apbo.pirq <= (others => '0'); apbo.pirq(pirq) <= irq; end process; hrdata <= ahbreadword(ahbmi.hrdata); ahbmo.hwdata <= ahbdrivedata(hwdata); ahbmo.hconfig <= hconfig; ahbmo.hindex <= hindex; ahbmo.hirq <= (others => '0'); ehrdata <= ahbreadword(ahbmi2.hrdata); ahbmo2.hwdata <= ahbdrivedata(ehwdata); ahbmo2.hconfig <= ehconfig; ahbmo2.hindex <= ehindex; ahbmo2.hirq <= (others => '0'); apbo.pconfig <= pconfig; apbo.pindex <= pindex; ------------------------------------------------------------------------------- -- FIFOS ---------------------------------------------------------------------- ------------------------------------------------------------------------------- nft : if ft = 0 generate tx_fifo0 : syncram_2p generic map(tech => memtech, abits => txfabits, dbits => 32, sepclk => 0) port map(clk, txrenable, txraddress(txfabits-1 downto 0), txrdata, clk, txwrite, txwaddress(txfabits-1 downto 0), txwdata); rx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits, dbits => 32, sepclk => 0) port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk, rxwrite, rxwaddress(fabits-1 downto 0), rxwdata); end generate; ft1 : if ft /= 0 generate tx_fifo0 : syncram_2pft generic map(tech => memtech, abits => txfabits, dbits => 32, sepclk => 0, ft => ft) port map(clk, txrenable, txraddress(txfabits-1 downto 0), txrdata, clk, txwrite, txwaddress(txfabits-1 downto 0), txwdata); rx_fifo0 : syncram_2pft generic map(tech => memtech, abits => fabits, dbits => 32, sepclk => 0, ft => ft) port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk, rxwrite, rxwaddress(fabits-1 downto 0), rxwdata); end generate; ------------------------------------------------------------------------------- -- EDCL buffer ram ------------------------------------------------------------ ------------------------------------------------------------------------------- edclramnft : if (edcl /= 0) and (edclft = 0) generate r0 : syncram_2p generic map (memtech, eabits, 16) port map( clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk, ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16)); r1 : syncram_2p generic map (memtech, eabits, 16) port map( clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk, ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0)); end generate; edclramft1 : if (edcl /= 0) and (edclft /= 0) generate r0 : syncram_2p generic map (memtech, eabits, 16, 0, 0, ft) port map( clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk, ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16)); r1 : syncram_2p generic map (memtech, eabits, 16, 0, 0, ft) port map( clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk, ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0)); end generate; -- pragma translate_off bootmsg : report_version generic map ( "greth" & tost(hindex) & ": 10/100 Mbit Ethernet MAC rev " & tost(REVISION) & tost(hindex) & ", EDCL " & tost(edcl) & ", buffer " & tost(edclbufsz) & " kbyte " & tost(txfifosize) & " txfifo," & " irq " & tost(pirq) ); -- pragma translate_on end architecture;
gpl-2.0
9977f2bc7450dcfb0b98cd5d37cae03d
0.536746
4.074942
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-vc707/sgmii_vc707.vhd
1
40,048
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: sgmii -- File: sgmii.vhd -- Author: Fredrik Ringhage - Aeroflex Gaisler -- Description: GMII to SGMII interface ------------------------------------------------------------------------------ -------------------------------------------------------------------------------- -- Description: This is the top level vhdl example design for the -- Ethernet 1000BASE-X PCS/PMA core. -- -- This design example instantiates IOB flip-flops -- and input/output buffers on the GMII. -- -- A Transmitter Elastic Buffer is instantiated on the Tx -- GMII path to perform clock compenstation between the -- core and the external MAC driving the Tx GMII. -- -- This design example can be synthesised. -- -- -- -- ---------------------------------------------------------------- -- | Example Design | -- | | -- | ---------------------------------------------- | -- | | Core Block (wrapper) | | -- | | | | -- | | -------------- -------------- | | -- | | | Core | | tranceiver | | | -- | | | | | | | | -- | --------- | | | | | | | -- | | | | | | | | | | -- | | Tx | | | | | | | | -- ---->|Elastic|----->| GMII |--------->| TXP |---------> -- | |Buffer | | | Tx | | TXN | | | -- | | | | | | | | | | -- | --------- | | | | | | | -- | GMII | | | | | | | -- | IOBs | | | | | | | -- | | | | | | | | -- | | | GMII | | RXP | | | -- <-------------------| Rx |<---------| RXN |<--------- -- | | | | | | | | -- | | -------------- -------------- | | -- | | | | -- | ---------------------------------------------- | -- | | -- ---------------------------------------------------------------- -- -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library gaisler; use gaisler.net.all; use gaisler.misc.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; library eth; use eth.grethpkg.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- The entity declaration for the example design -------------------------------------------------------------------------------- entity sgmii_vc707 is generic( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; abits : integer := 8; autonegotiation : integer := 1; pirq : integer := 0; debugmem : integer := 0; tech : integer := 0; simulation : integer := 0 ); port( -- Tranceiver Interface sgmiii : in eth_sgmii_in_type; sgmiio : out eth_sgmii_out_type; -- GMII Interface (client MAC <=> PCS) gmiii : out eth_in_type; gmiio : in eth_out_type; -- Asynchronous reset for entire core. reset : in std_logic; -- APB Status bus apb_clk : in std_logic; apb_rstn : in std_logic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type ); end sgmii_vc707; architecture top_level of sgmii_vc707 is ------------------------------------------------------------------------------ -- Component Declaration for the Core Block (core wrapper). ------------------------------------------------------------------------------ component sgmii port( -- Transceiver Interface ------------------------ gtrefclk : in std_logic; -- Very high quality 125MHz clock for GT transceiver txp : out std_logic; -- Differential +ve of serial transmission from PMA to PMD. txn : out std_logic; -- Differential -ve of serial transmission from PMA to PMD. rxp : in std_logic; -- Differential +ve for serial reception from PMD to PMA. rxn : in std_logic; -- Differential -ve for serial reception from PMD to PMA. resetdone : out std_logic; -- The GT transceiver has completed its reset cycle cplllock : out std_logic; txoutclk : out std_logic; -- txoutclk from GT transceiver (62.5MHz) rxoutclk : out std_logic; -- txoutclk from GT transceiver (62.5MHz) userclk : in std_logic; -- 62.5MHz clock. userclk2 : in std_logic; -- 125MHz clock. rxuserclk : in std_logic; -- 125MHz clock. rxuserclk2 : in std_logic; -- 125MHz clock. independent_clock_bufg : in std_logic; pma_reset : in std_logic; -- transceiver PMA reset signal mmcm_locked : in std_logic; -- Locked signal from MMCM -- GMII Interface ----------------- sgmii_clk_r : out std_logic; -- Clock for client MAC (125Mhz, 12.5MHz or 1.25MHz). sgmii_clk_f : out std_logic; -- Clock for client MAC (125Mhz, 12.5MHz or 1.25MHz). sgmii_clk_en : out std_logic; -- Clock enable for client MAC gmii_txd : in std_logic_vector(7 downto 0); -- Transmit data from client MAC. gmii_tx_en : in std_logic; -- Transmit control signal from client MAC. gmii_tx_er : in std_logic; -- Transmit control signal from client MAC. gmii_rxd : out std_logic_vector(7 downto 0); -- Received Data to client MAC. gmii_rx_dv : out std_logic; -- Received control signal to client MAC. gmii_rx_er : out std_logic; -- Received control signal to client MAC. gmii_isolate : out std_logic; -- Tristate control to electrically isolate GMII. -- Management: MDIO Interface ----------------------------- configuration_vector : in std_logic_vector(4 downto 0); -- Alternative to MDIO interface. an_interrupt : out std_logic; -- Interrupt to processor to signal that Auto-Negotiation has completed an_adv_config_vector : in std_logic_vector(15 downto 0); -- Alternate interface to program REG4 (AN ADV) an_restart_config : in std_logic; -- Alternate signal to modify AN restart bit in REG0 -- Speed Control ---------------- speed_is_10_100 : in std_logic; -- Core should operate at either 10Mbps or 100Mbps speeds speed_is_100 : in std_logic; -- Core should operate at 100Mbps speed -- General IO's --------------- status_vector : out std_logic_vector(15 downto 0); -- Core status. reset : in std_logic; -- Asynchronous reset for entire core. signal_detect : in std_logic; -- Input from PMD to indicate presence of optical input. gt0_qplloutclk_in : in std_logic; -- Input from PMD to indicate presence of optical input. gt0_qplloutrefclk_in : in std_logic -- Input from PMD to indicate presence of optical input. ); end component; component MMCME2_ADV generic ( BANDWIDTH : string := "OPTIMIZED"; CLKFBOUT_MULT_F : real := 5.000; CLKFBOUT_PHASE : real := 0.000; --CLKFBOUT_USE_FINE_PS : boolean := FALSE; CLKIN1_PERIOD : real := 0.000; CLKIN2_PERIOD : real := 0.000; CLKOUT0_DIVIDE_F : real := 1.000; CLKOUT0_DUTY_CYCLE : real := 0.500; CLKOUT0_PHASE : real := 0.000; --CLKOUT0_USE_FINE_PS : boolean := FALSE; CLKOUT1_DIVIDE : integer := 1; CLKOUT1_DUTY_CYCLE : real := 0.500; CLKOUT1_PHASE : real := 0.000; --CLKOUT1_USE_FINE_PS : boolean := FALSE; CLKOUT2_DIVIDE : integer := 1; CLKOUT2_DUTY_CYCLE : real := 0.500; CLKOUT2_PHASE : real := 0.000; --CLKOUT2_USE_FINE_PS : boolean := FALSE; CLKOUT3_DIVIDE : integer := 1; CLKOUT3_DUTY_CYCLE : real := 0.500; CLKOUT3_PHASE : real := 0.000; --CLKOUT3_USE_FINE_PS : boolean := FALSE; --CLKOUT4_CASCADE : boolean := FALSE; CLKOUT4_DIVIDE : integer := 1; CLKOUT4_DUTY_CYCLE : real := 0.500; CLKOUT4_PHASE : real := 0.000; --CLKOUT4_USE_FINE_PS : boolean := FALSE; CLKOUT5_DIVIDE : integer := 1; CLKOUT5_DUTY_CYCLE : real := 0.500; CLKOUT5_PHASE : real := 0.000; --CLKOUT5_USE_FINE_PS : boolean := FALSE; CLKOUT6_DIVIDE : integer := 1; CLKOUT6_DUTY_CYCLE : real := 0.500; CLKOUT6_PHASE : real := 0.000; --CLKOUT6_USE_FINE_PS : boolean := FALSE; COMPENSATION : string := "ZHOLD"; DIVCLK_DIVIDE : integer := 1; REF_JITTER1 : real := 0.0; REF_JITTER2 : real := 0.0; --SS_EN : string := "FALSE"; SS_MODE : string := "CENTER_HIGH"; SS_MOD_PERIOD : integer := 10000 ); port ( CLKFBOUT : out std_ulogic := '0'; CLKFBOUTB : out std_ulogic := '0'; CLKFBSTOPPED : out std_ulogic := '0'; CLKINSTOPPED : out std_ulogic := '0'; CLKOUT0 : out std_ulogic := '0'; CLKOUT0B : out std_ulogic := '0'; CLKOUT1 : out std_ulogic := '0'; CLKOUT1B : out std_ulogic := '0'; CLKOUT2 : out std_ulogic := '0'; CLKOUT2B : out std_ulogic := '0'; CLKOUT3 : out std_ulogic := '0'; CLKOUT3B : out std_ulogic := '0'; CLKOUT4 : out std_ulogic := '0'; CLKOUT5 : out std_ulogic := '0'; CLKOUT6 : out std_ulogic := '0'; DO : out std_logic_vector (15 downto 0); DRDY : out std_ulogic := '0'; LOCKED : out std_ulogic := '0'; PSDONE : out std_ulogic := '0'; CLKFBIN : in std_ulogic; CLKIN1 : in std_ulogic; CLKIN2 : in std_ulogic; CLKINSEL : in std_ulogic; DADDR : in std_logic_vector(6 downto 0); DCLK : in std_ulogic; DEN : in std_ulogic; DI : in std_logic_vector(15 downto 0); DWE : in std_ulogic; PSCLK : in std_ulogic; PSEN : in std_ulogic; PSINCDEC : in std_ulogic; PWRDWN : in std_ulogic; RST : in std_ulogic ); end component; ----- component IBUFDS_GTE2 ----- component IBUFDS_GTE2 port ( O : out std_ulogic; ODIV2 : out std_ulogic; CEB : in std_ulogic; I : in std_ulogic; IB : in std_ulogic ); end component; ----- component BUFHCE ----- component BUFHCE generic ( CE_TYPE : string := "SYNC"; INIT_OUT : integer := 0 ); port ( O : out std_ulogic; CE : in std_ulogic; I : in std_ulogic ); end component; ----- component BUFGMUX ----- component BUFGMUX generic ( CLK_SEL_TYPE : string := "ASYNC" ); port ( O : out std_ulogic := '0'; I0 : in std_ulogic := '0'; I1 : in std_ulogic := '0'; S : in std_ulogic := '0' ); end component; ----- component ODDR ----- component ODDR generic ( DDR_CLK_EDGE : string := "OPPOSITE_EDGE"; INIT : bit := '0'; SRTYPE : string := "SYNC" ); port ( Q : out std_ulogic; C : in std_ulogic; CE : in std_ulogic; D1 : in std_ulogic; D2 : in std_ulogic; R : in std_ulogic := 'L'; S : in std_ulogic := 'L' ); end component; constant REVISION : integer := 1; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_SGMII, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask)); type sgmiiregs is record irq : std_logic_vector(31 downto 0); -- interrupt mask : std_logic_vector(31 downto 0); -- interrupt enable configuration_vector : std_logic_vector( 4 downto 0); an_adv_config_vector : std_logic_vector(15 downto 0); end record; -- APB and RGMII control register constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; constant RES_configuration_vector : std_logic_vector(4 downto 0) := std_logic_vector(to_unsigned(autonegotiation,1)) & "0000"; constant RES : sgmiiregs := ( irq => (others => '0'), mask => (others => '0'), configuration_vector => RES_configuration_vector, an_adv_config_vector => "0001100000000001"); type rxregs is record gmii_rxd : std_logic_vector(7 downto 0); gmii_rxd_int : std_logic_vector(7 downto 0); gmii_rx_dv : std_logic; gmii_rx_er : std_logic; count : integer; gmii_dv : std_logic; keepalive : integer; end record; constant RESRX : rxregs := ( gmii_rxd => (others => '0'), gmii_rxd_int => (others => '0'), gmii_rx_dv => '0', gmii_rx_er => '0', count => 0, gmii_dv => '0', keepalive => 0 ); type txregs is record gmii_txd : std_logic_vector(7 downto 0); gmii_txd_int : std_logic_vector(7 downto 0); gmii_tx_en : std_logic; gmii_tx_en_int : std_logic; gmii_tx_er : std_logic; count : integer; cnt_en : std_logic; keepalive : integer; end record; constant RESTX : txregs := ( gmii_txd => (others => '0'), gmii_txd_int => (others => '0'), gmii_tx_en => '0', gmii_tx_en_int => '0', gmii_tx_er => '0', count => 0, cnt_en => '0', keepalive => 0 ); ------------------------------------------------------------------------------ -- internal signals used in this top level example design. ------------------------------------------------------------------------------ -- clock generation signals for tranceiver signal gtrefclk : std_logic; signal txoutclk : std_logic; signal rxoutclk : std_logic; signal resetdone : std_logic; signal mmcm_locked : std_logic; signal mmcm_reset : std_logic; signal clkfbout : std_logic; signal clkout0 : std_logic; signal clkout1 : std_logic; signal userclk : std_logic; signal userclk2 : std_logic; signal rxuserclk : std_logic; -- PMA reset generation signals for tranceiver signal pma_reset_pipe : std_logic_vector(3 downto 0); signal pma_reset : std_logic; -- clock generation signals for SGMII clock signal sgmii_clk_r : std_logic; signal sgmii_clk_f : std_logic; signal sgmii_clk_en : std_logic; -- GMII signals signal gmii_txd : std_logic_vector(7 downto 0); signal gmii_tx_en : std_logic; signal gmii_tx_er : std_logic; signal gmii_rxd : std_logic_vector(7 downto 0); signal gmii_rx_dv : std_logic; signal gmii_rx_er : std_logic; signal gmii_isolate : std_logic; -- Internal GMII signals from Xilinx SGMII block signal gmii_rxd_int : std_logic_vector(7 downto 0); signal gmii_rx_dv_int : std_logic; signal gmii_rx_er_int : std_logic; -- Extra registers to ease IOB placement signal status_vector_int : std_logic_vector(15 downto 0); signal status_vector_apb : std_logic_vector(15 downto 0); signal status_vector_apb1 : std_logic_vector(31 downto 0); signal status_vector_apb2 : std_logic_vector(31 downto 0); -- These attributes will stop timing errors being reported in back annotated -- SDF simulation. attribute ASYNC_REG : string; attribute ASYNC_REG of pma_reset_pipe : signal is "TRUE"; -- Configuration register signal speed_is_10_100 : std_logic; signal speed_is_100 : std_logic; signal configuration_vector : std_logic_vector(4 downto 0); signal an_interrupt : std_logic; signal an_adv_config_vector : std_logic_vector(15 downto 0); signal an_restart_config : std_logic; signal link_timer_value : std_logic_vector(8 downto 0); signal synchronization_done : std_logic; signal linkup : std_logic; signal signal_detect : std_logic; -- Route gtrefclk through an IBUFG. signal gtrefclk_buf_i : std_logic; signal r, rin : sgmiiregs; signal rrx,rinrx : rxregs; signal rtx, rintx : txregs; signal cnt_en : std_logic; signal usr2rstn : std_logic; -- debug signal signal WMemRgmiioData : std_logic_vector(15 downto 0); signal RMemRgmiioData : std_logic_vector(15 downto 0); signal RMemRgmiioAddr : std_logic_vector(9 downto 0); signal WMemRgmiioAddr : std_logic_vector(9 downto 0); signal WMemRgmiioWrEn : std_logic; signal WMemRgmiiiData : std_logic_vector(15 downto 0); signal RMemRgmiiiData : std_logic_vector(15 downto 0); signal RMemRgmiiiAddr : std_logic_vector(9 downto 0); signal WMemRgmiiiAddr : std_logic_vector(9 downto 0); signal WMemRgmiiiWrEn : std_logic; signal RMemRgmiiiRead : std_logic; signal RMemRgmiioRead : std_logic; begin ----------------------------------------------------------------------------- -- Default for VC707 ----------------------------------------------------------------------------- -- Remove AN during simulation i.e. "00000" configuration_vector <= "10000" when (autonegotiation = 1) else "00000"; -- Configuration for Xilinx SGMII IP. See doc for SGMII IP for more information an_adv_config_vector <= "0001100000000001"; an_restart_config <= '0'; link_timer_value <= "000110010"; -- Core Status vector outputs synchronization_done <= status_vector_int(1); linkup <= status_vector_int(0); signal_detect <= '1'; gmiii.gtx_clk <= userclk2; gmiii.tx_clk <= userclk2; gmiii.rx_clk <= userclk2; gmiii.rmii_clk <= userclk2; gmiii.rxd <= gmii_rxd; gmiii.rx_dv <= gmii_rx_dv; gmiii.rx_er <= gmii_rx_er; gmiii.rx_en <= gmii_rx_dv or sgmii_clk_en; --gmiii.tx_dv <= '1'; gmiii.tx_dv <= cnt_en when gmiio.tx_en = '1' else '1'; -- GMII output controlled via generics gmiii.edclsepahb <= '0'; gmiii.edcldisable <= '0'; gmiii.phyrstaddr <= (others => '0'); gmiii.edcladdr <= (others => '0'); -- Not used gmiii.rx_col <= '0'; gmiii.rx_crs <= '0'; gmiii.tx_clk_90 <= '0'; sgmiio.mdio_o <= gmiio.mdio_o; sgmiio.mdio_oe <= gmiio.mdio_oe; gmiii.mdio_i <= sgmiii.mdio_i; sgmiio.mdc <= gmiio.mdc; gmiii.mdint <= sgmiii.mdint; sgmiio.reset <= apb_rstn; ----------------------------------------------------------------------------- -- Transceiver Clock Management ----------------------------------------------------------------------------- sgmii1 : if simulation = 1 generate end generate; sgmii0 : if simulation = 0 generate -- Clock circuitry for the GT Transceiver uses a differential input clock. -- gtrefclk is routed to the tranceiver. ibufds_gtrefclk : IBUFDS_GTE2 port map ( I => sgmiii.clkp, IB => sgmiii.clkn, CEB => '0', O => gtrefclk_buf_i, ODIV2 => open ); bufhce_gtrefclk : BUFHCE port map ( I => gtrefclk_buf_i, CE => '1', O => gtrefclk ); -- The GT transceiver provides a 62.5MHz clock to the FPGA fabrix. This is -- routed to an MMCM module where it is used to create phase and frequency -- related 62.5MHz and 125MHz clock sources mmcm_adv_inst : MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", --CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", -- STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => 16.000, CLKFBOUT_PHASE => 0.000, --CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => 8.000, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.5, --CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => 16, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.5, --CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => 16.0, REF_JITTER1 => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKFBOUTB => open, CLKOUT0 => clkout0, CLKOUT0B => open, CLKOUT1 => clkout1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => clkfbout, CLKIN1 => txoutclk, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => mmcm_locked, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => mmcm_reset); --mmcm_reset <= reset or (not resetdone); mmcm_reset <= reset; -- This 62.5MHz clock is placed onto global clock routing and is then used -- for tranceiver TXUSRCLK/RXUSRCLK. bufg_userclk: BUFG port map ( I => clkout1, O => userclk ); -- This 125MHz clock is placed onto global clock routing and is then used -- to clock all Ethernet core logic. bufg_userclk2: BUFG port map ( I => clkout0, O => userclk2 ); -- This 62.5MHz clock is placed onto global clock routing and is then used -- for tranceiver TXUSRCLK/RXUSRCLK. bufg_rxuserclk: BUFG port map ( I => rxoutclk, O => rxuserclk ); end generate; ----------------------------------------------------------------------------- -- Sync Reset for user clock ----------------------------------------------------------------------------- userclk2_rst : rstgen generic map(syncin => 1, syncrst => 1) port map(apb_rstn, userclk2, '1', usr2rstn, open); ----------------------------------------------------------------------------- -- Transceiver PMA reset circuitry ----------------------------------------------------------------------------- -- Create a reset pulse of a decent length process(reset, apb_clk) begin if (reset = '1') then pma_reset_pipe <= "1111"; elsif apb_clk'event and apb_clk = '1' then pma_reset_pipe <= pma_reset_pipe(2 downto 0) & reset; end if; end process; pma_reset <= pma_reset_pipe(3); ------------------------------------------------------------------------------ -- GMII (Aeroflex Gaisler) to GMII (Xilinx) style ------------------------------------------------------------------------------ -- 10/100Mbit TX Loic process (usr2rstn,rtx,gmiio) variable v : txregs; begin v := rtx; v.cnt_en := '0'; v.gmii_tx_en_int := gmiio.tx_en; if (gmiio.tx_en = '1' and rtx.gmii_tx_en_int = '0') then v.count := 0; elsif (v.count >= 9) and gmiio.speed = '1' then v.count := 0; elsif (v.count >= 99) and gmiio.speed = '0' then v.count := 0; else v.count := rtx.count + 1; end if; case v.count is when 0 => v.gmii_txd_int(3 downto 0) := gmiio.txd(3 downto 0); v.cnt_en := '1'; when 5 => if gmiio.speed = '1' then v.gmii_txd_int(7 downto 4) := gmiio.txd(3 downto 0); v.cnt_en := '1'; end if; when 50=> if gmiio.speed = '0' then v.gmii_txd_int(7 downto 4) := gmiio.txd(3 downto 0); v.cnt_en := '1'; end if; when 9 => if gmiio.speed = '1' then v.gmii_txd := v.gmii_txd_int; v.gmii_tx_en := '1'; v.gmii_tx_er := gmiio.tx_er; if (gmiio.tx_en = '0' and rtx.keepalive <= 1) then v.gmii_tx_en := '0'; end if; if (rtx.keepalive > 0) then v.keepalive := rtx.keepalive - 1; end if; end if; when 99 => if gmiio.speed = '0' then v.gmii_txd := v.gmii_txd_int; v.gmii_tx_en := '1'; v.gmii_tx_er := gmiio.tx_er; if (gmiio.tx_en = '0' and rtx.keepalive <= 1) then v.gmii_tx_en := '0'; end if; if (rtx.keepalive > 0) then v.keepalive := rtx.keepalive - 1; end if; end if; when others => null; end case; if (gmiio.tx_en = '0' and rtx.gmii_tx_en_int = '1') then v.keepalive := 2; end if; if (gmiio.tx_en = '0' and rtx.gmii_tx_en_int = '0' and rtx.keepalive = 0) then v := RESTX; end if; -- reset operation if (not RESET_ALL) and (usr2rstn = '0') then v := RESTX; end if; -- update registers rintx <= v; end process; txegs : process(userclk2) begin if rising_edge(userclk2) then rtx <= rintx; if RESET_ALL and usr2rstn = '0' then rtx <= RESTX; end if; end if; end process; -- 1000Mbit TX Logic (Bypass) -- n/a -- TX Mux Select cnt_en <= '1' when (gmiio.gbit = '1') else rtx.cnt_en; gmii_txd <= gmiio.txd when (gmiio.gbit = '1') else rtx.gmii_txd; gmii_tx_en <= gmiio.tx_en when (gmiio.gbit = '1') else rtx.gmii_tx_en; gmii_tx_er <= gmiio.tx_er when (gmiio.gbit = '1') else rtx.gmii_tx_er; ------------------------------------------------------------------------------ -- Instantiate the Core Block (core wrapper). ------------------------------------------------------------------------------ speed_is_10_100 <= not gmiio.gbit; speed_is_100 <= gmiio.speed; core_wrapper : sgmii port map ( gtrefclk => gtrefclk, txp => sgmiio.txp, txn => sgmiio.txn, rxp => sgmiii.rxp, rxn => sgmiii.rxn, resetdone => resetdone, cplllock => OPEN , txoutclk => txoutclk, rxoutclk => rxoutclk , userclk => userclk, userclk2 => userclk2, rxuserclk => rxuserclk , rxuserclk2 => rxuserclk , independent_clock_bufg => apb_clk, pma_reset => pma_reset, mmcm_locked => mmcm_locked, sgmii_clk_r => sgmii_clk_r, sgmii_clk_f => sgmii_clk_f, sgmii_clk_en => sgmii_clk_en, gmii_txd => gmii_txd, gmii_tx_en => gmii_tx_en, gmii_tx_er => gmii_tx_er, gmii_rxd => gmii_rxd_int, gmii_rx_dv => gmii_rx_dv_int, gmii_rx_er => gmii_rx_er_int, gmii_isolate => gmii_isolate, configuration_vector => configuration_vector, an_interrupt => an_interrupt, an_adv_config_vector => an_adv_config_vector, an_restart_config => an_restart_config, speed_is_10_100 => speed_is_10_100, speed_is_100 => speed_is_100, status_vector => status_vector_int, reset => reset, signal_detect => signal_detect, gt0_qplloutclk_in => '0', gt0_qplloutrefclk_in => '0' ); ------------------------------------------------------------------------------ -- GMII (Xilinx) to GMII (Aeroflex Gailers) style ------------------------------------------------------------------------------ ---- 10/100Mbit RX Loic process (usr2rstn,rrx,gmii_rx_dv_int,gmii_rxd_int,gmii_rx_er_int,sgmii_clk_en) variable v : rxregs; begin v := rrx; if (gmii_rx_dv_int = '1' and sgmii_clk_en = '1') then v.count := 0; v.gmii_rxd_int := gmii_rxd_int; v.gmii_dv := '1'; v.keepalive := 1; elsif (v.count >= 9) and gmiio.speed = '1' then v.count := 0; v.keepalive := rrx.keepalive - 1; elsif (v.count >= 99) and gmiio.speed = '0' then v.count := 0; v.keepalive := rrx.keepalive - 1; else v.count := rrx.count + 1; end if; case v.count is when 0 => v.gmii_rxd := v.gmii_rxd_int(3 downto 0) & v.gmii_rxd_int(3 downto 0); v.gmii_rx_dv := v.gmii_dv; when 5 => if gmiio.speed = '1' then v.gmii_rxd := v.gmii_rxd_int(7 downto 4) & v.gmii_rxd_int(7 downto 4); v.gmii_rx_dv := v.gmii_dv; v.gmii_dv := '0'; end if; when 50 => if gmiio.speed = '0' then v.gmii_rxd := v.gmii_rxd_int(7 downto 4) & v.gmii_rxd_int(7 downto 4); v.gmii_rx_dv := v.gmii_dv; v.gmii_dv := '0'; end if; when others => v.gmii_rxd := v.gmii_rxd; v.gmii_rx_dv := '0'; end case; v.gmii_rx_er := gmii_rx_er_int; if (rrx.keepalive = 0 and gmii_rx_dv_int = '0') then v := RESRX; end if; -- reset operation if (not RESET_ALL) and (usr2rstn = '0') then v := RESRX; end if; -- update registers rinrx <= v; end process; rx100regs : process(userclk2) begin if rising_edge(userclk2) then rrx <= rinrx; if RESET_ALL and usr2rstn = '0' then rrx <= RESRX; end if; end if; end process; ---- 1000Mbit RX Logic (Bypass) -- n/a ---- RX Mux Select gmii_rxd <= gmii_rxd_int when (gmiio.gbit = '1') else rinrx.gmii_rxd; gmii_rx_dv <= gmii_rx_dv_int when (gmiio.gbit = '1') else rinrx.gmii_rx_dv; gmii_rx_er <= gmii_rx_er_int when (gmiio.gbit = '1') else rinrx.gmii_rx_er; ----------------------------------------------------------------------------- -- Extra registers to ease CDC placement ----------------------------------------------------------------------------- process (apb_clk) begin if apb_clk'event and apb_clk = '1' then status_vector_apb <= status_vector_int; end if; end process; --------------------------------------------------------------------------------------- -- APB Section --------------------------------------------------------------------------------------- apbo.pindex <= pindex; apbo.pconfig <= pconfig; -- Extra registers to ease CDC placement process (apb_clk) begin if apb_clk'event and apb_clk = '1' then status_vector_apb1 <= (others => '0'); status_vector_apb2 <= (others => '0'); -- Register to detect a speed change status_vector_apb1(15 downto 0) <= status_vector_apb; status_vector_apb2 <= status_vector_apb1; end if; end process; rgmiiapb : process(apb_rstn, r, apbi, status_vector_apb1, status_vector_apb2, RMemRgmiiiData, RMemRgmiiiRead, RMemRgmiioRead ) variable rdata : std_logic_vector(31 downto 0); variable paddress : std_logic_vector(7 downto 2); variable v : sgmiiregs; begin v := r; paddress := (others => '0'); paddress(abits-1 downto 2) := apbi.paddr(abits-1 downto 2); rdata := (others => '0'); -- read/write registers if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then case paddress(7 downto 2) is when "000000" => rdata(31 downto 0) := status_vector_apb2; when "000001" => rdata(31 downto 0) := r.irq; v.irq := (others => '0'); -- Interrupt is clear on read when "000010" => rdata(31 downto 0) := r.mask; when "000011" => rdata(4 downto 0) := r.configuration_vector; when "000100" => rdata(15 downto 0) := r.an_adv_config_vector; when "000101" => if (autonegotiation /= 0) then rdata(0) := '1'; else rdata(0) := '0'; end if; if (debugmem /= 0) then rdata(1) := '1'; else rdata(1) := '0'; end if; when others => null; end case; end if; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case paddress(7 downto 2) is when "000000" => null; when "000001" => null; when "000010" => v.mask := apbi.pwdata(31 downto 0); when "000011" => v.configuration_vector := apbi.pwdata(4 downto 0); when "000100" => v.an_adv_config_vector := apbi.pwdata(15 downto 0); when "000101" => null; when others => null; end case; end if; -- Check interrupts for i in 0 to status_vector_apb2'length-1 loop if ((status_vector_apb1(i) xor status_vector_apb2(i)) and v.mask(i)) = '1' then v.irq(i) := '1'; end if; end loop; -- reset operation if (not RESET_ALL) and (apb_rstn = '0') then v := RES; end if; -- update registers rin <= v; -- drive outputs if apbi.psel(pindex) = '0' then apbo.prdata <= (others => '0'); elsif RMemRgmiiiRead = '1' then apbo.prdata(31 downto 16) <= (others => '0'); apbo.prdata(15 downto 0) <= RMemRgmiiiData; elsif RMemRgmiioRead = '1' then apbo.prdata(31 downto 16) <= (others => '0'); apbo.prdata(15 downto 0) <= RMemRgmiioData; else apbo.prdata <= rdata; end if; apbo.pirq <= (others => '0'); apbo.pirq(pirq) <= orv(v.irq); end process; regs : process(apb_clk) begin if rising_edge(apb_clk) then r <= rin; if RESET_ALL and apb_rstn = '0' then r <= RES; end if; end if; end process; --------------------------------------------------------------------------------------- -- Debug Mem --------------------------------------------------------------------------------------- debugmem1 : if (debugmem /= 0) generate -- Write GMII IN data process (userclk2) begin -- process if rising_edge(userclk2) then WMemRgmiioData(15 downto 0) <= '0' & '0' & '0' & sgmii_clk_en & '0' & '0' & gmii_tx_er & gmii_tx_en & gmii_txd; if (gmii_tx_en = '1') and ((WMemRgmiioAddr < "0111111110") or (WMemRgmiioAddr = "1111111111")) then WMemRgmiioAddr <= WMemRgmiioAddr + 1; WMemRgmiioWrEn <= '1'; else if (gmii_tx_en = '0') then WMemRgmiioAddr <= (others => '1'); else WMemRgmiioAddr <= WMemRgmiioAddr; end if; WMemRgmiioWrEn <= '0'; end if; if usr2rstn = '0' then WMemRgmiioAddr <= (others => '0'); WMemRgmiioWrEn <= '0'; end if; end if; end process; -- Read RMemRgmiioRead <= apbi.paddr(10) and apbi.psel(pindex); RMemRgmiioAddr <= "00" & apbi.paddr(10-1 downto 2); gmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map( apb_clk, RMemRgmiioRead, RMemRgmiioAddr, RMemRgmiioData, userclk2, WMemRgmiioWrEn, WMemRgmiioAddr(10-1 downto 0), WMemRgmiioData); -- Write GMII IN data process (userclk2) begin -- process if rising_edge(userclk2) then if (gmii_rx_dv = '1') then WMemRgmiiiData(15 downto 0) <= '0' & '0' & '0' &sgmii_clk_en & "00" & gmii_rx_er & gmii_rx_dv & gmii_rxd; elsif (gmii_rx_dv_int = '0') then WMemRgmiiiData(15 downto 0) <= (others => '0'); else WMemRgmiiiData <= WMemRgmiiiData; end if; if (gmii_rx_dv = '1') and ((WMemRgmiiiAddr < "0111111110") or (WMemRgmiiiAddr = "1111111111")) then WMemRgmiiiAddr <= WMemRgmiiiAddr + 1; WMemRgmiiiWrEn <= '1'; else if (gmii_rx_dv_int = '0') then WMemRgmiiiAddr <= (others => '1'); WMemRgmiiiWrEn <= '0'; else WMemRgmiiiAddr <= WMemRgmiiiAddr; WMemRgmiiiWrEn <= '0'; end if; end if; if usr2rstn = '0' then WMemRgmiiiAddr <= (others => '0'); WMemRgmiiiWrEn <= '0'; end if; end if; end process; -- Read RMemRgmiiiRead <= apbi.paddr(11) and apbi.psel(pindex); RMemRgmiiiAddr <= "00" & apbi.paddr(10-1 downto 2); rgmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map( apb_clk, RMemRgmiiiRead, RMemRgmiiiAddr, RMemRgmiiiData, userclk2, WMemRgmiiiWrEn, WMemRgmiiiAddr(10-1 downto 0), WMemRgmiiiData); end generate; -- pragma translate_off bootmsg : report_version generic map ("sgmii" & tost(pindex) & ": SGMII rev " & tost(REVISION) & ", irq " & tost(pirq)); -- pragma translate_on end top_level;
gpl-2.0
b77b1272c06c0cad07275d9bb61f08ab
0.481822
3.978937
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-terasic-de0-nano/sdctrl16.vhd
2
40,233
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: sdctrl16 -- File: sdctrl16.vhd -- Author: Jiri Gaisler - Gaisler Research -- Modified by: Daniel Bengtsson & Richard Fång -- Description: 16- and 32-bit SDRAM memory controller. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use grlib.devices.all; use gaisler.memctrl.all; entity sdctrl16 is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#f00#; ioaddr : integer := 16#000#; iomask : integer := 16#fff#; wprot : integer := 0; invclk : integer := 0; fast : integer := 0; pwron : integer := 0; sdbits : integer := 16; oepol : integer := 0; pageburst : integer := 0; mobile : integer := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; sdi : in sdctrl_in_type; sdo : out sdctrl_out_type ); end; architecture rtl of sdctrl16 is constant WPROTEN : boolean := wprot = 1; constant SDINVCLK : boolean := invclk = 1; constant BUS16 : boolean := (sdbits = 16); constant BUS32 : boolean := (sdbits = 32); constant BUS64 : boolean := (sdbits = 64); constant REVISION : integer := 1; constant PM_PD : std_logic_vector(2 downto 0) := "001"; constant PM_SR : std_logic_vector(2 downto 0) := "010"; constant PM_DPD : std_logic_vector(2 downto 0) := "101"; constant std_rammask: Std_Logic_Vector(31 downto 20) := Conv_Std_Logic_Vector(hmask, 12); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_SDCTRL, 0, REVISION, 0), 4 => ahb_membar(haddr, '1', '1', hmask), 5 => ahb_iobar(ioaddr, iomask), others => zero32); type mcycletype is (midle, active, leadout); type sdcycletype is (act1, act2, act3, act3_16, rd1, rd2, rd3, rd4, rd4_16, rd5, rd6, rd7, rd8, wr1, wr1_16, wr2, wr3, wr4, wr5, sidle, sref, pd, dpd); type icycletype is (iidle, pre, ref, lmode, emode, finish); -- sdram configuration register type sdram_cfg_type is record command : std_logic_vector(2 downto 0); csize : std_logic_vector(1 downto 0); bsize : std_logic_vector(2 downto 0); casdel : std_ulogic; -- CAS to data delay: 2/3 clock cycles trfc : std_logic_vector(2 downto 0); trp : std_ulogic; -- precharge to activate: 2/3 clock cycles refresh : std_logic_vector(14 downto 0); renable : std_ulogic; pageburst : std_ulogic; mobileen : std_logic_vector(1 downto 0); -- Mobile SD support, Mobile SD enabled ds : std_logic_vector(3 downto 0); -- ds(1:0) (ds(3:2) used to detect update) tcsr : std_logic_vector(3 downto 0); -- tcrs(1:0) (tcrs(3:2) used to detect update) pasr : std_logic_vector(5 downto 0); -- pasr(2:0) (pasr(5:3) used to detect update) pmode : std_logic_vector(2 downto 0); -- Power-Saving mode txsr : std_logic_vector(3 downto 0); -- Exit Self Refresh timing cke : std_ulogic; -- Clock enable end record; -- local registers type reg_type is record hready : std_ulogic; hsel : std_ulogic; bdrive : std_ulogic; nbdrive : std_ulogic; burst : std_ulogic; wprothit : std_ulogic; hio : std_ulogic; startsd : std_ulogic; lhw : std_ulogic; --Lower halfword mstate : mcycletype; sdstate : sdcycletype; cmstate : mcycletype; istate : icycletype; icnt : std_logic_vector(2 downto 0); haddr : std_logic_vector(31 downto 0); hrdata : std_logic_vector((sdbits-1)+((16/sdbits)*16) downto 0); hwdata : std_logic_vector(31 downto 0); hwrite : std_ulogic; htrans : std_logic_vector(1 downto 0); hresp : std_logic_vector(1 downto 0); size : std_logic_vector(1 downto 0); cfg : sdram_cfg_type; trfc : std_logic_vector(3 downto 0); refresh : std_logic_vector(14 downto 0); sdcsn : std_logic_vector(1 downto 0); sdwen : std_ulogic; rasn : std_ulogic; casn : std_ulogic; dqm : std_logic_vector(7 downto 0); address : std_logic_vector(16 downto 2); -- memory address bsel : std_ulogic; idlecnt : std_logic_vector(3 downto 0); -- Counter, 16 idle clock sycles before entering Power-Saving mode sref_tmpcom : std_logic_vector(2 downto 0); -- Save SD command when exit sref end record; signal r, ri : reg_type; signal rbdrive, ribdrive : std_logic_vector(31 downto 0); attribute syn_preserve : boolean; attribute syn_preserve of rbdrive : signal is true; begin ctrl : process(rst, ahbsi, r, sdi, rbdrive) variable v : reg_type; -- local variables for registers variable startsd : std_ulogic; variable dataout : std_logic_vector(31 downto 0); -- data from memory variable regsd : std_logic_vector(31 downto 0); -- data from registers variable dqm : std_logic_vector(7 downto 0); variable raddr : std_logic_vector(12 downto 0); variable adec : std_ulogic; variable rams : std_logic_vector(1 downto 0); variable ba : std_logic_vector(1 downto 0); variable haddr : std_logic_vector(31 downto 0); variable dout : std_logic_vector(31 downto 0); variable hsize : std_logic_vector(1 downto 0); variable hwrite : std_ulogic; variable htrans : std_logic_vector(1 downto 0); variable hready : std_ulogic; variable vbdrive : std_logic_vector(31 downto 0); variable bdrive : std_ulogic; variable lline : std_logic_vector(2 downto 0); variable lineburst : boolean; variable haddr_tmp : std_logic_vector(31 downto 0); variable arefresh : std_logic; variable hwdata : std_logic_vector(31 downto 0); begin -- Variable default settings to avoid latches v := r; startsd := '0'; v.hresp := HRESP_OKAY; vbdrive := rbdrive; arefresh := '0'; if BUS16 then if (r.lhw = '1') then --muxes read data to correct part of the register. v.hrdata(sdbits-1 downto 0) := sdi.data(sdbits-1 downto 0); else v.hrdata((sdbits*2)-1 downto sdbits) := sdi.data(sdbits-1 downto 0); end if; else v.hrdata(sdbits-1 downto sdbits-32) := sdi.data(sdbits-1 downto sdbits-32); v.hrdata(31 downto 0) := sdi.data(31 downto 0); end if; hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2)); v.hwdata := hwdata; lline := not r.cfg.casdel & r.cfg.casdel & r.cfg.casdel; if (pageburst = 0) or ((pageburst = 2) and r.cfg.pageburst = '0') then lineburst := true; else lineburst := false; end if; if ((ahbsi.hready and ahbsi.hsel(hindex)) = '1') then v.size := ahbsi.hsize(1 downto 0); v.hwrite := ahbsi.hwrite; v.htrans := ahbsi.htrans; if ahbsi.htrans(1) = '1' then v.hio := ahbsi.hmbsel(1); v.hsel := '1'; v.hready := v.hio; end if; v.haddr := ahbsi.haddr; -- addr must be masked since address range can be smaller than -- total banksize. this can result in wrong chip select being -- asserted for i in 31 downto 20 loop v.haddr(i) := ahbsi.haddr(i) and not std_rammask(i); end loop; end if; if (r.hsel = '1') and (ahbsi.hready = '0') then haddr := r.haddr; hsize := r.size; htrans := r.htrans; hwrite := r.hwrite; else haddr := ahbsi.haddr; hsize := ahbsi.hsize(1 downto 0); htrans := ahbsi.htrans; hwrite := ahbsi.hwrite; -- addr must be masked since address range can be smaller than -- total banksize. this can result in wrong chip select being -- asserted for i in 31 downto 20 loop haddr(i) := ahbsi.haddr(i) and not std_rammask(i); end loop; end if; if fast = 1 then haddr := r.haddr; end if; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(hindex); end if; -- main state if BUS16 then case r.size is when "00" => --bytesize case r.haddr(0) is when '0' => dqm := "11111101"; when others => dqm := "11111110"; end case; when others => dqm := "11111100"; --halfword, word end case; else case r.size is when "00" => case r.haddr(1 downto 0) is when "00" => dqm := "11110111"; when "01" => dqm := "11111011"; when "10" => dqm := "11111101"; when others => dqm := "11111110"; end case; when "01" => if r.haddr(1) = '0' then dqm := "11110011"; else dqm := "11111100"; end if; when others => dqm := "11110000"; end case; end if; -- -- case r.size is -- when "00" => -- case r.haddr(1 downto 0) is -- when "00" => dqm := "11111101"; lhw := '0'; --lhv := r.haddr(1) -- when "01" => dqm := "11111110"; lhw := '0'; -- when "10" => dqm := "11111101"; lhw := '1'; -- when others => dqm := "11111110"; lhw := '1'; -- end case; -- when "01" => -- dqm := "11111100"; -- if r.haddr(1) = '0' then -- lhw := '0'; -- else -- lhw := '1'; -- end if; -- when others => dqm := "11111100"; --remember when word: lhw first 0 then 1 -- end case; -- if BUS64 and (r.bsel = '1') then dqm := dqm(3 downto 0) & "1111"; end if; -- main FSM case r.mstate is when midle => if ((v.hsel and htrans(1) and not v.hio) = '1') then if (r.sdstate = sidle) and (r.cfg.command = "000") and (r.cmstate = midle) and (v.hio = '0') then if fast = 0 then startsd := '1'; else v.startsd := '1'; end if; v.mstate := active; elsif ((r.sdstate = sref) or (r.sdstate = pd) or (r.sdstate = dpd)) and (r.cfg.command = "000") and (r.cmstate = midle) and (v.hio = '0') then v.startsd := '1'; if r.sdstate = dpd then -- Error response when on Deep Power-Down mode v.hresp := HRESP_ERROR; else v.mstate := active; end if; end if; end if; when others => null; end case; startsd := startsd or r.startsd; -- generate row and column address size if BUS16 then case r.cfg.csize is when "00" => raddr := haddr(21 downto 9);-- case to check for bursting over row limit, since 1 row is 512 byte. when "01" => raddr := haddr(22 downto 10); when "10" => raddr := haddr(23 downto 11); when others => if r.cfg.bsize = "110" then raddr := haddr(25 downto 13); --tänk else raddr := haddr(24 downto 12); end if; end case; else case r.cfg.csize is when "00" => raddr := haddr(22 downto 10); when "01" => raddr := haddr(23 downto 11); when "10" => raddr := haddr(24 downto 12); when others => if r.cfg.bsize = "111" then raddr := haddr(26 downto 14); else raddr := haddr(25 downto 13); end if; end case; end if; -- generate bank address -- if BUS16 then --011 -- ba := genmux(r.cfg.bsize, haddr(26 downto 19)) & -- genmux(r.cfg.bsize, haddr(25 downto 18)); -- else ba := genmux(r.cfg.bsize, haddr(28 downto 21)) & genmux(r.cfg.bsize, haddr(27 downto 20)); -- end if; -- generate chip select if BUS64 then adec := genmux(r.cfg.bsize, haddr(30 downto 23)); v.bsel := genmux(r.cfg.bsize, r.haddr(29 downto 22)); else adec := genmux(r.cfg.bsize, haddr(29 downto 22)); v.bsel := '0'; end if; -- elsif BUS32 then -- adec := genmux(r.cfg.bsize, haddr(29 downto 22)); v.bsel := '0'; -- else -- adec := genmux(r.cfg.bsize, haddr(27 downto 20)); v.bsel := '0'; -- end if; rams := adec & not adec; -- sdram access FSM if r.trfc /= "0000" then v.trfc := r.trfc - 1; end if; if r.idlecnt /= "0000" then v.idlecnt := r.idlecnt - 1; end if; case r.sdstate is when sidle => if (startsd = '1') and (r.cfg.command = "000") and (r.cmstate = midle) then -- if BUS16 then -- v.address(16 downto 2) := '0' & ba & raddr(11 downto 0); --since 1 bit lower row => tot adress field 14 bits -- else v.address(16 downto 2) := ba & raddr; -- ba(16-15) & raddr(14-2) (2+13= 15 bits) -- end if; v.sdcsn := not rams(1 downto 0); v.rasn := '0'; v.sdstate := act1; v.startsd := '0'; elsif (r.idlecnt = "0000") and (r.cfg.command = "000") and (r.cmstate = midle) and (r.cfg.mobileen(1) = '1') then case r.cfg.pmode is when PM_SR => v.cfg.cke := '0'; v.sdstate := sref; v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; -- Control minimum duration of Self Refresh mode (= tRAS) when PM_PD => v.cfg.cke := '0'; v.sdstate := pd; when PM_DPD => v.cfg.cke := '0'; v.sdstate := dpd; v.sdcsn := (others => '0'); v.sdwen := '0'; v.rasn := '1'; v.casn := '1'; when others => end case; end if; when act1 => v.rasn := '1'; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; if r.cfg.casdel = '1' then v.sdstate := act2; else v.sdstate := act3; if not BUS16 then -- needs if, otherwise it might clock in incorrect write data to state act3_16 v.hready := r.hwrite and ahbsi.htrans(0) and ahbsi.htrans(1); end if; end if; if WPROTEN then v.wprothit := sdi.wprot; if sdi.wprot = '1' then v.hresp := HRESP_ERROR; end if; end if; when act2 => v.sdstate := act3; if not BUS16 then v.hready := r.hwrite and ahbsi.htrans(0) and ahbsi.htrans(1); end if; if WPROTEN and (r.wprothit = '1') then v.hresp := HRESP_ERROR; v.hready := '0'; end if; when act3 => v.casn := '0'; if BUS16 then --HW adress needed to memory v.address(14 downto 2) := r.haddr(12 downto 11) & '0' & r.haddr(10 downto 1); --only allowed to use tot adressbits - ba bits -- v.address(13 downto 2) := r.haddr(11) & '0' & r.haddr(10 downto 1); --only allowed to use tot adressbits - ba bits v.lhw := r.haddr(1); -- 14-2 = 12 colummn bits => 13 downto 2 else v.address(14 downto 2) := r.haddr(13 downto 12) & '0' & r.haddr(11 downto 2); end if; v.dqm := dqm; v.burst := r.hready; -- ?? if r.hwrite = '1' then if BUS16 then --16 bit if r.size(1) = '1' then --word v.hready := ahbsi.htrans(0) and ahbsi.htrans(1); --delayed this check 1 state to keep write data correct in act3_16 v.burst := ahbsi.htrans(0) and ahbsi.htrans(1); v.sdstate := act3_16; -- goto state for second part of word transfer -- v.lhw := '0'; --write MSB 16 bits to AMBA adress that ends with 00 else --halfword or byte v.sdstate := act3_16; v.hready := '1'; end if; else --32 bit or 64 v.sdstate := wr1; if ahbsi.htrans = "11" or (r.hready = '0') then v.hready := '1'; end if; end if; v.sdwen := '0'; v.bdrive := '0'; --write if WPROTEN and (r.wprothit = '1') then v.hresp := HRESP_ERROR; v.hready := '1'; if BUS16 then v.sdstate := act3_16; else v.sdstate := wr1; end if; v.sdwen := '1'; v.bdrive := '1'; v.casn := '1'; --skip write, remember hready high in next state end if; else v.sdstate := rd1; end if; when act3_16 => --handle 16 bit and WORD write v.address(14 downto 2) := r.haddr(12 downto 11) & '0' & r.haddr(10 downto 2) & '1'; -- v.address(13 downto 2) := r.haddr(11) & '0' & r.haddr(10 downto 2) & '1'; v.lhw := '1'; if (r.hready and r.burst) = '1' and not (WPROTEN and (r.wprothit = '1')) then v.hready := '0'; --kolla på transfertyp nonseq om vi vill delaya nedankoll. if( ahbsi.htrans = "11" and not ((r.haddr(5 downto 2) = "1111") and (r.cfg.command = "100")) and not ((r.haddr(9) xor ahbsi.haddr(9)) = '1' and r.cfg.csize = "00") ) then v.sdstate := wr1_16; end if; elsif r.burst = '1' or (r.hready and not r.burst) = '1' then --terminate burst or single write v.sdstate := wr2; v.bdrive := '1'; v.casn := '1'; v.sdwen := '1'; v.dqm := (others => '1'); else -- complete single write v.hready := '1'; v.sdstate := act3_16; --gick till wr1 förut end if; when wr1_16 => v.address(14 downto 2) := r.haddr(12 downto 11) & '0' & r.haddr(10 downto 1); -- v.address(13 downto 2) := r.haddr(11) & '0' & r.haddr(10 downto 1); v.lhw := r.haddr(1); v.sdstate := act3_16; v.hready := '1'; when wr1 => v.address(14 downto 2) := r.haddr(13 downto 12) & '0' & r.haddr(11 downto 2); if (((r.burst and r.hready) = '1') and (r.htrans = "11")) and not (WPROTEN and (r.wprothit = '1')) then v.hready := ahbsi.htrans(0) and ahbsi.htrans(1) and r.hready; if ((r.haddr(5 downto 2) = "1111") and (r.cfg.command = "100")) then -- exit on refresh v.hready := '0'; end if; else v.sdstate := wr2; v.bdrive := '1'; v.casn := '1'; v.sdwen := '1'; v.dqm := (others => '1'); end if; when wr2 => if (r.cfg.trp = '0') then v.rasn := '0'; v.sdwen := '0'; end if; v.sdstate := wr3; when wr3 => if (r.cfg.trp = '1') then v.rasn := '0'; v.sdwen := '0'; v.sdstate := wr4; else v.sdcsn := "11"; v.rasn := '1'; v.sdwen := '1'; v.sdstate := sidle; v.idlecnt := (others => '1'); end if; when wr4 => v.sdcsn := "11"; v.rasn := '1'; v.sdwen := '1'; if (r.cfg.trp = '1') then v.sdstate := wr5; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if; when wr5 => v.sdstate := sidle; v.idlecnt := (others => '1'); when rd1 => --first read applied to sdram v.casn := '1'; v.sdstate := rd7; --nop if not BUS16 then --starting adress cannot be XXXX...111 since we have word burst in this case. and lowest bit always 0. if lineburst and (ahbsi.htrans = "11") then if r.haddr(4 downto 2) = "111" then v.address(9 downto 5) := r.address(9 downto 5) + 1; --adds only within 1KB limit. v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; end if; when rd7 => v.casn := '1'; --nop if BUS16 then if r.cfg.casdel = '1' then --casdel3 v.sdstate := rd2; if lineburst and (ahbsi.htrans = "11") then if r.haddr(3 downto 1) = "110" then v.address(10 downto 5) := r.address(10 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; else --casdel2 v.sdstate := rd3; if ahbsi.htrans /= "11" then if (r.trfc(3 downto 1) = "000") then v.rasn := '0'; v.sdwen := '0'; end if; elsif lineburst then if r.haddr(3 downto 1) = "110" then v.address(10 downto 5) := r.address(10 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; end if; else -- 32 bit or larger if r.cfg.casdel = '1' then --casdel3 v.sdstate := rd2; if lineburst and (ahbsi.htrans = "11") then if r.haddr(4 downto 2) = "110" then v.address(9 downto 5) := r.address(9 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; else --casdel2 v.sdstate := rd3; if ahbsi.htrans /= "11" then if (r.trfc(3 downto 1) = "000") then v.rasn := '0'; v.sdwen := '0'; end if; --precharge elsif lineburst then if r.haddr(4 downto 2) = "110" then v.address(9 downto 5) := r.address(9 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; end if; end if; when rd2 => v.casn := '1'; v.sdstate := rd3; if BUS16 then if ahbsi.htrans /= "11" then v.rasn := '0'; v.sdwen := '0'; v.dqm := (others => '1'); --precharge & DQM --note that DQM always has 2 cycle delay before blocking data. So NP if we fetch second HW end if; else if ahbsi.htrans /= "11" then v.rasn := '0'; v.sdwen := '0'; v.dqm := (others => '1'); --precharge & DQM elsif lineburst then if r.haddr(4 downto 2) = "101" then v.address(9 downto 5) := r.address(9 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; end if; when rd3 => --first read data from sdram output v.lhw := r.haddr(1); v.casn := '1'; --if read before cas makes nop else if pre => no difference if BUS16 then --note if read is for halfwor or byte we dont want to read a second time but exit. --if the read is a word we need to change LHW to one since the next read should be muxed in next cylcle. -- if r.size(1) = '1' then --word v.hready := not r.size(1) -- v.sdstate := rd4_16; v.hready := '0'; --hready low since just first part of a word -- v.lhw := '1'; -- read low 16 next state -- else --HW or byte -- v.sdstate := rd4_16; v.hready := '1'; -- end if; v.sdstate := rd4_16; v.lhw := not r.lhw; --r.lhw is 0 for word, we should invert for next half of word.For HW or Byte v.lhw does not matter. v.hready := not r.size(1); --if word transfer the r.size(1) is 1 and hready goes low.If HW or byte r.size(1)=0 => hready=1 if r.sdwen = '0' then v.rasn := '1'; v.sdwen := '1'; v.sdcsn := "11"; v.dqm := (others => '1'); -- make DSEL (NOP) elsif lineburst and ((ahbsi.htrans = "11") and (r.cfg.casdel = '1')) then --only enter if cl3 if r.haddr(3 downto 1) = "100" then v.address(10 downto 5) := r.address(10 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; else --32 bit or larger v.sdstate := rd4; v.hready := '1'; if r.sdwen = '0' then v.rasn := '1'; v.sdwen := '1'; v.sdcsn := "11"; v.dqm := (others => '1'); -- make DSEL (NOP) elsif lineburst and (ahbsi.htrans = "11") and (r.casn = '1') then if r.haddr(4 downto 2) = ("10" & not r.cfg.casdel) then v.address(9 downto 5) := r.address(9 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; end if; when rd4_16 => --enter as word (r.hready is still 0) else 1. If hready one next transfer sampled into v. --v.hready := '1'; v.hready := not r.hready;-- if Byte or HW exit with hready low. If word flip bit, makes correct exit with hready low. v.lhw := not r.lhw; --r.lhw is one the first time we enter (taking care of second part of word) v.casn := '1'; --quit on: Single transfer CL 2/3 (prcharge if CL 2 and timer was not 0) if (ahbsi.htrans /= "11" and (r.hready = '1')) or ((r.haddr(9) xor ahbsi.haddr(9)) = '1' and r.cfg.csize = "00" and r.hready = '1') or --probably dont have to check hready 1 since if 0 adresses equal. ((r.haddr(5 downto 2) = "1111") and (r.cfg.command = "100") and (r.hready = '1')) then --quit on: ST W/HW/BYTE OR --v.hready := '0'; --if Byte or HW exit with hready low, if ST word exit with high. v.dqm := (others => '1'); if r.sdcsn /= "11" then --not prechargeing v.rasn := '0'; v.sdwen := '0'; v.sdstate := rd5; --precharge else--exit if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if; end if; elsif lineburst then --NOTE: r.casn = 1 makes sure its the first halfword of a word that is checked (hready low) if r.cfg.casdel = '0' then if (r.haddr(3 downto 1) = "100") and (r.casn = '1') then --lline = 011 if casdel =1, 100 if casdel= 0 v.address(10 downto 5) := r.address(10 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; else if (r.haddr(3 downto 1) = "010") and (r.hready = '1') then --lline = 011 if casdel =1, 100 if casdel= 0 v.address(10 downto 5) := r.address(10 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; end if; when rd4 => v.hready := '1'; v.casn := '1'; if (ahbsi.htrans /= "11") or (r.sdcsn = "11") or ((r.haddr(5 downto 2) = "1111") and (r.cfg.command = "100")) -- exit on refresh then v.hready := '0'; v.dqm := (others => '1'); if (r.sdcsn /= "11") then v.rasn := '0'; v.sdwen := '0'; v.sdstate := rd5; else if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if; end if; elsif lineburst then if (r.haddr(4 downto 2) = lline) and (r.casn = '1') then v.address(9 downto 5) := r.address(9 downto 5) + 1; v.address(4 downto 2) := "000"; v.casn := '0'; end if; end if; when rd5 => if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if; v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; v.dqm := (others => '1'); v.casn := '1'; when rd6 => v.sdstate := sidle; v.idlecnt := (others => '1'); v.dqm := (others => '1'); v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; when sref => if (startsd = '1' and (r.hio = '0')) or (r.cfg.command /= "000") or r.cfg.pmode /= PM_SR then if r.trfc = "0000" then -- Minimum duration (= tRAS) v.cfg.cke := '1'; v.sdcsn := (others => '0'); v.rasn := '1'; v.casn := '1'; end if; if r.cfg.cke = '1' then if (r.idlecnt = "0000") then -- tXSR ns with NOP v.sdstate := sidle; v.idlecnt := (others => '1'); v.sref_tmpcom := r.cfg.command; v.cfg.command := "100"; end if; else v.idlecnt := r.cfg.txsr; end if; end if; when pd => if (startsd = '1' and (r.hio = '0')) or (r.cfg.command /= "000") or r.cfg.pmode /= PM_PD then v.cfg.cke := '1'; v.sdstate := sidle; v.idlecnt := (others => '1'); end if; when dpd => v.sdcsn := (others => '1'); v.sdwen := '1'; v.rasn := '1'; v.casn := '1'; v.cfg.renable := '0'; if (startsd = '1' and r.hio = '0') then v.hready := '1'; -- ack all accesses with Error response v.startsd := '0'; v.hresp := HRESP_ERROR; elsif r.cfg.pmode /= PM_DPD then v.cfg.cke := '1'; if r.cfg.cke = '1' then v.sdstate := sidle; v.idlecnt := (others => '1'); v.cfg.renable := '1'; end if; end if; when others => v.sdstate := sidle; v.idlecnt := (others => '1'); end case; -- sdram commands case r.cmstate is when midle => if r.sdstate = sidle then case r.cfg.command is when "010" => -- precharge v.sdcsn := (others => '0'); v.rasn := '0'; v.sdwen := '0'; v.address(12) := '1'; v.cmstate := active; when "100" => -- auto-refresh v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.cmstate := active; when "110" => -- Lodad Mode Reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; if lineburst then v.address(16 downto 2) := "0000010001" & r.cfg.casdel & "0011"; else v.address(16 downto 2) := "0000010001" & r.cfg.casdel & "0111"; end if; when "111" => -- Load Ext-Mode Reg v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0'; v.sdwen := '0'; v.cmstate := active; v.address(16 downto 2) := "10000000" & r.cfg.ds(1 downto 0) & r.cfg.tcsr(1 downto 0) & r.cfg.pasr(2 downto 0); when others => null; end case; end if; when active => v.sdcsn := (others => '1'); v.rasn := '1'; v.casn := '1'; v.sdwen := '1'; --v.cfg.command := "000"; v.cfg.command := r.sref_tmpcom; v.sref_tmpcom := "000"; v.cmstate := leadout; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; when leadout => if r.trfc = "0000" then v.cmstate := midle; end if; end case; -- sdram init case r.istate is when iidle => v.cfg.cke := '1'; if r.cfg.renable = '1' and r.cfg.cke = '1' then v.cfg.command := "010"; v.istate := pre; end if; when pre => if r.cfg.command = "000" then v.cfg.command := "100"; v.istate := ref; v.icnt := "111"; end if; when ref => if r.cfg.command = "000" then v.cfg.command := "100"; v.icnt := r.icnt - 1; if r.icnt = "000" then v.istate := lmode; v.cfg.command := "110"; end if; end if; when lmode => if r.cfg.command = "000" then if r.cfg.mobileen = "11" then v.cfg.command := "111"; v.istate := emode; else v.istate := finish; end if; end if; when emode => if r.cfg.command = "000" then v.istate := finish; end if; when others => if r.cfg.renable = '0' and r.sdstate /= dpd then v.istate := iidle; end if; end case; if (ahbsi.hready and ahbsi.hsel(hindex) ) = '1' then if ahbsi.htrans(1) = '0' then v.hready := '1'; end if; end if; if (r.hsel and r.hio and not r.hready) = '1' then v.hready := '1'; end if; -- second part of main fsm case r.mstate is when active => if v.hready = '1' then v.mstate := midle; end if; when others => null; end case; -- sdram refresh counter -- pragma translate_off if not is_x(r.cfg.refresh) then -- pragma translate_on if (r.cfg.renable = '1') and (r.istate = finish) and r.sdstate /= sref then v.refresh := r.refresh - 1; if (v.refresh(14) and not r.refresh(14)) = '1' then v.refresh := r.cfg.refresh; v.cfg.command := "100"; arefresh := '1'; end if; end if; -- pragma translate_off end if; -- pragma translate_on -- AHB register access -- if writing to IO space config regs. Just mapping write data to all config values in config reg if (r.hsel and r.hio and r.hwrite and r.htrans(1)) = '1' then if r.haddr(3 downto 2) = "00" then if pageburst = 2 then v.cfg.pageburst := hwdata(17); end if; v.cfg.command := hwdata(20 downto 18); v.cfg.csize := hwdata(22 downto 21); v.cfg.bsize := hwdata(25 downto 23); v.cfg.casdel := hwdata(26); v.cfg.trfc := hwdata(29 downto 27); v.cfg.trp := hwdata(30); v.cfg.renable := hwdata(31); v.cfg.refresh := hwdata(14 downto 0); v.refresh := (others => '0'); elsif r.haddr(3 downto 2) = "01" then if r.cfg.mobileen(1) = '1' and mobile /= 3 then v.cfg.mobileen(0) := hwdata(31); end if; if r.cfg.pmode = "000" then v.cfg.cke := hwdata(30); end if; if r.cfg.mobileen(1) = '1' then v.cfg.txsr := hwdata(23 downto 20); v.cfg.pmode := hwdata(18 downto 16); v.cfg.ds(3 downto 2) := hwdata( 6 downto 5); v.cfg.tcsr(3 downto 2) := hwdata( 4 downto 3); v.cfg.pasr(5 downto 3) := hwdata( 2 downto 0); end if; end if; end if; -- Disable CS and DPD when Mobile SDR is Disabled if r.cfg.mobileen(0) = '0' then v.cfg.pmode(2) := '0'; end if; -- Update EMR when ds, tcsr or pasr change if r.cfg.command = "000" and arefresh = '0' and r.cfg.mobileen(0) = '1' then if r.cfg.ds(1 downto 0) /= r.cfg.ds(3 downto 2) then v.cfg.command := "111"; v.cfg.ds(1 downto 0) := r.cfg.ds(3 downto 2); end if; if r.cfg.tcsr(1 downto 0) /= r.cfg.tcsr(3 downto 2) then v.cfg.command := "111"; v.cfg.tcsr(1 downto 0) := r.cfg.tcsr(3 downto 2); end if; if r.cfg.pasr(2 downto 0) /= r.cfg.pasr(5 downto 3) then v.cfg.command := "111"; v.cfg.pasr(2 downto 0) := r.cfg.pasr(5 downto 3); end if; end if; regsd := (others => '0'); --reads out config registers (r/w does not matter) according to manual depending on address, notice generic determines data width. if r.haddr(3 downto 2) = "00" then regsd(31 downto 18) := r.cfg.renable & r.cfg.trp & r.cfg.trfc & r.cfg.casdel & r.cfg.bsize & r.cfg.csize & r.cfg.command; if not lineburst then regsd(17) := '1'; end if; regsd(16) := r.cfg.mobileen(1); if BUS64 then regsd(15) := '1'; end if; regsd(14 downto 0) := r.cfg.refresh; elsif r.haddr(3 downto 2) = "01" then regsd(31) := r.cfg.mobileen(0); regsd(30) := r.cfg.cke; regsd(23 downto 0) := r.cfg.txsr & '0' & r.cfg.pmode & "000000000" & r.cfg.ds(1 downto 0) & r.cfg.tcsr(1 downto 0) & r.cfg.pasr(2 downto 0); end if; if (r.hsel and r.hio) = '1' then dout := regsd; else if BUS64 and r.bsel = '1' then dout := r.hrdata(63 downto 32); else dout := r.hrdata(31 downto 0); end if; end if; v.nbdrive := not v.bdrive; if oepol = 1 then bdrive := r.nbdrive; vbdrive := (others => v.nbdrive); else bdrive := r.bdrive; vbdrive := (others => v.bdrive);end if; -- reset if rst = '0' then v.sdstate := sidle; v.mstate := midle; v.istate := iidle; v.cmstate := midle; v.hsel := '0'; v.cfg.command := "000"; v.cfg.csize := "10"; v.cfg.bsize := "000"; v.cfg.casdel := '1'; v.cfg.trfc := "111"; if pwron = 1 then v.cfg.renable := '1'; else v.cfg.renable := '0'; end if; v.cfg.trp := '1'; v.dqm := (others => '1'); v.sdwen := '1'; v.rasn := '1'; v.casn := '1'; v.hready := '1'; v.bsel := '0'; v.startsd := '0'; if (pageburst = 2) then v.cfg.pageburst := '0'; end if; if mobile >= 2 then v.cfg.mobileen := "11"; elsif mobile = 1 then v.cfg.mobileen := "10"; else v.cfg.mobileen := "00"; end if; v.cfg.txsr := (others => '1'); v.cfg.pmode := (others => '0'); v.cfg.ds := (others => '0'); v.cfg.tcsr := (others => '0'); v.cfg.pasr := (others => '0'); if mobile >= 2 then v.cfg.cke := '0'; else v.cfg.cke := '1'; end if; v.sref_tmpcom := "000"; v.idlecnt := (others => '1'); end if; ri <= v; ribdrive <= vbdrive; ahbso.hready <= r.hready; ahbso.hresp <= r.hresp; ahbso.hrdata <= ahbdrivedata(dout); end process; --sdo.sdcke <= (others => '1'); sdo.sdcke <= (others => r.cfg.cke); ahbso.hconfig <= hconfig; ahbso.hirq <= (others => '0'); ahbso.hindex <= hindex; ahbso.hsplit <= (others => '0'); -- Quick hack to get rid of undriven signal warnings. Check this for future -- merge with main sdctrl. drivehack : block begin sdo.qdrive <= '0'; sdo.nbdrive <= '0'; sdo.ce <= '0'; sdo.moben <= '0'; sdo.cal_rst <= '0'; sdo.oct <= '0'; sdo.xsdcsn <= (others => '1'); sdo.data(127 downto 16) <= (others => '0'); sdo.cb <= (others => '0'); sdo.ba <= (others => '0'); sdo.sdck <= (others => '0'); sdo.cal_en <= (others => '0'); sdo.cal_inc <= (others => '0'); sdo.cal_pll <= (others => '0'); sdo.odt <= (others => '0'); sdo.conf <= (others => '0'); sdo.vcbdrive <= (others => '0'); sdo.dqs_gate <= '0'; sdo.cbdqm <= (others => '0'); sdo.cbcal_en <= (others => '0'); sdo.cbcal_inc <= (others => '0'); sdo.read_pend <= (others => '0'); sdo.regwdata <= (others => '0'); sdo.regwrite <= (others => '0'); end block drivehack; regs : process(clk, rst) begin if rising_edge(clk) then r <= ri; rbdrive <= ribdrive; if rst = '0' then r.icnt <= (others => '0'); end if; end if; if (rst = '0') then r.sdcsn <= (others => '1'); r.bdrive <= '1'; r.nbdrive <= '0'; if oepol = 0 then rbdrive <= (others => '1'); else rbdrive <= (others => '0'); end if; end if; end process; rgen : if not SDINVCLK generate sdo.address <= r.address; sdo.bdrive <= r.nbdrive when oepol = 1 else r.bdrive; sdo.vbdrive <= zero32 & rbdrive; sdo.sdcsn <= r.sdcsn; sdo.sdwen <= r.sdwen; sdo.dqm <= "11111111" & r.dqm; sdo.rasn <= r.rasn; sdo.casn <= r.casn; mux16_wrdata : if BUS16 generate --mux data depending on Low/High HW sdo.data(15 downto 0) <= r.hwdata(15 downto 0) when r.lhw = '1' else r.hwdata(31 downto 16); end generate; wrdata : if not BUS16 generate drivebus: for i in 0 to sdbits/64 generate sdo.data(31+32*i downto 32*i) <= r.hwdata; end generate; end generate; end generate; ngen : if SDINVCLK generate nregs : process(clk, rst) begin if falling_edge(clk) then sdo.address <= r.address; if oepol = 1 then sdo.bdrive <= r.nbdrive; else sdo.bdrive <= r.bdrive; end if; sdo.vbdrive <= zero32 & rbdrive; sdo.sdcsn <= r.sdcsn; sdo.sdwen <= r.sdwen; sdo.dqm <= "11111111" & r.dqm; sdo.rasn <= r.rasn; sdo.casn <= r.casn; if BUS16 then --mux data depending on Low/High HW if (r.lhw ='1') then sdo.data(15 downto 0) <= r.hwdata(15 downto 0); else sdo.data(15 downto 0) <= r.hwdata(31 downto 16); end if; end if; if not BUS16 then for i in 0 to sdbits/64 loop sdo.data(31+32*i downto 32*i) <= r.hwdata; end loop; end if; end if; if rst = '0' then sdo.sdcsn <= (others => '1'); end if; end process; end generate; -- pragma translate_off bootmsg : report_version generic map ("sdctrl16" & tost(hindex) & ": PC133 SDRAM controller rev " & tost(REVISION)); -- pragma translate_on end;
gpl-2.0
60c6b0c28fcc3ce8dd6e84defcc9a335
0.528002
3.285878
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-ml40x/config.vhd
1
6,543
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex4; constant CFG_MEMTECH : integer := virtex4; constant CFG_PADTECH : integer := virtex4; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex4; constant CFG_CLKMUL : integer := (13); constant CFG_CLKDIV : integer := (20); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#00002F#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDRSP : integer := 1; constant CFG_DDRSP_INIT : integer := 1; constant CFG_DDRSP_FREQ : integer := (100); constant CFG_DDRSP_COL : integer := (9); constant CFG_DDRSP_SIZE : integer := (64); constant CFG_DDRSP_RSKEW : integer := (0); -- SSRAM controller constant CFG_SSCTRL : integer := 0; constant CFG_SSCTRLP16 : integer := 0; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0FFFE#; constant CFG_GRGPIO_WIDTH : integer := (32); -- I2C master constant CFG_I2C_ENABLE : integer := 1; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 0; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 0; -- AMBA System ACE Interface Controller constant CFG_GRACECTRL : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
1742304eb16207ba52e9961ac4c11b7f
0.646034
3.618916
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/greth/greth.in.vhd
3
360
-- Gaisler Ethernet core constant CFG_GRETH : integer := CONFIG_GRETH_ENABLE; constant CFG_GRETH1G : integer := CONFIG_GRETH_GIGA; constant CFG_ETH_FIFO : integer := CFG_GRETH_FIFO; #ifdef CONFIG_LEON3FT_PRESENT constant CFG_GRETH_FT : integer := CONFIG_GRETH_FT; constant CFG_GRETH_EDCLFT : integer := CONFIG_GRETH_EDCLFT; #endif
gpl-2.0
d0c50442fb335095587b10ca5dc02199
0.694444
3.461538
false
true
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-asic/leon3mp.vhd
1
18,180
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2013 Aeroflex Gaisler AB ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use work.config.all; library techmap; use techmap.gencomp.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; scantest : integer := CFG_SCAN ); port ( resetn : in std_ulogic; clksel : in std_logic_vector(1 downto 0); clk : in std_ulogic; lock : out std_ulogic; errorn : inout std_ulogic; wdogn : inout std_ulogic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); cb : inout std_logic_vector(7 downto 0); sdclk : out std_ulogic; sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select sdwen : out std_ulogic; -- sdram write enable sdrasn : out std_ulogic; -- sdram ras sdcasn : out std_ulogic; -- sdram cas sddqm : out std_logic_vector (3 downto 0); -- sdram dqm dsutx : out std_ulogic; -- DSU tx data / scanout dsurx : in std_ulogic; -- DSU rx data / scanin dsuen : in std_ulogic; dsubre : in std_ulogic; -- DSU break / scanen dsuact : out std_ulogic; -- DSU active / NT txd1 : out std_ulogic; -- UART1 tx data rxd1 : in std_ulogic; -- UART1 rx data txd2 : out std_ulogic; -- UART2 tx data rxd2 : in std_ulogic; -- UART2 rx data ramsn : out std_logic_vector (4 downto 0); ramoen : out std_logic_vector (4 downto 0); rwen : out std_logic_vector (3 downto 0); oen : out std_ulogic; writen : out std_ulogic; read : out std_ulogic; iosn : out std_ulogic; romsn : out std_logic_vector (1 downto 0); brdyn : in std_ulogic; bexcn : in std_ulogic; gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port i2c_scl : inout std_ulogic; i2c_sda : inout std_ulogic; spi_miso : in std_ulogic; spi_mosi : out std_ulogic; spi_sck : out std_ulogic; spi_slvsel : out std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0); prom32 : in std_ulogic; spw_clksel : in std_logic_vector(1 downto 0); spw_clk : in std_ulogic; spw_rxd : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_rxs : in std_logic_vector(0 to CFG_SPW_NUM-1); spw_txd : out std_logic_vector(0 to CFG_SPW_NUM-1); spw_txs : out std_logic_vector(0 to CFG_SPW_NUM-1); gtx_clk : in std_ulogic; erx_clk : in std_ulogic; erxd : in std_logic_vector(7 downto 0); erx_dv : in std_ulogic; etx_clk : in std_ulogic; etxd : out std_logic_vector(7 downto 0); etx_en : out std_ulogic; etx_er : out std_ulogic; erx_er : in std_ulogic; erx_col : in std_ulogic; erx_crs : in std_ulogic; emdint : in std_ulogic; emdio : inout std_logic; emdc : out std_ulogic; testen : in std_ulogic; trst : in std_ulogic; tck : in std_ulogic; tms : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic ); end; architecture rtl of leon3mp is signal lresetn : std_ulogic; signal lclksel : std_logic_vector (1 downto 0); signal lclk : std_ulogic; signal llock : std_ulogic; signal lerrorn : std_ulogic; signal laddress : std_logic_vector(27 downto 0); signal ldatain : std_logic_vector(31 downto 0); signal ldataout : std_logic_vector(31 downto 0); signal ldataen : std_logic_vector(31 downto 0); signal lcbin : std_logic_vector(7 downto 0); signal lcbout : std_logic_vector(7 downto 0); signal lcben : std_logic_vector(7 downto 0); signal lsdclk : std_ulogic; signal lsdcsn : std_logic_vector (1 downto 0); signal lsdwen : std_ulogic; signal lsdrasn : std_ulogic; signal lsdcasn : std_ulogic; signal lsddqm : std_logic_vector (3 downto 0); signal ldsutx : std_ulogic; signal ldsurx : std_ulogic; signal ldsuen : std_ulogic; signal ldsubre : std_ulogic; signal ldsuact : std_ulogic; signal ltxd1 : std_ulogic; signal lrxd1 : std_ulogic; signal ltxd2 : std_ulogic; signal lrxd2 : std_ulogic; signal lramsn : std_logic_vector (4 downto 0); signal lramoen : std_logic_vector (4 downto 0); signal lrwen : std_logic_vector (3 downto 0); signal loen : std_ulogic; signal lwriten : std_ulogic; signal lread : std_ulogic; signal liosn : std_ulogic; signal lromsn : std_logic_vector (1 downto 0); signal lbrdyn : std_ulogic; signal lbexcn : std_ulogic; signal lwdogn : std_ulogic; signal lgpioin : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal lgpioout : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal lgpioen : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); signal li2c_sclout : std_ulogic; signal li2c_sclen : std_ulogic; signal li2c_sclin : std_ulogic; signal li2c_sdaout : std_ulogic; signal li2c_sdaen : std_ulogic; signal li2c_sdain : std_ulogic; signal lspi_miso : std_ulogic; signal lspi_mosi : std_ulogic; signal lspi_sck : std_ulogic; signal lspi_slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0); signal lprom32 : std_ulogic; signal lspw_clksel : std_logic_vector (1 downto 0); signal lspw_clk : std_ulogic; signal lspw_rxd : std_logic_vector(0 to CFG_SPW_NUM-1); signal lspw_rxs : std_logic_vector(0 to CFG_SPW_NUM-1); signal lspw_txd : std_logic_vector(0 to CFG_SPW_NUM-1); signal lspw_txs : std_logic_vector(0 to CFG_SPW_NUM-1); signal lgtx_clk : std_ulogic; signal lerx_clk : std_ulogic; signal lerxd : std_logic_vector(7 downto 0); signal lerx_dv : std_ulogic; signal letx_clk : std_ulogic; signal letxd : std_logic_vector(7 downto 0); signal letx_en : std_ulogic; signal letx_er : std_ulogic; signal lerx_er : std_ulogic; signal lerx_col : std_ulogic; signal lerx_crs : std_ulogic; signal lemdint : std_ulogic; signal lemdioin : std_logic; signal lemdioout : std_logic; signal lemdioen : std_logic; signal lemdc : std_ulogic; signal ltesten : std_ulogic; signal ltrst : std_ulogic; signal ltck : std_ulogic; signal ltms : std_ulogic; signal ltdi : std_ulogic; signal ltdo : std_ulogic; signal ltdoen : std_ulogic; -- Use for ASIC --constant padvoltage : integer := x33v; --constant padlevel : integer := ttl; -- Use for FPGA constant padvoltage : integer := x18v; constant padlevel : integer := cmos; begin -- TODO: Move PAD options to 'xconfig' pads0 : entity work.pads generic map ( padtech => CFG_PADTECH, padlevel => padlevel, padstrength => 10, jtag_padfilter => pullup, testen_padfilter => pulldown, resetn_padfilter => schmitt, clk_padfilter => 0, spw_padstrength => 12, jtag_padstrength => 6, uart_padstrength => 6, dsu_padstrength => 6, padvoltage => padvoltage, spw_input_type => CFG_SPW_INPUT, oepol => padoen_polarity(CFG_PADTECH) ) port map ( --------------------------- --to chip boundary --------------------------- resetn => resetn , clksel => clksel , clk => clk , lock => lock , errorn => errorn , address => address , data => data , cb => cb , sdclk => sdclk , sdcsn => sdcsn , sdwen => sdwen , sdrasn => sdrasn , sdcasn => sdcasn , sddqm => sddqm , dsutx => dsutx , dsurx => dsurx , dsuen => dsuen , dsubre => dsubre , dsuact => dsuact , txd1 => txd1 , rxd1 => rxd1 , txd2 => txd2 , rxd2 => rxd2 , ramsn => ramsn , ramoen => ramoen , rwen => rwen , oen => oen , writen => writen , read => read , iosn => iosn , romsn => romsn , brdyn => brdyn , bexcn => bexcn , wdogn => wdogn , gpio => gpio , i2c_scl => i2c_scl , i2c_sda => i2c_sda , spi_miso => spi_miso , spi_mosi => spi_mosi , spi_sck => spi_sck , spi_slvsel => spi_slvsel, prom32 => prom32 , spw_clksel => spw_clksel, spw_clk => spw_clk , spw_rxd => spw_rxd , spw_rxs => spw_rxs , spw_txd => spw_txd , spw_txs => spw_txs , gtx_clk => gtx_clk , erx_clk => erx_clk , erxd => erxd , erx_dv => erx_dv , etx_clk => etx_clk , etxd => etxd , etx_en => etx_en , etx_er => etx_er , erx_er => erx_er , erx_col => erx_col , erx_crs => erx_crs , emdint => emdint , emdio => emdio , emdc => emdc , testen => testen , trst => trst , tck => tck , tms => tms , tdi => tdi , tdo => tdo , ------------------------- --- --to core ---------------------------- lresetn => lresetn , lclksel => lclksel , lclk => lclk , llock => llock , lerrorn => lerrorn , laddress => laddress , ldatain => ldatain , ldataout => ldataout , ldataen => ldataen , lcbin => lcbin , lcbout => lcbout , lcben => lcben , lsdclk => lsdclk , lsdcsn => lsdcsn , lsdwen => lsdwen , lsdrasn => lsdrasn , lsdcasn => lsdcasn , lsddqm => lsddqm , ldsutx => ldsutx , ldsurx => ldsurx , ldsuen => ldsuen , ldsubre => ldsubre , ldsuact => ldsuact , ltxd1 => ltxd1 , lrxd1 => lrxd1 , ltxd2 => ltxd2 , lrxd2 => lrxd2 , lramsn => lramsn , lramoen => lramoen , lrwen => lrwen , loen => loen , lwriten => lwriten , lread => lread , liosn => liosn , lromsn => lromsn , lbrdyn => lbrdyn , lbexcn => lbexcn , lwdogn => lwdogn , lgpioin => lgpioin , lgpioout => lgpioout , lgpioen => lgpioen , li2c_sclout => li2c_sclout, li2c_sclen => li2c_sclen , li2c_sclin => li2c_sclin , li2c_sdaout => li2c_sdaout, li2c_sdaen => li2c_sdaen , li2c_sdain => li2c_sdain , lspi_miso => lspi_miso , lspi_mosi => lspi_mosi , lspi_sck => lspi_sck , lspi_slvsel => lspi_slvsel, lprom32 => lprom32 , lspw_clksel => lspw_clksel, lspw_clk => lspw_clk , lspw_rxd => lspw_rxd , lspw_rxs => lspw_rxs , lspw_txd => lspw_txd , lspw_txs => lspw_txs , lgtx_clk => lgtx_clk , lerx_clk => lerx_clk , lerxd => lerxd , lerx_dv => lerx_dv , letx_clk => letx_clk , letxd => letxd , letx_en => letx_en , letx_er => letx_er , lerx_er => lerx_er , lerx_col => lerx_col , lerx_crs => lerx_crs , lemdint => lemdint , lemdioin => lemdioin , lemdioout => lemdioout , lemdioen => lemdioen , lemdc => lemdc , ltesten => ltesten , ltrst => ltrst , ltck => ltck , ltms => ltms , ltdi => ltdi , ltdo => ltdo , ltdoen => ltdoen ); -- ASIC Core core0 : entity work.core generic map ( fabtech => CFG_FABTECH, memtech => CFG_MEMTECH, padtech => CFG_PADTECH, clktech => CFG_CLKTECH, disas => CFG_DISAS, dbguart => CFG_DUART, pclow => CFG_PCLOW, scantest => CFG_SCAN, bscanen => CFG_BOUNDSCAN_EN, oepol => padoen_polarity(CFG_PADTECH) ) port map ( ---------------------------- -- ASIC Ports/Pads ---------------------------- resetn => lresetn , clksel => lclksel , clk => lclk , lock => llock , errorn => lerrorn , address => laddress , datain => ldatain , dataout => ldataout , dataen => ldataen , cbin => lcbin , cbout => lcbout , cben => lcben , sdclk => lsdclk , sdcsn => lsdcsn , sdwen => lsdwen , sdrasn => lsdrasn , sdcasn => lsdcasn , sddqm => lsddqm , dsutx => ldsutx , dsurx => ldsurx , dsuen => ldsuen , dsubre => ldsubre , dsuact => ldsuact , txd1 => ltxd1 , rxd1 => lrxd1 , txd2 => ltxd2 , rxd2 => lrxd2 , ramsn => lramsn , ramoen => lramoen , rwen => lrwen , oen => loen , writen => lwriten , read => lread , iosn => liosn , romsn => lromsn , brdyn => lbrdyn , bexcn => lbexcn , wdogn => lwdogn , gpioin => lgpioin , gpioout => lgpioout , gpioen => lgpioen , i2c_sclout => li2c_sclout, i2c_sclen => li2c_sclen , i2c_sclin => li2c_sclin , i2c_sdaout => li2c_sdaout, i2c_sdaen => li2c_sdaen , i2c_sdain => li2c_sdain , spi_miso => lspi_miso , spi_mosi => lspi_mosi , spi_sck => lspi_sck , spi_slvsel => lspi_slvsel, prom32 => lprom32 , spw_clksel => lspw_clksel, spw_clk => lspw_clk , spw_rxd => lspw_rxd , spw_rxs => lspw_rxs , spw_txd => lspw_txd , spw_txs => lspw_txs , gtx_clk => lgtx_clk , erx_clk => lerx_clk , erxd => lerxd , erx_dv => lerx_dv , etx_clk => letx_clk , etxd => letxd , etx_en => letx_en , etx_er => letx_er , erx_er => lerx_er , erx_col => lerx_col , erx_crs => lerx_crs , emdint => lemdint , emdioin => lemdioin , emdioout => lemdioout , emdioen => lemdioen , emdc => lemdc , testen => ltesten , trst => ltrst , tck => ltck , tms => ltms , tdi => ltdi , tdo => ltdo , tdoen => ltdoen , ---------------------------- -- BSCAN ---------------------------- chain_tck => OPEN , chain_tckn => OPEN , chain_tdi => OPEN , chain_tdo => '0', bsshft => OPEN , bscapt => OPEN , bsupdi => OPEN , bsupdo => OPEN , bsdrive => OPEN , bshighz => OPEN ); -- BSCAN -- TODO: ADD BSCAN end;
gpl-2.0
c8de976e3011ebb2381fb522bfce6730
0.463806
3.690621
false
false
false
false
aortiz49/MIPS-Processor
Hardware/reg_tb.vhd
1
704
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity reg_tb is end reg_tb; architecture behv of reg_tb is signal d : std_logic_vector(31 downto 0); signal rst : std_logic; signal en : std_logic; signal clk : std_logic := '0'; -- clock. signal q : std_logic_vector(31 DOWNTO 0); begin single_cycle1: entity work.reg32 port map ( d => d, rst => rst, en => en, clk => clk, q => q ); clk <= not clk after 10 ns; process begin rst <='0'; en <='0'; d <=x"AAFA5555"; rst <= '1'; wait for 5 ns; rst <= '0'; en <= '1'; wait for 5 ns; en <='0'; wait; end process; end behv;
mit
6143a8cba85f0991a07d0f8d9a2fe8b2
0.538352
2.505338
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/srmmu/libmmu.vhd
1
11,186
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Package: leon3 -- File: leon3.vhd -- Author: Konrad Eisele, Jiri Gaisler, Gaisler Research -- Description: MMU component declaration ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.mmuconfig.all; use gaisler.mmuiface.all; package libmmu is component mmu generic ( tech : integer range 0 to NTECH := 0; itlbnum : integer range 2 to 64 := 8; dtlbnum : integer range 2 to 64 := 8; tlb_type : integer range 0 to 3 := 1; tlb_rep : integer range 0 to 1 := 0; mmupgsz : integer range 0 to 5 := 0; ramcbits : integer := 1 ); port ( rst : in std_logic; clk : in std_logic; mmudci : in mmudc_in_type; mmudco : out mmudc_out_type; mmuici : in mmuic_in_type; mmuico : out mmuic_out_type; mcmmo : in memory_mm_out_type; mcmmi : out memory_mm_in_type; testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none ); end component; function TLB_CreateCamWrite( two_data : std_logic_vector(31 downto 0); read : std_logic; lvl : std_logic_vector(1 downto 0); ctx : std_logic_vector(M_CTX_SZ-1 downto 0); vaddr : std_logic_vector(31 downto 0) ) return tlbcam_reg; procedure TLB_CheckFault( ACC : in std_logic_vector(2 downto 0); isid : in mmu_idcache; su : in std_logic; read : in std_logic; fault_pro : out std_logic; fault_pri : out std_logic ); procedure TLB_MergeData( mmupgsz : in integer range 0 to 5; mmctrl : in mmctrl_type1; LVL : in std_logic_vector(1 downto 0); PTE : in std_logic_vector(31 downto 0); data : in std_logic_vector(31 downto 0); transdata : out std_logic_vector(31 downto 0)); function TLB_CreateCamTrans( vaddr : std_logic_vector(31 downto 0); read : std_logic; ctx : std_logic_vector(M_CTX_SZ-1 downto 0) ) return tlbcam_tfp; function TLB_CreateCamFlush( data : std_logic_vector(31 downto 0); ctx : std_logic_vector(M_CTX_SZ-1 downto 0) ) return tlbcam_tfp; subtype mmu_gpsz_typ is integer range 0 to 3; function MMU_getpagesize( mmupgsz : in integer range 0 to 4; mmctrl : in mmctrl_type1 ) return mmu_gpsz_typ; end; package body libmmu is procedure TLB_CheckFault( ACC : in std_logic_vector(2 downto 0); isid : in mmu_idcache; su : in std_logic; read : in std_logic; fault_pro : out std_logic; fault_pri : out std_logic ) is variable c_isd : std_logic; begin fault_pro := '0'; fault_pri := '0'; -- use '0' == icache '1' == dcache if isid = id_icache then c_isd := '0'; else c_isd := '1'; end if; case ACC is when "000" => fault_pro := (not c_isd) or (not read); when "001" => fault_pro := (not c_isd); when "010" => fault_pro := (not read); when "011" => null; when "100" => fault_pro := (c_isd); when "101" => fault_pro := (not c_isd) or ((not read) and (not su)); when "110" => fault_pri := (not su); fault_pro := (not read); when "111" => fault_pri := (not su); when others => null; end case; end; procedure TLB_MergeData( mmupgsz : in integer range 0 to 5; mmctrl : in mmctrl_type1; LVL : in std_logic_vector(1 downto 0); PTE : in std_logic_vector(31 downto 0); data : in std_logic_vector(31 downto 0); transdata : out std_logic_vector(31 downto 0) ) is variable pagesize : integer range 0 to 3; begin --# merge data transdata := (others => '0'); pagesize := MMU_getpagesize(mmupgsz, mmctrl); case pagesize is when 1 => -- 8k case LVL is when LVL_PAGE => transdata := PTE(P8K_PTE_PPN32PAG_U downto P8K_PTE_PPN32PAG_D) & data(P8K_VA_OFFPAG_U downto P8K_VA_OFFPAG_D); when LVL_SEGMENT => transdata := PTE(P8K_PTE_PPN32SEG_U downto P8K_PTE_PPN32SEG_D) & data(P8K_VA_OFFSEG_U downto P8K_VA_OFFSEG_D); when LVL_REGION => transdata := PTE(P8K_PTE_PPN32REG_U downto P8K_PTE_PPN32REG_D) & data(P8K_VA_OFFREG_U downto P8K_VA_OFFREG_D); when LVL_CTX => transdata := data(P8K_VA_OFFCTX_U downto P8K_VA_OFFCTX_D); when others => transdata := (others => 'X'); end case; when 2 => -- 16k case LVL is when LVL_PAGE => transdata := PTE(P16K_PTE_PPN32PAG_U downto P16K_PTE_PPN32PAG_D) & data(P16K_VA_OFFPAG_U downto P16K_VA_OFFPAG_D); when LVL_SEGMENT => transdata := PTE(P16K_PTE_PPN32SEG_U downto P16K_PTE_PPN32SEG_D) & data(P16K_VA_OFFSEG_U downto P16K_VA_OFFSEG_D); when LVL_REGION => transdata := PTE(P16K_PTE_PPN32REG_U downto P16K_PTE_PPN32REG_D) & data(P16K_VA_OFFREG_U downto P16K_VA_OFFREG_D); when LVL_CTX => transdata := data(P16K_VA_OFFCTX_U downto P16K_VA_OFFCTX_D); when others => transdata := (others => 'X'); end case; when 3 => -- 32k case LVL is when LVL_PAGE => transdata := PTE(P32K_PTE_PPN32PAG_U downto P32K_PTE_PPN32PAG_D) & data(P32K_VA_OFFPAG_U downto P32K_VA_OFFPAG_D); when LVL_SEGMENT => transdata := PTE(P32K_PTE_PPN32SEG_U downto P32K_PTE_PPN32SEG_D) & data(P32K_VA_OFFSEG_U downto P32K_VA_OFFSEG_D); when LVL_REGION => transdata := PTE(P32K_PTE_PPN32REG_U downto P32K_PTE_PPN32REG_D) & data(P32K_VA_OFFREG_U downto P32K_VA_OFFREG_D); when LVL_CTX => transdata := data(P32K_VA_OFFCTX_U downto P32K_VA_OFFCTX_D); when others => transdata := (others => 'X'); end case; when others => -- 4k case LVL is when LVL_PAGE => transdata := PTE(PTE_PPN32PAG_U downto PTE_PPN32PAG_D) & data(VA_OFFPAG_U downto VA_OFFPAG_D); when LVL_SEGMENT => transdata := PTE(PTE_PPN32SEG_U downto PTE_PPN32SEG_D) & data(VA_OFFSEG_U downto VA_OFFSEG_D); when LVL_REGION => transdata := PTE(PTE_PPN32REG_U downto PTE_PPN32REG_D) & data(VA_OFFREG_U downto VA_OFFREG_D); when LVL_CTX => transdata := data(VA_OFFCTX_U downto VA_OFFCTX_D); when others => transdata := (others => 'X'); end case; end case; end; function TLB_CreateCamWrite( two_data : std_logic_vector(31 downto 0); read : std_logic; lvl : std_logic_vector(1 downto 0); ctx : std_logic_vector(M_CTX_SZ-1 downto 0); vaddr : std_logic_vector(31 downto 0) ) return tlbcam_reg is variable tlbcam_tagwrite : tlbcam_reg; begin tlbcam_tagwrite.ET := two_data(PT_ET_U downto PT_ET_D); tlbcam_tagwrite.ACC := two_data(PTE_ACC_U downto PTE_ACC_D); tlbcam_tagwrite.M := two_data(PTE_M) or (not read); -- tw : p-update modified tlbcam_tagwrite.R := '1'; case tlbcam_tagwrite.ACC is -- tw : p-su ACC >= 6 when "110" | "111" => tlbcam_tagwrite.SU := '1'; when others => tlbcam_tagwrite.SU := '0'; end case; tlbcam_tagwrite.VALID := '1'; tlbcam_tagwrite.LVL := lvl; tlbcam_tagwrite.I1 := vaddr(VA_I1_U downto VA_I1_D); tlbcam_tagwrite.I2 := vaddr(VA_I2_U downto VA_I2_D); tlbcam_tagwrite.I3 := vaddr(VA_I3_U downto VA_I3_D); tlbcam_tagwrite.CTX := ctx; tlbcam_tagwrite.PPN := two_data(PTE_PPN_U downto PTE_PPN_D); tlbcam_tagwrite.C := two_data(PTE_C); return tlbcam_tagwrite; end; function MMU_getpagesize( mmupgsz : in integer range 0 to 4; mmctrl : in mmctrl_type1 ) return mmu_gpsz_typ is variable pagesize : mmu_gpsz_typ; begin if mmupgsz = 4 then pagesize := conv_integer(mmctrl.pagesize); -- variable else pagesize := mmupgsz; end if; return pagesize; end; function TLB_CreateCamTrans( vaddr : std_logic_vector(31 downto 0); read : std_logic; ctx : std_logic_vector(M_CTX_SZ-1 downto 0) ) return tlbcam_tfp is variable mtag : tlbcam_tfp; begin mtag.TYP := (others => '0'); mtag.I1 := vaddr(VA_I1_U downto VA_I1_D); mtag.I2 := vaddr(VA_I2_U downto VA_I2_D); mtag.I3 := vaddr(VA_I3_U downto VA_I3_D); mtag.CTX := ctx; mtag.M := not (read); return mtag; end; function TLB_CreateCamFlush( data : std_logic_vector(31 downto 0); ctx : std_logic_vector(M_CTX_SZ-1 downto 0) ) return tlbcam_tfp is variable ftag : tlbcam_tfp; begin ftag.TYP := data(FPTY_U downto FPTY_D); ftag.I1 := data(FPA_I1_U downto FPA_I1_D); ftag.I2 := data(FPA_I2_U downto FPA_I2_D); ftag.I3 := data(FPA_I3_U downto FPA_I3_D); ftag.CTX := ctx; ftag.M := '0'; return ftag; end; end;
gpl-2.0
9ac35d52a81c8a9fbd9bb0c20ed2b548
0.530038
3.585256
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-gr-xc6s/config.vhd
1
9,317
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (2); constant CFG_CLKDIV : integer := (2); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 1*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 4 + 64*0; constant CFG_ATBSZ : integer := 4; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 16; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000000#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 1; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDR2SP : integer := 0; constant CFG_DDR2SP_INIT : integer := 0; constant CFG_DDR2SP_FREQ : integer := 100; constant CFG_DDR2SP_TRFC : integer := 130; constant CFG_DDR2SP_DATAWIDTH : integer := 64; constant CFG_DDR2SP_FTEN : integer := 0; constant CFG_DDR2SP_FTWIDTH : integer := 0; constant CFG_DDR2SP_COL : integer := 9; constant CFG_DDR2SP_SIZE : integer := 8; constant CFG_DDR2SP_DELAY0 : integer := 0; constant CFG_DDR2SP_DELAY1 : integer := 0; constant CFG_DDR2SP_DELAY2 : integer := 0; constant CFG_DDR2SP_DELAY3 : integer := 0; constant CFG_DDR2SP_DELAY4 : integer := 0; constant CFG_DDR2SP_DELAY5 : integer := 0; constant CFG_DDR2SP_DELAY6 : integer := 0; constant CFG_DDR2SP_DELAY7 : integer := 0; constant CFG_DDR2SP_NOSYNC : integer := 0; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#F00#; -- AHB status register constant CFG_AHBSTAT : integer := 1; constant CFG_AHBSTATN : integer := (1); -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 8; constant CFG_GRETH_FT : integer := 0; constant CFG_GRETH_EDCLFT : integer := 0; -- CAN 2.0 interface constant CFG_CAN : integer := 0; constant CFG_CAN_NUM : integer := 1; constant CFG_CANIO : integer := 16#0#; constant CFG_CANIRQ : integer := 0; constant CFG_CANSEPIRQ: integer := 0; constant CFG_CAN_SYNCRST : integer := 0; constant CFG_CANFT : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- UART 2 constant CFG_UART2_ENABLE : integer := 0; constant CFG_UART2_FIFO : integer := 1; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := (8); -- Spacewire interface constant CFG_SPW_EN : integer := 0; constant CFG_SPW_NUM : integer := 1; constant CFG_SPW_AHBFIFO : integer := 4; constant CFG_SPW_RXFIFO : integer := 16; constant CFG_SPW_RMAP : integer := 0; constant CFG_SPW_RMAPBUF : integer := 4; constant CFG_SPW_RMAPCRC : integer := 0; constant CFG_SPW_NETLIST : integer := 0; constant CFG_SPW_FT : integer := 0; constant CFG_SPW_GRSPW : integer := 2; constant CFG_SPW_RXUNAL : integer := 0; constant CFG_SPW_DMACHAN : integer := 1; constant CFG_SPW_PORTS : integer := 1; constant CFG_SPW_INPUT : integer := 2; constant CFG_SPW_OUTPUT : integer := 0; constant CFG_SPW_RTSAME : integer := 0; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 1; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 1; -- SPI memory controller constant CFG_SPIMCTRL : integer := 1; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0B#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := (1); constant CFG_SPIMCTRL_ASCALER : integer := (8); constant CFG_SPIMCTRL_PWRUPCNT : integer := (0); constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (1); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
4cc82a1c3ef5ed7ae1568678b10f09ba
0.654717
3.557465
false
false
false
false
aortiz49/MIPS-Processor
Testbenches/alu32_tb.vhd
1
2,316
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.MIPS_lib.all; entity alu32_tb is end alu32_tb; architecture TB of alu32_tb is component alu32 port( ia : in std_logic_vector(31 downto 0); ib : in std_logic_vector(31 downto 0); C : out std_logic; control : in std_logic_vector(3 downto 0); output : out std_logic_vector(31 downto 0); Z : out std_logic; S : out std_logic; V : out std_logic ); end component; signal ia : std_logic_vector(31 downto 0); signal ib : std_logic_vector(31 downto 0); signal cout : std_logic; signal control : std_logic_vector(3 downto 0); signal output : std_logic_vector(31 downto 0); signal Z : std_logic; signal S : std_logic; signal V : std_logic; begin -- TB UUT: entity work.alu32 port map( ia => ia, ib => ib, C => cout, control => control, output => output, Z => Z, S => S, V => V ); process begin -- test two positive numbers ia <= conv_std_logic_vector(1000, ia'length); ib <= conv_std_logic_vector(350, ib'length); control <= F_SUM; wait for 20 ns; ia <= conv_std_logic_vector(100, ia'length); ib <= conv_std_logic_vector(5, ib'length); control <= F_SUB; wait for 20 ns; ia <= conv_std_logic_vector(5, ia'length); ib <= conv_std_logic_vector(10, ib'length); control <= F_AND; wait for 20 ns; ia <= conv_std_logic_vector(5, ia'length); ib <= conv_std_logic_vector(10, ib'length); control <= F_OR; wait for 20 ns; ia <= conv_std_logic_vector(7, ia'length); ib <= conv_std_logic_vector(8, ib'length); control <= F_NOR; wait for 20 ns; ia <= conv_std_logic_vector(-200, ia'length); ib <= conv_std_logic_vector(100, ib'length); control <= F_SLT; wait for 20 ns; ia <= conv_std_logic_vector(1, ia'length); ib <= conv_std_logic_vector(-500, ib'length); control <= F_SLT; wait for 20 ns; ia <= conv_std_logic_vector(101, ia'length); ib <= conv_std_logic_vector(800, ib'length); control <= F_SLTU; wait for 20 ns; ia <= x"AAAAAAAB"; ib <= x"AAAAAAAA"; control <= F_SLTU; wait for 300 ns; wait; end process; end TB;
mit
3fa4b34532ecc5cd25a330d2d979dc35
0.593264
2.780312
false
false
false
false
aortiz49/MIPS-Processor
Hardware/alu1_last.vhd
1
1,793
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.MIPS_lib.all; entity alu1_last is port( ia : in std_logic; ib : in std_logic; less : in std_logic; cout : out std_logic; cin : in std_logic; control : in std_logic_vector(3 downto 0); slt_en : out std_logic; output : out std_logic ); end alu1_last; architecture arch of alu1_last is signal invB : std_logic; -- inverted iB signal signal newB : std_logic; -- output of B mux signal add_sub : std_logic; -- add/sub enable (mux sel) signal alu_sum : std_logic; -- output of adder; input to function mux signal alu_and : std_logic; -- output of ANDing module signal alu_or : std_logic; -- output of ORing module signal alu_nor : std_logic; -- output of NORing module signal alu_slt : std_logic; -- set if less than (unsigned) signal signal alu_sltu : std_logic; -- set if less than (unsigned) signal signal cout_t : std_logic; begin add_sub <= control(2); -- mux sel for add/sub -- ANDing module alu_and <= ia and ib; -- ORing module alu_or <= ia or ib; -- NORing module alu_nor <= ia nor ib; -- port map the adder within alu. When subtracting, set carry bit [A-B = A+(~B+1)] ALU_ADD: entity work.add1 port map(ia,newB,cin,cout_t,alu_sum); -- mux to select between iB being normal or complemented invB <= not iB; ALU_NEG: entity work.mux1 port map(iB,invB,add_sub,newB); -- port map the mux to select between different operations ALU_FUNCT: entity work.alu_mux port map(control,alu_sum,alu_sum,alu_and,alu_or,alu_nor,alu_slt,alu_sltu,output); ALU_LESS: entity work.mux1 port map(alu_sum,"not"(cout_t),control(3),slt_en); -- signal for slt mux input alu_slt <= less; -- signal for sltu mux input alu_sltu <= less; -- cout output cout <= cout_t; end arch;
mit
53ecf2a7befdf850d536d9d9da7295ad
0.683212
2.625183
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/syncram128bw.vhd
1
5,738
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: syncram128bw -- File: syncram128bw.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: 128-bit syncronous 1-port ram with 8-bit write strobes -- and tech selection ------------------------------------------------------------------------------ library ieee; library techmap; use ieee.std_logic_1164.all; use techmap.gencomp.all; library grlib; use grlib.config.all; use grlib.config_types.all; use grlib.stdlib.all; entity syncram128bw is generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits: integer := 1); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0); testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none ); end; architecture rtl of syncram128bw is component unisim_syncram128bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0) ); end component; component altera_syncram128bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0) ); end component; component tm65gplus_syncram128bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0); testin : in std_logic_vector (3 downto 0) := "0000" ); end component; component ut90nhbd_syncram128bw generic ( abits : integer := 9); port ( clk : in std_ulogic; address : in std_logic_vector (abits -1 downto 0); datain : in std_logic_vector (127 downto 0); dataout : out std_logic_vector (127 downto 0); enable : in std_logic_vector (15 downto 0); write : in std_logic_vector (15 downto 0); tdbn : in std_ulogic ); end component; signal xenable, xwrite : std_logic_vector(15 downto 0); signal custominx,customoutx: std_logic_vector(syncram_customif_maxwidth downto 0); begin xenable <= enable when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0'); xwrite <= write when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0'); custominx <= (others => '0'); nocust: if syncram_has_customif(tech)=0 or has_sram128bw(tech)=0 generate customoutx <= (others => '0'); end generate; s64 : if has_sram128bw(tech) = 1 generate xc2v : if (is_unisim(tech) = 1) generate x0 : unisim_syncram128bw generic map (abits) port map (clk, address, datain, dataout, xenable, xwrite); end generate; alt : if (tech = stratix2) or (tech = stratix3) or (tech = stratix4) or (tech = cyclone3) or (tech = altera) generate x0 : altera_syncram128bw generic map (abits) port map (clk, address, datain, dataout, xenable, xwrite); end generate; tm65: if tech = tm65gplus generate x0 : tm65gplus_syncram128bw generic map (abits) port map (clk, address, datain, dataout, xenable, xwrite, testin); end generate; ut09: if tech = ut90 generate x0 : ut90nhbd_syncram128bw generic map (abits) port map (clk, address, datain, dataout, xenable, xwrite, testin(TESTIN_WIDTH-3)); end generate; -- pragma translate_off dmsg : if GRLIB_CONFIG_ARRAY(grlib_debug_level) >= 2 generate x : process begin assert false report "syncram128bw: " & tost(2**abits) & "x128" & " (" & tech_table(tech) & ")" severity note; wait; end process; end generate; -- pragma translate_on end generate; nos64 : if has_sram128bw(tech) = 0 generate rx : for i in 0 to 15 generate x0 : syncram generic map (tech, abits, 8, testen, custombits) port map (clk, address, datain(i*8+7 downto i*8), dataout(i*8+7 downto i*8), enable(i), write(i), testin ); end generate; end generate; end;
gpl-2.0
88c1e3e24111d62a3ed30466ab401966
0.627048
3.650127
false
true
false
false
ECE492W2014G4/G4Capstone
vhdl_simulation/reverb_component_tb.vhd
1
2,155
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; ENTITY reverb_component_tb IS END reverb_component_tb; ARCHITECTURE behavior OF reverb_component_tb IS -- Component Declaration for the Unit Under Test (UUT) component reverb_component Generic ( constant data_width : positive := 16; constant fifo_depth : positive := 11025 ); port ( clk : in std_logic; reset : in std_logic; data_in : in std_logic_vector(data_width - 1 downto 0); write_en : in std_logic; reverb_en : in std_logic; data_out : out std_logic_vector(data_width - 1 downto 0) ); end component; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal data_in : std_logic_vector(15 downto 0) := (others => '0'); signal reverb_en : std_logic := '0'; signal write_en : std_logic := '0'; --Outputs signal data_out : std_logic_vector(15 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: reverb_component PORT MAP ( clk => clk, reset => reset, data_in => data_in, write_en => write_en, reverb_en => reverb_en, data_out => data_out ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Reset process reset_proc : process begin wait for clk_period * 5; reset <= '1'; wait for clk_period; reset <= '0'; wait; end process; -- Write process wr_proc : process variable counter : unsigned (7 downto 0) := (others => '0'); begin wait for clk_period * 10; write_en <= '1'; reverb_en <= '1'; for i in 1 to 32 loop counter := counter + 1; data_in <= "00000000"&std_logic_vector(counter); wait for clk_period * 1; end loop; wait for clk_period * 20; wait; end process; -- Read process -- rd_proc : process -- begin -- wait for clk_period; -- reverb_en <= '1'; -- --wait for clk_period * 5; -- --reverb_en <= '0'; -- --wait for clk_period * 5; -- --reverb_en <= '1'; -- wait; -- end process; END;
gpl-3.0
86e4b5e8bd15e6600e22d9c50741e14d
0.611137
2.843008
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-vc707/testbench.vhd
1
21,673
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := true; USE_MIG_INTERFACE_MODEL : boolean := false ); end; architecture behav of testbench is -- DDR3 Simulation parameters constant SIM_BYPASS_INIT_CAL : string := "FAST"; -- # = "OFF" - Complete memory init & -- calibration sequence -- # = "SKIP" - Not supported -- # = "FAST" - Complete memory init & use -- abbreviated calib sequence constant SIMULATION : string := "TRUE"; -- Should be TRUE during design simulations and -- FALSE during implementations constant promfile : string := "prom.srec"; -- rom contents constant ramfile : string := "ram.srec"; -- ram contents signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal address : std_logic_vector(25 downto 0); signal data : std_logic_vector(15 downto 0); signal button : std_logic_vector(3 downto 0) := "0000"; signal genio : std_logic_vector(59 downto 0); signal romsn : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; signal adv : std_logic; signal GND : std_ulogic := '0'; signal VCC : std_ulogic := '1'; signal NC : std_ulogic := 'Z'; signal txd1 , rxd1 , dsurx : std_logic; signal txd2 , rxd2 , dsutx : std_logic; signal ctsn1 , rtsn1 , dsuctsn : std_ulogic; signal ctsn2 , rtsn2 , dsurtsn : std_ulogic; signal phy_mii_data : std_logic; signal phy_tx_clk : std_ulogic; signal phy_rx_clk : std_ulogic; signal phy_rx_data : std_logic_vector(7 downto 0); signal phy_dv : std_ulogic; signal phy_rx_er : std_ulogic; signal phy_col : std_ulogic; signal phy_crs : std_ulogic; signal phy_tx_data : std_logic_vector(7 downto 0); signal phy_tx_en : std_ulogic; signal phy_tx_er : std_ulogic; signal phy_mii_clk : std_ulogic; signal phy_rst_n : std_ulogic; signal phy_gtx_clk : std_ulogic; signal phy_mii_int_n : std_ulogic; signal clk27 : std_ulogic := '0'; signal clk200p : std_ulogic := '0'; signal clk200n : std_ulogic := '1'; signal clk33 : std_ulogic := '0'; signal clkethp : std_ulogic := '0'; signal clkethn : std_ulogic := '1'; signal txp1 : std_logic; signal txn : std_logic; signal rxp : std_logic := '1'; signal rxn : std_logic := '0'; signal iic_scl : std_ulogic; signal iic_sda : std_ulogic; signal ddc_scl : std_ulogic; signal ddc_sda : std_ulogic; signal dvi_iic_scl : std_logic; signal dvi_iic_sda : std_logic; signal tft_lcd_data : std_logic_vector(11 downto 0); signal tft_lcd_clk_p : std_ulogic; signal tft_lcd_clk_n : std_ulogic; signal tft_lcd_hsync : std_ulogic; signal tft_lcd_vsync : std_ulogic; signal tft_lcd_de : std_ulogic; signal tft_lcd_reset_b : std_ulogic; -- DDR3 memory signal ddr3_dq : std_logic_vector(63 downto 0); signal ddr3_dqs_p : std_logic_vector(7 downto 0); signal ddr3_dqs_n : std_logic_vector(7 downto 0); signal ddr3_addr : std_logic_vector(13 downto 0); signal ddr3_ba : std_logic_vector(2 downto 0); signal ddr3_ras_n : std_logic; signal ddr3_cas_n : std_logic; signal ddr3_we_n : std_logic; signal ddr3_reset_n : std_logic; signal ddr3_ck_p : std_logic_vector(0 downto 0); signal ddr3_ck_n : std_logic_vector(0 downto 0); signal ddr3_cke : std_logic_vector(0 downto 0); signal ddr3_cs_n : std_logic_vector(0 downto 0); signal ddr3_dm : std_logic_vector(7 downto 0); signal ddr3_odt : std_logic_vector(0 downto 0); -- SPI flash signal spi_sel_n : std_ulogic; signal spi_clk : std_ulogic; signal spi_mosi : std_ulogic; signal dsurst : std_ulogic; signal errorn : std_logic; signal switch : std_logic_vector(4 downto 0); -- I/O port signal led : std_logic_vector(6 downto 0); -- I/O port constant lresp : boolean := false; signal tdqs_n : std_logic; signal gmii_tx_clk : std_logic; signal gmii_rx_clk : std_logic; signal gmii_txd : std_logic_vector(7 downto 0); signal gmii_tx_en : std_logic; signal gmii_tx_er : std_logic; signal gmii_rxd : std_logic_vector(7 downto 0); signal gmii_rx_dv : std_logic; signal gmii_rx_er : std_logic; signal configuration_finished : boolean; signal speed_is_10_100 : std_logic; signal speed_is_100 : std_logic; signal usb_clkout : std_logic := '0'; signal usb_d : std_logic_vector(7 downto 0); signal usb_resetn : std_ulogic; signal usb_nxt : std_ulogic; signal usb_stp : std_ulogic; signal usb_dir : std_ulogic; -- GRUSB_DCL test signals signal ddelay : std_ulogic := '0'; signal dstart : std_ulogic := '0'; signal drw : std_ulogic; signal daddr : std_logic_vector(31 downto 0); signal dlen : std_logic_vector(14 downto 0); signal ddi : grusb_dcl_debug_data; signal ddone : std_ulogic; signal ddo : grusb_dcl_debug_data; signal phy_mdio : std_logic; signal phy_mdc : std_ulogic; signal txp_eth, txn_eth : std_logic; component leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; testahb : boolean := false; SIM_BYPASS_INIT_CAL : string := "OFF"; SIMULATION : string := "FALSE"; USE_MIG_INTERFACE_MODEL : boolean := false; autonegotiation : integer := 1 ); port ( reset : in std_ulogic; clk200p : in std_ulogic; -- 200 MHz clock clk200n : in std_ulogic; -- 200 MHz clock address : out std_logic_vector(25 downto 0); data : inout std_logic_vector(15 downto 0); oen : out std_ulogic; writen : out std_ulogic; romsn : out std_logic; adv : out std_logic; ddr3_dq : inout std_logic_vector(63 downto 0); ddr3_dqs_p : inout std_logic_vector(7 downto 0); ddr3_dqs_n : inout std_logic_vector(7 downto 0); ddr3_addr : out std_logic_vector(13 downto 0); ddr3_ba : out std_logic_vector(2 downto 0); ddr3_ras_n : out std_logic; ddr3_cas_n : out std_logic; ddr3_we_n : out std_logic; ddr3_reset_n : out std_logic; ddr3_ck_p : out std_logic_vector(0 downto 0); ddr3_ck_n : out std_logic_vector(0 downto 0); ddr3_cke : out std_logic_vector(0 downto 0); ddr3_cs_n : out std_logic_vector(0 downto 0); ddr3_dm : out std_logic_vector(7 downto 0); ddr3_odt : out std_logic_vector(0 downto 0); dsurx : in std_ulogic; dsutx : out std_ulogic; dsuctsn : in std_ulogic; dsurtsn : out std_ulogic; button : in std_logic_vector(3 downto 0); switch : inout std_logic_vector(4 downto 0); led : out std_logic_vector(6 downto 0); iic_scl : inout std_ulogic; iic_sda : inout std_ulogic; usb_refclk_opt : in std_logic; usb_clkout : in std_logic; usb_d : inout std_logic_vector(7 downto 0); usb_nxt : in std_logic; usb_stp : out std_logic; usb_dir : in std_logic; usb_resetn : out std_ulogic; gtrefclk_p : in std_logic; gtrefclk_n : in std_logic; txp : out std_logic; txn : out std_logic; rxp : in std_logic; rxn : in std_logic; emdio : inout std_logic; emdc : out std_ulogic; eint : in std_ulogic; erst : out std_ulogic; can_txd : out std_logic_vector(0 to CFG_CAN_NUM-1); can_rxd : in std_logic_vector(0 to CFG_CAN_NUM-1); spi_data_out : in std_logic; spi_data_in : out std_ulogic; spi_data_cs_b : out std_ulogic; spi_clk : out std_ulogic ); end component; begin -- clock and reset clk200p <= not clk200p after 2.5 ns; clk200n <= not clk200n after 2.5 ns; clkethp <= not clkethp after 4 ns; clkethn <= not clkethp after 4 ns; rst <= not dsurst; rxd1 <= 'H'; ctsn1 <= '0'; rxd2 <= 'H'; ctsn2 <= '0'; button <= "0000"; switch(3 downto 0) <= "0000"; cpu : leon3mp generic map ( fabtech => fabtech, memtech => memtech, padtech => padtech, clktech => clktech, disas => disas, dbguart => dbguart, pclow => pclow, testahb => testahb, SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL, SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL, autonegotiation => 0 ) port map ( reset => rst, clk200p => clk200p, clk200n => clk200n, address => address, data => data, oen => oen, writen => writen, romsn => romsn, adv => adv, ddr3_dq => ddr3_dq, ddr3_dqs_p => ddr3_dqs_p, ddr3_dqs_n => ddr3_dqs_n, ddr3_addr => ddr3_addr, ddr3_ba => ddr3_ba, ddr3_ras_n => ddr3_ras_n, ddr3_cas_n => ddr3_cas_n, ddr3_we_n => ddr3_we_n, ddr3_reset_n => ddr3_reset_n, ddr3_ck_p => ddr3_ck_p, ddr3_ck_n => ddr3_ck_n, ddr3_cke => ddr3_cke, ddr3_cs_n => ddr3_cs_n, ddr3_dm => ddr3_dm, ddr3_odt => ddr3_odt, dsurx => dsurx, dsutx => dsutx, dsuctsn => dsuctsn, dsurtsn => dsurtsn, button => button, switch => switch, led => led, iic_scl => iic_scl, iic_sda => iic_sda, usb_refclk_opt => '0', usb_clkout => usb_clkout, usb_d => usb_d, usb_nxt => usb_nxt, usb_stp => usb_stp, usb_dir => usb_dir, usb_resetn => usb_resetn, gtrefclk_p => clkethp, gtrefclk_n => clkethn, txp => txp_eth, txn => txn_eth, rxp => txp_eth, rxn => txn_eth, emdio => phy_mdio, emdc => phy_mdc, eint => '0', erst => OPEN, can_txd => OPEN, can_rxd => "0", spi_data_out => '0', spi_data_in => OPEN, spi_data_cs_b => OPEN, spi_clk => OPEN ); phy0 : if (CFG_GRETH = 1) generate phy_mdio <= 'H'; p0: phy generic map ( address => 7, extended_regs => 1, aneg => 1, base100_t4 => 1, base100_x_fd => 1, base100_x_hd => 1, fd_10 => 1, hd_10 => 1, base100_t2_fd => 1, base100_t2_hd => 1, base1000_x_fd => 1, base1000_x_hd => 1, base1000_t_fd => 1, base1000_t_hd => 1, rmii => 0, rgmii => 1 ) port map(dsurst, phy_mdio, OPEN , OPEN , OPEN , OPEN , OPEN , OPEN , OPEN , "00000000", '0', '0', phy_mdc, clkethp); end generate; prom0 : for i in 0 to 1 generate sr0 : sram generic map (index => i+4, abits => 26, fname => promfile) port map (address(25 downto 0), data(15-i*8 downto 8-i*8), romsn, writen, oen); end generate; -- Memory model instantiation gen_mem_model : if (USE_MIG_INTERFACE_MODEL /= true) generate ddr3mem : if (CFG_MIG_7SERIES = 1) generate u1 : ddr3ram generic map ( width => 64, abits => 14, colbits => 10, rowbits => 10, implbanks => 1, fname => ramfile, lddelay => (0 ns), ldguard => 1, speedbin => 9, --DDR3-1600K density => 3, pagesize => 1, changeendian => 8) port map ( ck => ddr3_ck_p(0), ckn => ddr3_ck_n(0), cke => ddr3_cke(0), csn => ddr3_cs_n(0), odt => ddr3_odt(0), rasn => ddr3_ras_n, casn => ddr3_cas_n, wen => ddr3_we_n, dm => ddr3_dm, ba => ddr3_ba, a => ddr3_addr, resetn => ddr3_reset_n, dq => ddr3_dq, dqs => ddr3_dqs_p, dqsn => ddr3_dqs_n, doload => led(3) ); end generate ddr3mem; end generate gen_mem_model; mig_mem_model : if (USE_MIG_INTERFACE_MODEL = true) generate ddr3_dq <= (others => 'Z'); ddr3_dqs_p <= (others => 'Z'); ddr3_dqs_n <= (others => 'Z'); end generate mig_mem_model; errorn <= led(1); errorn <= 'H'; -- ERROR pull-up usbtr: if (CFG_GRUSBHC = 1) generate u0: ulpi port map (usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn); end generate usbtr; usbdevsim: if (CFG_GRUSBDC = 1) generate u0: grusbdcsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir); end generate usbdevsim; usb_dclsim: if (CFG_GRUSB_DCL = 1) generate u0: grusb_dclsim generic map (functm => 0, keepclk => 1) port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, ddelay, dstart, drw, daddr, dlen, ddi, ddone, ddo); usb_dcl_proc : process begin wait for 10 ns; Print("GRUSB_DCL test started"); wait until rising_edge(ddone); -- Write 128 bytes to memory daddr <= X"40000000"; dlen <= conv_std_logic_vector(32,15); for i in 0 to 127 loop ddi(i) <= conv_std_logic_vector(i+8,8); end loop; -- i grusb_dcl_write(usb_clkout, drw, dstart, ddone); -- Read back written data grusb_dcl_read(usb_clkout, drw, dstart, ddone); -- Compare data for i in 0 to 127 loop if ddo(i) /= ddi(i) then Print("ERROR: Data mismatch using GRUSB_DCL"); end if; end loop; Print("GRUSB_DCL test finished"); wait; end process; end generate usb_dclsim; iuerr : process begin wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation if (USE_MIG_INTERFACE_MODEL /= true) then wait on led(3); -- DDR3 Memory Init ready end if; wait for 5000 ns; if to_x01(errorn) = '1' then wait on errorn; end if; assert (to_x01(errorn) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; -- this should be a failure end process; data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; switch(4) <= '0'; wait for 2500 ns; if (USE_MIG_INTERFACE_MODEL /= true) then wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation end if; dsurst <= '1'; switch(4) <= '1'; if (USE_MIG_INTERFACE_MODEL /= true) then wait on led(3); -- Wait for DDR3 Memory Init ready end if; report "Start DSU transfer"; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart -- Reads from memory and DSU register to mimic GRMON during simulation l1 : loop txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU read memory " & tost(w32); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); rxi(dsurx, w32, txp, lresp); --report "DSU Break and Single Step register" & tost(w32); end loop l1; wait; -- ** This is only kept for reference -- -- do test read and writes to DDR3 to check status -- Write txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#01#, 16#23#, 16#45#, 16#67#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); txa(dsutx, 16#89#, 16#AB#, 16#CD#, 16#EF#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); txa(dsutx, 16#08#, 16#19#, 16#2A#, 16#3B#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); txa(dsutx, 16#4C#, 16#5D#, 16#6E#, 16#7F#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp); rxi(dsurx, w32, txp, lresp); report "* Read " & tost(w32); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp); rxi(dsurx, w32, txp, lresp); txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp); rxi(dsurx, w32, txp, lresp); wait; -- Register 0x90000000 (DSU Control Register) -- Data 0x0000202e (b0010 0000 0010 1110) -- [0] - Trace Enable -- [1] - Break On Error -- [2] - Break on IU watchpoint -- [3] - Break on s/w break points -- -- [4] - (Break on trap) -- [5] - Break on error traps -- [6] - Debug mode (Read mode only) -- [7] - DSUEN (read mode) -- -- [8] - DSUBRE (read mode) -- [9] - Processor mode error (clears error) -- [10] - processor halt (returns 1 if processor halted) -- [11] - power down mode (return 1 if processor in power down mode) txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#80#, 16#02#, txp); wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp); wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp); txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); wait; end; begin dsuctsn <= '0'; dsucfg(dsutx, dsurx); wait; end process; end ;
gpl-2.0
64517f94f1713934f65bbb0442a6d794
0.525354
3.354434
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-terasic-sockit/config.vhd
1
5,317
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := altera; constant CFG_MEMTECH : integer := altera; constant CFG_PADTECH : integer := altera; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := altera; constant CFG_CLKMUL : integer := (7); constant CFG_CLKDIV : integer := (5); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 0; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 4; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*1; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 16; constant CFG_DTLBNUM : integer := 16; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 1 + 64*0; constant CFG_ATBSZ : integer := 1; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 0; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 0 + 0 + 0; constant CFG_ETH_BUF : integer := 1; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000009#; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- HPS constant CFG_HPS2FPGA : integer := 1; constant CFG_FPGA2HPS : integer := 1; constant CFG_HPS_RESET : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
db3e712736e1657288dfc3e682b52db2
0.640023
3.646776
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/fmf/utilities/gen_utils.vhd
5
5,981
-------------------------------------------------------------------------------- -- File name: gen_utils.vhd -------------------------------------------------------------------------------- -- Copyright (C) 1996, 1998, 2001 Free Model Foundry; http://eda.org/fmf/ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License version 2 as -- published by the Free Software Foundation. -- -- MODIFICATION HISTORY: -- -- version: | author: | mod date: | changes made: -- V1.0 R. Steele 96 SEP 26 Initial release -- V1.1 REV3 97 Feb 27 Added Xon and MsgOn generics -- V1.2 R. Steele 97 APR 16 Changed wired-or to wired-and -- V1.3 R. Steele 97 APR 16 Added diff. receiver table -- V1.4 R. Munden 98 APR 13 Added GenParity and CheckParity -- V1.5 R. Munden 01 NOV 24 Added UnitDelay01ZX -- -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_Logic_1164.ALL; USE IEEE.VITAL_primitives.ALL; USE IEEE.VITAL_timing.ALL; PACKAGE gen_utils IS ---------------------------------------------------------------------------- -- Result map for Wired-and output values (open collector) ---------------------------------------------------------------------------- CONSTANT STD_wired_and_rmap : VitalResultMapType := ('U','X','0','Z'); ---------------------------------------------------------------------------- -- Table for computing a single signal from a differential receiver input -- pair. ---------------------------------------------------------------------------- CONSTANT diff_rec_tab : VitalStateTableType := ( ------INPUTS--|-PREV-|-OUTPUT---- -- A ANeg | Aint | Aint' -- --------------|------|----------- ( 'X', '-', '-', 'X'), -- A unknown ( '-', 'X', '-', 'X'), -- A unknown ( '1', '-', 'X', '1'), -- Recover from 'X' ( '0', '-', 'X', '0'), -- Recover from 'X' ( '/', '0', '0', '1'), -- valid diff. rising edge ( '1', '\', '0', '1'), -- valid diff. rising edge ( '\', '1', '1', '0'), -- valid diff. falling edge ( '0', '/', '1', '0'), -- valid diff. falling edge ( '-', '-', '-', 'S') -- default ); -- end of VitalStateTableType definition ---------------------------------------------------------------------------- -- Default Constants ---------------------------------------------------------------------------- CONSTANT UnitDelay : VitalDelayType := 1 ns; CONSTANT UnitDelay01 : VitalDelayType01 := (1 ns, 1 ns); CONSTANT UnitDelay01Z : VitalDelayType01Z := (others => 1 ns); CONSTANT UnitDelay01ZX : VitalDelayType01ZX := (others => 1 ns); CONSTANT DefaultInstancePath : STRING := "*"; CONSTANT DefaultTimingChecks : BOOLEAN := FALSE; CONSTANT DefaultTimingModel : STRING := "UNIT"; CONSTANT DefaultXon : BOOLEAN := TRUE; CONSTANT DefaultMsgOn : BOOLEAN := TRUE; -- Older VITAL generic being phased out CONSTANT DefaultXGeneration : BOOLEAN := TRUE; ------------------------------------------------------------------- -- Generate Parity for each 8-bit in 9th bit ------------------------------------------------------------------- FUNCTION GenParity (Data : in std_logic_vector; -- Data ODDEVEN : in std_logic; -- ODD (1) / EVEN(0) SIZE : in POSITIVE) -- Bit Size RETURN std_logic_vector; ------------------------------------------------------------------- -- Check Parity for each 8-bit in 9th bit ------------------------------------------------------------------- FUNCTION CheckParity (Data : in std_logic_vector; -- Data ODDEVEN : in std_logic; -- ODD (1) / EVEN(0) SIZE : in POSITIVE) -- Bit Size RETURN std_logic; -- '0' - Parity Error END gen_utils; PACKAGE BODY gen_utils IS function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 403 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result xor ARG(i); end loop; return result; end; ------------------------------------------------------------------- -- Generate Parity for each 8-bit in 9th bit ------------------------------------------------------------------- FUNCTION GenParity (Data : in std_logic_vector; -- Data ODDEVEN : in std_logic; -- ODD (1) / EVEN(0) SIZE : in POSITIVE) -- Bit Size RETURN std_logic_vector IS VARIABLE I: NATURAL; VARIABLE Result: std_logic_vector (Data'Length - 1 DOWNTO 0); BEGIN I := 0; WHILE (I < SIZE) LOOP Result(I+7 DOWNTO I) := Data(I+7 downto I); Result(I+8) := XOR_REDUCE( Data(I+7 downto I) ) XOR ODDEVEN; I := I + 9; END LOOP; RETURN Result; END GenParity; ------------------------------------------------------------------- -- Check Parity for each 8-bit in 9th bit ------------------------------------------------------------------- FUNCTION CheckParity (Data : in std_logic_vector; -- Data ODDEVEN : in std_logic; -- ODD (1) / EVEN(0) SIZE : in POSITIVE) -- Bit Size RETURN std_logic -- '0' - Parity Error IS VARIABLE I: NATURAL; VARIABLE Result: std_logic; BEGIN I := 0; Result := '1'; WHILE (I < SIZE) LOOP Result := Result AND NOT (XOR_REDUCE( Data(I+8 downto I) ) XOR ODDEVEN); I := I + 9; END LOOP; RETURN Result; END CheckParity; END gen_utils;
gpl-2.0
961f9c227b4614ab7737940ddf28fb26
0.422505
4.611411
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/stratixiii/alt/actrlout.vhd
3
2,539
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library stratixiii; use stratixiii.all; entity actrlout is generic( power_up : string := "high" ); port( clk : in std_logic; i : in std_logic; o : out std_logic ); end; architecture rtl of actrlout is component stratixiii_ddio_out generic( power_up : string := "low"; async_mode : string := "none"; sync_mode : string := "none"; half_rate_mode : string := "false"; use_new_clocking_model : string := "false"; lpm_type : string := "stratixiii_ddio_out" ); port ( datainlo : in std_logic := '0'; datainhi : in std_logic := '0'; clk : in std_logic := '0'; clkhi : in std_logic := '0'; clklo : in std_logic := '0'; muxsel : in std_logic := '0'; ena : in std_logic := '1'; areset : in std_logic := '0'; sreset : in std_logic := '0'; dataout : out std_logic -- dfflo : out std_logic; -- dffhi : out std_logic-- ; --devclrn : in std_logic := '1'; --devpor : in std_logic := '1' ); end component; signal vcc : std_logic; signal gnd : std_logic_vector(13 downto 0); signal clk_reg : std_logic; signal clk_buf, clk_bufn : std_logic; begin vcc <= '1'; gnd <= (others => '0'); out_reg0 : stratixiii_ddio_out generic map( power_up => power_up,--"high", async_mode => "none", sync_mode => "none", half_rate_mode => "false", use_new_clocking_model => "false", lpm_type => "stratixiii_ddio_out" ) port map( datainlo => i, datainhi => i, clk => clk, clkhi => clk, clklo => clk, muxsel => clk, ena => vcc, areset => gnd(0), sreset => gnd(0), dataout => o -- dfflo => open, -- dffhi => open--, --devclrn => vcc, --devpor => vcc ); end;
gpl-2.0
e4abae527dca7049760e820f26ce4bcb
0.411579
3.985871
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/inferred/ddr_phy_inferred.vhd
1
16,488
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: generic_ddr_phy -- File: ddr_phy_inferred.vhd -- Author: Nils-Johan Wessman - Gaisler Research -- Modified: Magnus Hjorth - Aeroflex Gaisler -- Description: Generic DDR PHY (simulation only) ------------------------------------------------------------------------------ --################################################################################### -- Generic DDR1 PHY --################################################################################### library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.stdlib.all; entity generic_ddr_phy_wo_pads is generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0; mobile : integer := 0; abits: integer := 14; nclk: integer := 3; ncs: integer := 2); port( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clk0r : in std_ulogic; lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb: in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector ( 1 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(nclk-1 downto 0); moben : in std_logic -- Mobile DDR enable ); end; architecture rtl of generic_ddr_phy_wo_pads is component sim_pll generic ( clkmul: integer := 1; clkdiv1: integer := 1; clkphase1: integer := 0; clkdiv2: integer := 1; clkphase2: integer := 0; clkdiv3: integer := 1; clkphase3: integer := 0; clkdiv4: integer := 1; clkphase4: integer := 0; minfreq: integer := 0; maxfreq: integer := 10000000 ); port ( i: in std_logic; o1: out std_logic; o2: out std_logic; o3: out std_logic; o4: out std_logic; lock: out std_logic; rst: in std_logic ); end component; constant freq_khz: integer := (1000*MHz*clk_mul)/(clk_div); constant freq_mhz: integer := freq_khz / 1000; constant td90: time := 250 us * (1.0 / real(freq_khz)); signal vcc, gnd : std_logic; -- VCC and GND signal clk0, clk90r, clk180r, clk270r : std_ulogic; signal lockl,vlockl,locked: std_ulogic; signal dqs90,dqs90n: std_logic_vector(dbits/8-1 downto 0); signal ckl: std_logic_vector(nclk-1 downto 0); signal ckel: std_logic_vector(ncs-1 downto 0); begin vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------------- -- Clock generation (Only for simulation) ----------------------------------------------------------------------------------- -- Phase shifted clocks --pragma translate_off -- To avoid jitter problems when using ddr without sync regs we shift -- 10 degrees extra. pll0: sim_pll generic map ( clkmul => clk_mul, clkdiv1 => clk_div, clkphase1 => 0-10+360, clkdiv2 => clk_div, clkphase2 => 90-10, clkdiv3 => clk_div, clkphase3 => 180-10, clkdiv4 => clk_div, clkphase4 => 270-10, minfreq => MHz*1000, maxfreq => MHz*1000 ) port map ( i => clk, o1 => clk0, o2 => clk90r, o3 => clk180r, o4 => clk270r, lock => lockl, rst => rst); --pragma translate_on -- Clock to DDR controller clkout <= clk0; ddr_clk_fb_out <= '0'; ----------------------------------------------------------------------------------- -- Lock delay ----------------------------------------------------------------------------------- rdel : if rstdelay /= 0 generate rcnt : process (clk0r, lockl, rst) variable cnt : std_logic_vector(15 downto 0); variable vlock, co : std_ulogic; begin if rising_edge(clk0r) then co := cnt(15); vlockl <= vlock; if lockl = '0' then cnt := conv_std_logic_vector(rstdelay*FREQ_MHZ, 16); vlock := '0'; else if vlock = '0' then cnt := cnt -1; vlock := cnt(15) and not co; end if; end if; end if; if lockl = '0' or rst='0' then vlock := '0'; end if; end process; end generate; locked <= lockl when rstdelay = 0 else vlockl; lock <= locked; ----------------------------------------------------------------------------- -- DQS shifting ----------------------------------------------------------------------------- -- pragma translate_off dqs90 <= transport ddr_dqs_in after td90; dqs90n <= not dqs90; -- pragma translate_on ----------------------------------------------------------------------------- -- Data path ----------------------------------------------------------------------------- -- For mobile SDRAM, force Cke high during reset and reset-delay, -- For regular SDRAM, force Cke low -- also disable outgoing clock until we have achieved PLL lock mobgen: if mobile > 1 generate ckel <= cke or (cke'range => not locked); end generate; nmobgen: if mobile < 2 generate ckel <= cke and (cke'range => locked); end generate; ckl <= ck and (ck'range => lockl); dp0: ddrphy_datapath generic map ( regtech => inferred, dbits => dbits, abits => abits, bankbits => 2, ncs => ncs, nclk => nclk, resync => 2 ) port map ( clk0 => clk0r, clk90 => clk90r, clk180 => clk180r, clk270 => clk270r, clkresync => gnd, ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_dq_in => ddr_dq_in, ddr_dq_out => ddr_dq_out, ddr_dq_oen => ddr_dq_oen, ddr_dqs_in90 => dqs90, ddr_dqs_in90n => dqs90n, ddr_dqs_out => ddr_dqs_out, ddr_dqs_oen => ddr_dqs_oen, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dm => ddr_dm, ddr_odt => open, dqin => dqin, dqout => dqout, addr => addr, ba => ba, dm => dm, oen => oen, rasn => rasn, casn => casn, wen => wen, csn => csn, cke => ckel, odt => (others => '0'), dqs_en => dqs, dqs_oen => dqsoen, ddrclk_en => ckl ); end; --################################################################################### -- Generic DDR2 PHY --################################################################################### library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.stdlib.all; entity generic_ddr2_phy_wo_pads is generic (MHz : integer := 100; rstdelay : integer := 200; dbits : integer := 16; clk_mul : integer := 2 ; clk_div : integer := 2; rskew : integer := 0; eightbanks: integer := 0; abits: integer := 14; cben: integer := 0; chkbits: integer := 8; nclk: integer := 3; ncs: integer := 2); port( rst : in std_ulogic; clk : in std_logic; -- input clock clkout : out std_ulogic; -- system clock clk0r : in std_ulogic; -- system clock returned lock : out std_ulogic; -- DCM locked ddr_clk : out std_logic_vector(nclk-1 downto 0); ddr_clkb : out std_logic_vector(nclk-1 downto 0); ddr_clk_fb_out : out std_logic; ddr_clk_fb : in std_logic; ddr_cke : out std_logic_vector(ncs-1 downto 0); ddr_csb : out std_logic_vector(ncs-1 downto 0); ddr_web : out std_ulogic; -- ddr write enable ddr_rasb : out std_ulogic; -- ddr ras ddr_casb : out std_ulogic; -- ddr cas ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data ddr_odt : out std_logic_vector(ncs-1 downto 0); -- ddr odt addr : in std_logic_vector (abits-1 downto 0); -- data mask ba : in std_logic_vector (2 downto 0); -- data mask dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask oen : in std_ulogic; dqs : in std_ulogic; dqsoen : in std_ulogic; rasn : in std_ulogic; casn : in std_ulogic; wen : in std_ulogic; csn : in std_logic_vector(ncs-1 downto 0); cke : in std_logic_vector(ncs-1 downto 0); ck : in std_logic_vector(2 downto 0); odt : in std_logic_vector(1 downto 0) ); end; architecture rtl of generic_ddr2_phy_wo_pads is component sim_pll generic ( clkmul: integer := 1; clkdiv1: integer := 1; clkphase1: integer := 0; clkdiv2: integer := 1; clkphase2: integer := 0; clkdiv3: integer := 1; clkphase3: integer := 0; clkdiv4: integer := 1; clkphase4: integer := 0; minfreq: integer := 0; maxfreq: integer := 10000000 ); port ( i: in std_logic; o1: out std_logic; o2: out std_logic; o3: out std_logic; o4: out std_logic; lock: out std_logic; rst: in std_logic ); end component; constant freq_khz: integer := (1000*MHz*clk_mul)/(clk_div); constant freq_mhz: integer := freq_khz / 1000; constant td90: time := 250 us * (1.0 / real(freq_khz)); signal vcc, gnd : std_logic; -- VCC and GND signal clk0, clk90r, clk180r, clk270r : std_ulogic; signal lockl,vlockl,locked: std_ulogic; signal dqs90,dqs90n: std_logic_vector(dbits/8-1 downto 0); begin vcc <= '1'; gnd <= '0'; ----------------------------------------------------------------------------------- -- Clock generation (Only for simulation) ----------------------------------------------------------------------------------- -- Phase shifted clocks --pragma translate_off -- To avoid jitter problems when using ddr2 without sync regs we shift -- 10 degrees extra. pll0: sim_pll generic map ( clkmul => clk_mul, clkdiv1 => clk_div, clkphase1 => 0-10+360, clkdiv2 => clk_div, clkphase2 => 90-10, clkdiv3 => clk_div, clkphase3 => 180-10, clkdiv4 => clk_div, clkphase4 => 270-10, minfreq => MHz*1000, maxfreq => MHz*1000 ) port map ( i => clk, o1 => clk0, o2 => clk90r, o3 => clk180r, o4 => clk270r, lock => lockl, rst => rst); --pragma translate_on -- Clock to DDR controller clkout <= clk0; ddr_clk_fb_out <= '0'; ----------------------------------------------------------------------------------- -- Lock delay ----------------------------------------------------------------------------------- rdel : if rstdelay /= 0 generate rcnt : process (clk0r, lockl) variable cnt : std_logic_vector(15 downto 0); variable vlock, co : std_ulogic; begin if rising_edge(clk0r) then co := cnt(15); vlockl <= vlock; if lockl = '0' then cnt := conv_std_logic_vector(rstdelay*FREQ_MHZ, 16); vlock := '0'; else if vlock = '0' then cnt := cnt -1; vlock := cnt(15) and not co; end if; end if; end if; if lockl = '0' then vlock := '0'; end if; end process; end generate; locked <= lockl when rstdelay = 0 else vlockl; lock <= locked; ----------------------------------------------------------------------------- -- DQS shifting ----------------------------------------------------------------------------- -- pragma translate_off dqs90 <= transport ddr_dqs_in after td90; dqs90n <= not dqs90; -- pragma translate_on ----------------------------------------------------------------------------- -- Data path ----------------------------------------------------------------------------- dp0: ddrphy_datapath generic map ( regtech => inferred, dbits => dbits, abits => abits, bankbits => 2+EIGHTBANKS, ncs => ncs, nclk => nclk, resync => 0 ) port map ( clk0 => clk0r, clk90 => clk90r, clk180 => clk180r, clk270 => clk270r, clkresync => gnd, ddr_clk => ddr_clk, ddr_clkb => ddr_clkb, ddr_dq_in => ddr_dq_in, ddr_dq_out => ddr_dq_out, ddr_dq_oen => ddr_dq_oen, ddr_dqs_in90 => dqs90, ddr_dqs_in90n => dqs90n, ddr_dqs_out => ddr_dqs_out, ddr_dqs_oen => ddr_dqs_oen, ddr_cke => ddr_cke, ddr_csb => ddr_csb, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb, ddr_ad => ddr_ad, ddr_ba => ddr_ba, ddr_dm => ddr_dm, ddr_odt => ddr_odt, dqin => dqin, dqout => dqout, addr => addr, ba => ba(1+eightbanks downto 0), dm => dm, oen => oen, rasn => rasn, casn => casn, wen => wen, csn => csn, cke => cke, odt => odt, dqs_en => dqs, dqs_oen => dqsoen, ddrclk_en => ck(nclk-1 downto 0) ); end;
gpl-2.0
c78ac740c18f609dee6cb407554e08df
0.500788
3.732005
false
false
false
false
aortiz49/MIPS-Processor
Testbenches/single_cycle_tb.vhd
1
3,117
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity single_cycle_tb is end single_cycle_tb; architecture behv of single_cycle_tb is component single_cycle port ( clk : in std_logic; program_counter : out std_logic_vector(31 downto 0); instruction : out std_logic_vector(31 downto 0); ALUsrc_out : out std_logic; RegDst_out : out std_logic; RegWrite_out : out std_logic; pc_rst : in std_logic; shdir_out : out std_logic; shamt_out : out std_logic_vector(4 downto 0); ALUOp_out : out std_logic_vector(2 downto 0); ALUControl_out : out std_logic_vector(3 downto 0); RW_out : out std_logic_vector(4 downto 0); RD1_out : out std_logic_vector(4 downto 0); RD0_out : out std_logic_vector(4 downto 0); RegFileOut1 : out std_logic_vector(31 downto 0); RegFileOut0 : out std_logic_vector(31 downto 0); Extend_out : out std_logic_vector(31 downto 0); ALU_Result_out : out std_logic_vector(31 downto 0); MemWrite_out : out std_logic; C : out std_logic; S : out std_logic; V : out std_logic; Z : out std_logic; ExtOp_out : out std_logic ); end component; signal clk : std_logic := '0'; signal program_counter : std_logic_vector(31 downto 0); signal instruction : std_logic_vector(31 downto 0); signal ALUsrc_out : std_logic; signal RegDst_out : std_logic; signal RegWrite_out : std_logic; signal MemWrite_out : std_logic; signal pc_rst : std_logic; signal shdir_out : std_logic; signal shamt_out : std_logic_vector(4 downto 0); signal ALUOp_out : std_logic_vector(2 downto 0); signal ALUControl_out : std_logic_vector(3 downto 0); signal RW_out : std_logic_vector(4 downto 0); signal RD1_out : std_logic_vector(4 downto 0); signal RD0_out : std_logic_vector(4 downto 0); signal RegFileOut1 : std_logic_vector(31 downto 0); signal RegFileOut0 : std_logic_vector(31 downto 0); signal Extend_out : std_logic_vector(31 downto 0); signal ALU_Result_out : std_logic_vector(31 downto 0); signal C : std_logic; signal S : std_logic; signal V : std_logic; signal Z : std_logic; signal ExtOp_out : std_logic; begin single_cycle1: single_cycle port map ( clk => clk, program_counter => program_counter, instruction => instruction, ALUsrc_out => ALUsrc_out, RegDst_out => RegDst_out, RegWrite_out => RegWrite_out, pc_rst => pc_rst, shdir_out => shdir_out, shamt_out => shamt_out, ALUOp_out => ALUOp_out, ALUControl_out => ALUControl_out, RW_out => RW_out, RD1_out => RD1_out, RD0_out => RD0_out, RegFileOut1 => RegFileOut1, RegFileOut0 => RegFileOut0, Extend_out => Extend_out, ALU_Result_out => ALU_Result_out, MemWrite_out => MemWrite_out, C => C, S => S, V => V, Z => Z, Extop_out => ExtOp_out ); clk <= not clk after 10 ns; process begin pc_rst <= '1'; wait for 5 ns; pc_rst <= '0'; wait; end process; end behv;
mit
fa86c0be04768abe8b75d0bf32f3a43e
0.623997
2.300369
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-nuhorizons-3s1500/nuhosp3.vhd
3
4,925
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2004 GAISLER RESEARCH -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- See the file COPYING for the full details of the license. -- ----------------------------------------------------------------------------- -- Entity: nuhosp3 -- File: nuhosp3.vhd -- Author: Jiri Gaisler - Gaisler Reserch -- Description: ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.misc.all; entity nuhosp3 is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#fff#; ioaddr : integer := 16#200#; iomask : integer := 16#fff# ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; nui : in nuhosp3_in_type; nuo : out nuhosp3_out_type ); end; architecture rtl of nuhosp3 is constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_NUHOSP3, 0, 0, 0), 4 => ahb_membar(haddr, '1', '1', hmask), 5 => ahb_membar(ioaddr, '0', '0', iomask), others => zero32); type fstate is (idle, read1, read2, leadout); type reg_type is record hwrite : std_ulogic; hready : std_ulogic; hsel : std_ulogic; haddr : std_logic_vector(31 downto 0); hmbsel : std_logic_vector(0 to 1); ws : std_logic_vector(2 downto 0); flash_a : std_logic_vector(20 downto 0); flash_wd : std_logic_vector(15 downto 0); flash_oen : std_ulogic; flash_wen : std_ulogic; flash_cen : std_ulogic; flash_rd : std_logic_vector(31 downto 0); flash_state : fstate; smsc_wd : std_logic_vector(31 downto 0); smsc_ncs : std_ulogic; end record; constant romws : std_logic_vector(2 downto 0) := "011"; signal r, c : reg_type; begin comb : process (ahbsi, r, rst, nui) variable v : reg_type; begin v := r; if ahbsi.hready = '1' then v.hsel := ahbsi.hsel(hindex) and ahbsi.htrans(1); v.hready := not v.hsel; v.hwrite := ahbsi.hwrite; v.haddr := ahbsi.haddr; v.hmbsel := ahbsi.hmbsel(0 to 1); end if; v.flash_rd(15 downto 0) := nui.flash_d; case r.flash_state is when idle => v.flash_wen := '1'; v.flash_oen := '1'; if (r.hsel = '1') then v.flash_cen := not r.hmbsel(0); v.smsc_ncs := not r.hmbsel(1); v.flash_state := read1; v.flash_oen := r.hwrite; v.flash_a := r.haddr(20 downto 0); v.flash_wd := ahbsi.hwdata(31 downto 16); v.smsc_wd := ahbsi.hwdata(31 downto 0); end if; when read1 => v.flash_state := read2; v.ws := romws; v.flash_wen := not r.hwrite; v.flash_rd(31 downto 16) := r.flash_rd(15 downto 0); when read2 => v.ws := r.ws - 1; if r.ws = "000" then if (r.flash_a(0) = '0') and (r.hwrite = '0') then v.flash_state := read1; v.flash_a(0) := '1'; else v.hready := '1'; v.flash_state := leadout; v.flash_oen := '1'; v.flash_wen := '1'; v.flash_cen := '1'; v.smsc_ncs := '1'; end if; end if; when leadout => v.flash_state := idle; end case; if rst = '0' then v.hready := '1'; v.flash_state := idle; end if; c <= v; nuo.flash_oen <= r.flash_oen; nuo.flash_wen <= r.flash_wen; nuo.flash_cen <= r.flash_cen; nuo.flash_a <= r.flash_a; nuo.flash_d <= r.flash_wd; nuo.smsc_ncs <= r.smsc_ncs; nuo.smsc_nbe <= (others => r.flash_wen); nuo.smsc_ben <= r.flash_wen; nuo.smsc_data <= r.smsc_wd; nuo.smsc_addr <= r.flash_a(14 downto 0); ahbso.hready <= r.hready; ahbso.hrdata <= r.flash_rd; end process; nuo.smsc_resetn <= rst; nuo.smsc_nwr <= '1'; nuo.smsc_nrd <= '1'; nuo.smsc_wnr <= '1'; nuo.smsc_cycle <= '1'; nuo.smsc_aen <= '1'; nuo.smsc_lclk <= '1'; nuo.smsc_rdyrtn <= '1'; nuo.smsc_nads <= '0'; nuo.lcd_en <= '0'; nuo.lcd_ben <= '1'; nuo.lcd_backl <= '1'; ahbso.hresp <= "00"; ahbso.hsplit <= (others => '0'); ahbso.hirq <= (others => '0'); ahbso.hconfig <= hconfig; ahbso.hindex <= hindex; reg : process (clk, rst) begin if rising_edge(clk ) then r <= c; end if; if rst = '0' then r.flash_cen <= '1'; r.smsc_ncs <= '1'; r.flash_wen <= '1'; end if; end process; -- pragma translate_off bootmsg : report_design generic map (msg1 => "huhosp3" & tost(hindex) & ": Nuhorizons Spartan3 board interface", fabtech => tech_table(fabtech), memtech => tech_table(memtech)); -- pragma translate_on end;
gpl-2.0
f3250a1cce160f276522b8f63c5ad0c3
0.563858
3.01962
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-avnet-xc2v1500/leon3mp.vhd
1
17,400
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib, techmap; use grlib.amba.all; use grlib.stdlib.all; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.ddrpkg.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.pci.all; use gaisler.jtag.all; -- pragma translate_off use gaisler.sim.all; -- pragma translate_on library esa; use esa.memoryctrl.all; use esa.pcicomp.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_logic; clk : in std_logic; clk125 : in std_logic; errorn : out std_logic; flash_rstn : out std_logic; addr : out std_logic_vector(27 downto 0); data : inout std_logic_vector(15 downto 0); dsuen : in std_logic; dsubre : in std_logic; dsuact : out std_logic; oen : out std_logic; writen : out std_logic; read : out std_logic; romsn : out std_logic; ddr_clk : out std_logic_vector(1 downto 0); ddr_clkb : out std_logic_vector(1 downto 0); ddr_clk_fb : in std_logic; ddr_clk_fb_out : out std_logic; ddr_cke : out std_logic_vector(1 downto 0); ddr_csb : out std_logic_vector(1 downto 0); ddr_web : out std_logic; -- ddr write enable ddr_rasb : out std_logic; -- ddr ras ddr_casb : out std_logic; -- ddr cas ddr_dm : out std_logic_vector (7 downto 0); -- ddr dm ddr_dqs : inout std_logic_vector (7 downto 0); -- ddr dqs ddr_ad : out std_logic_vector (12 downto 0); -- ddr address ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address ddr_dq : inout std_logic_vector (63 downto 0); -- ddr data txd1 : out std_logic; -- UART1 tx data rxd1 : in std_logic; -- UART1 rx data -- gpio : inout std_logic_vector(31 downto 0); -- I/O port pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic ); end; architecture rtl of leon3mp is signal gpio : std_logic_vector(31 downto 0); -- I/O port constant blength : integer := 12; constant fifodepth : integer := 8; constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+1; signal vcc, gnd : std_logic_vector(4 downto 0); signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdram_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal clkm, clkml, rstn, rstraw, pciclk, clkddr, ddrlock : std_logic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, u2i, dui : uart_in_type; signal u1o, u2o, duo : uart_out_type; signal irqi : irq_in_vector(0 to NCPU-1); signal irqo : irq_out_vector(0 to NCPU-1); signal dbgi : l3_debug_in_vector(0 to NCPU-1); signal dbgo : l3_debug_out_vector(0 to NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal gpti : gptimer_in_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal lclk, rst, ndsuact : std_logic; signal tck, tckn, tms, tdi, tdo : std_logic; signal pcii : pci_in_type; signal pcio : pci_out_type; signal ddr_clkv : std_logic_vector(2 downto 0); signal ddr_clkbv : std_logic_vector(2 downto 0); signal ddr_adl : std_logic_vector (13 downto 0); attribute keep : boolean; attribute syn_keep : boolean; attribute syn_preserve : boolean; attribute keep of ddrlock : signal is true; attribute keep of clkml : signal is true; attribute keep of clkm : signal is true; attribute syn_keep of clkml : signal is true; attribute syn_preserve of clkml : signal is true; signal lresetn, lclk125, lock : std_logic; constant BOARD_FREQ : integer := 40000; -- input frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz constant IOAEN : integer := 1; constant DDR_FREQ : integer := 125; --(CFG_DDRSP_FREQ/10)*10; -- DDR frequency in MHz signal stati : ahbstat_in_type; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; lock <= ddrlock and cgo.clklock; clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk); clkgen0 : clkgen -- clock generator generic map (fabtech, CFG_CLKMUL, CFG_CLKDIV, 0, 0, 0, 0, 0, BOARD_FREQ, 0) port map (lclk, pci_clk, clkm, open, open, open, pciclk, cgi, cgo); resetn_pad : clkpad generic map (tech => padtech) port map (resetn, lresetn); rst0 : rstgen -- reset generator port map (lresetn, clkm, lock, rstn, rstraw); flash_rstn_pad : outpad generic map (tech => padtech) port map (flash_rstn, rstn); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => maxahbm, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, ndsuact); end generate; end generate; nodsu : if CFG_DSU = 0 generate dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; -- dcomgen : if CFG_AHB_UART = 1 generate -- dcom0: ahbuart -- Debug UART -- generic map (hindex => NCPU, pindex => 7, paddr => 7) -- port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU)); -- dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd); -- dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd); -- end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01"; memi.brdyn <= '1'; memi.bexcn <= '1'; mctrl0 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0, srbanks => 1, ram8 => CFG_MCTRL_RAM8BIT, ramaddr => 16#C00#, rammask => 16#FFF#, iomask => 0, ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN, invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo); addr_pad : outpadv generic map (width => 28, tech => padtech) port map (addr, memo.address(28 downto 1)); roms_pad : outpad generic map (tech => padtech) port map (romsn, memo.romsn(0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); writen_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); bdr : for i in 0 to 1 generate data_pad : iopadv generic map (tech => padtech, width => 8) port map (data(15-i*8 downto 8-i*8), memo.data(31-i*8 downto 24-i*8), memo.bdrive(i), memi.data(31-i*8 downto 24-i*8)); end generate; -- DDR RAM ddrsp0 : if (CFG_DDRSP /= 0) generate clk_pad : clkpad generic map (tech => padtech, arch => 2) port map (clk125, lclk125); ddr0 : ddrspa generic map ( fabtech => virtex2, memtech => 0, ddrbits => 64, hindex => 3, haddr => 16#400#, hmask => 16#C00#, ioaddr => 1, pwron => CFG_DDRSP_INIT, MHz => DDR_FREQ, clkmul => CFG_DDRSP_FREQ/5, clkdiv => 20, col => CFG_DDRSP_COL, Mbyte => CFG_DDRSP_SIZE, ahbfreq => CPU_FREQ/1000 ) port map (lresetn, rstn, lclk125, clkm, ddrlock, clkml, clkml, ahbsi, ahbso(3), ddr_clkv, ddr_clkbv, ddr_clk_fb_out, ddr_clk_fb, ddr_cke, ddr_csb, ddr_web, ddr_rasb, ddr_casb, ddr_dm, ddr_dqs, ddr_adl, ddr_ba, ddr_dq); ddr_clk <= ddr_clkv(1 downto 0); ddr_clkb <= ddr_clkbv(1 downto 0); ddr_ad <= ddr_adl(12 downto 0); end generate; ----------------------------------------------------------------------- --- AHB DMA ---------------------------------------------------------- ----------------------------------------------------------------------- -- dma0 : ahbdma -- generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG, -- pindex => 13, paddr => 13, dbuf => 16) -- port map (rstn, clkm, apbi, apbo(13), ahbmi, -- ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG)); -- -- at0 : ahbtrace -- generic map ( hindex => 7, ioaddr => 16#200#, iomask => 16#E00#, -- tech => memtech, irq => 0, kbytes => 8) -- port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7)); ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.extclk <= '0'; u1i.ctsn <= '0'; nopads : if CFG_AHB_UART = 0 generate rxd1_pad : inpad generic map (tech => padtech) port map (rxd1, u1i.rxd); txd1_pad : outpad generic map (tech => padtech) port map (txd1, u1o.txd); end generate; upads : if CFG_AHB_UART = 1 generate u1i.rxd <= u1o.txd; end generate; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO unit grgpio0: grgpio generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH) port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo); pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate pio_pad : iopad generic map (tech => padtech) port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7, nftslv => CFG_AHBSTATN) port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15)); end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ocram : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map ( rstn, clkm, ahbsi, ahbso(7)); end generate; ----------------------------------------------------------------------- --- PCI ------------------------------------------------------------ ----------------------------------------------------------------------- -- pp : if CFG_PCI /= 0 generate -- -- pci_clk_pad : clkpad generic map (tech => padtech, level => pci33) -- port map (pci_clk, pciclk); -- -- pcipads0 : pcipads generic map (padtech => padtech, host => 0)-- PCI pads -- port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, -- pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, -- pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio ); -- -- end generate; ----------------------------------------------------------------------- --- Test report module ---------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off test0 : ahbrep generic map (hindex => 7, haddr => 16#200#) port map (rstn, clkm, ahbsi, ahbso(7)); ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 Avnet Virtex2 XC2V1500 Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
gpl-2.0
3119f96680c2e734f9e66f9a84d33790
0.55477
3.559738
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/greth/greth_gbit_mb.vhd
1
15,236
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: greth_gbit_mb -- File: greth_gbit_mb.vhd -- Author: Marko Isomaki -- Description: Gigabit Ethernet Media Access Controller with Ethernet Debug -- Communication Link and dual AHB master interfaces ------------------------------------------------------------------------------ library ieee; library grlib; library gaisler; use ieee.std_logic_1164.all; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library techmap; use techmap.gencomp.all; use gaisler.net.all; use gaisler.ethernet_mac.all; library eth; use eth.ethcomp.all; entity greth_gbit_mb is generic( hindex : integer := 0; ehindex : integer := 0; pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#FFF#; pirq : integer := 0; memtech : integer := 0; ifg_gap : integer := 24; attempt_limit : integer := 16; backoff_limit : integer := 10; slot_time : integer := 128; mdcscaler : integer range 0 to 255 := 25; nsync : integer range 1 to 2 := 2; edcl : integer range 0 to 3 := 0; edclbufsz : integer range 1 to 64 := 1; burstlength : integer range 4 to 128 := 32; macaddrh : integer := 16#00005E#; macaddrl : integer := 16#000000#; ipaddrh : integer := 16#c0a8#; ipaddrl : integer := 16#0035#; phyrstadr : integer range 0 to 32 := 0; sim : integer range 0 to 1 := 0; oepol : integer range 0 to 1 := 0; scanen : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; edclft : integer range 0 to 2 := 0; mdint_pol : integer range 0 to 1 := 0; enable_mdint : integer range 0 to 1 := 0; multicast : integer range 0 to 1 := 0; edclsepahb : integer range 0 to 1 := 0; ramdebug : integer range 0 to 2 := 0; mdiohold : integer := 1; gmiimode : integer range 0 to 1 := 0; mdiochain : integer range 0 to 1 := 0; -- Not supported: Leave at zero iotest : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; ahbmi2 : in ahb_mst_in_type; ahbmo2 : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ethi : in eth_in_type; etho : out eth_out_type; mdchain_ui : in greth_mdiochain_down_type; -- Set to greth_mdiochain_down_first mdchain_uo : out greth_mdiochain_up_type; -- Leave open mdchain_di : out greth_mdiochain_down_type; -- Leave open mdchain_do : in greth_mdiochain_up_type -- Assign to greth_mdiochain_up_last ); end entity; architecture rtl of greth_gbit_mb is --host constants constant fifosize : integer := 512; constant fabits : integer := log2(fifosize); constant fsize : std_logic_vector(fabits downto 0) := conv_std_logic_vector(fifosize, fabits+1); constant REVISION : amba_version_type := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask)); constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, REVISION, 0), others => zero32); constant ehconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_EDCLMST, 0, REVISION, 0), others => zero32); --edcl constants type szvct is array (0 to 6) of integer; constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256); constant eabits: integer := log2(edclbufsz) + 8; constant ebufsize : integer := ebuf(log2(edclbufsz)); signal irq : std_ulogic; --rx ahb fifo signal rxrenable : std_ulogic; signal rxraddress : std_logic_vector(8 downto 0); signal rxwrite : std_ulogic; signal rxwdata : std_logic_vector(31 downto 0); signal rxwaddress : std_logic_vector(8 downto 0); signal rxrdata : std_logic_vector(31 downto 0); --tx ahb fifo signal txrenable : std_ulogic; signal txraddress : std_logic_vector(8 downto 0); signal txwrite : std_ulogic; signal txwdata : std_logic_vector(31 downto 0); signal txwaddress : std_logic_vector(8 downto 0); signal txrdata : std_logic_vector(31 downto 0); --edcl buf signal erenable : std_ulogic; signal eraddress : std_logic_vector(15 downto 0); signal ewritem : std_ulogic; signal ewritel : std_ulogic; signal ewaddressm : std_logic_vector(15 downto 0); signal ewaddressl : std_logic_vector(15 downto 0); signal ewdata : std_logic_vector(31 downto 0); signal erdata : std_logic_vector(31 downto 0); -- Fix for wider bus signal hwdata : std_logic_vector(31 downto 0); signal hrdata : std_logic_vector(31 downto 0); signal ehwdata : std_logic_vector(31 downto 0); signal ehrdata : std_logic_vector(31 downto 0); signal mdio_o,mdio_oe : std_ulogic; begin gtxc0: greth_gbitc generic map( ifg_gap => ifg_gap, attempt_limit => attempt_limit, backoff_limit => backoff_limit, slot_time => slot_time, mdcscaler => mdcscaler, nsync => nsync, edcl => edcl, edclbufsz => edclbufsz, burstlength => burstlength, macaddrh => macaddrh, macaddrl => macaddrl, ipaddrh => ipaddrh, ipaddrl => ipaddrl, phyrstadr => phyrstadr, sim => sim, oepol => oepol, scanen => scanen, mdint_pol => mdint_pol, enable_mdint => enable_mdint, multicast => multicast, edclsepahbg => edclsepahb, ramdebug => ramdebug, mdiohold => mdiohold, gmiimode => gmiimode, mdiochain => mdiochain, iotest => iotest ) port map( rst => rst, clk => clk, --ahb mst in hgrant => ahbmi.hgrant(hindex), hready => ahbmi.hready, hresp => ahbmi.hresp, hrdata => hrdata, --ahb mst out hbusreq => ahbmo.hbusreq, hlock => ahbmo.hlock, htrans => ahbmo.htrans, haddr => ahbmo.haddr, hwrite => ahbmo.hwrite, hsize => ahbmo.hsize, hburst => ahbmo.hburst, hprot => ahbmo.hprot, hwdata => hwdata, --edcl ahb mst in ehgrant => ahbmi2.hgrant(ehindex), ehready => ahbmi2.hready, ehresp => ahbmi2.hresp, ehrdata => ehrdata, --edcl ahb mst out ehbusreq => ahbmo2.hbusreq, ehlock => ahbmo2.hlock, ehtrans => ahbmo2.htrans, ehaddr => ahbmo2.haddr, ehwrite => ahbmo2.hwrite, ehsize => ahbmo2.hsize, ehburst => ahbmo2.hburst, ehprot => ahbmo2.hprot, ehwdata => ehwdata, --apb slv in psel => apbi.psel(pindex), penable => apbi.penable, paddr => apbi.paddr, pwrite => apbi.pwrite, pwdata => apbi.pwdata, --apb slv out prdata => apbo.prdata, --irq irq => irq, --rx ahb fifo rxrenable => rxrenable, rxraddress => rxraddress, rxwrite => rxwrite, rxwdata => rxwdata, rxwaddress => rxwaddress, rxrdata => rxrdata, --tx ahb fifo txrenable => txrenable, txraddress => txraddress, txwrite => txwrite, txwdata => txwdata, txwaddress => txwaddress, txrdata => txrdata, --edcl buf erenable => erenable, eraddress => eraddress, ewritem => ewritem, ewritel => ewritel, ewaddressm => ewaddressm, ewaddressl => ewaddressl, ewdata => ewdata, erdata => erdata, --ethernet input signals gtx_clk => ethi.gtx_clk, tx_clk => ethi.tx_clk, tx_dv => ethi.tx_dv, rx_clk => ethi.rx_clk, rxd => ethi.rxd, rx_dv => ethi.rx_dv, rx_er => ethi.rx_er, rx_col => ethi.rx_col, rx_crs => ethi.rx_crs, rx_en => ethi.rx_en, mdio_i => ethi.mdio_i, phyrstaddr => ethi.phyrstaddr, mdint => ethi.mdint, --ethernet output signals reset => etho.reset, txd => etho.txd, tx_en => etho.tx_en, tx_er => etho.tx_er, mdc => etho.mdc, mdio_o => mdio_o, mdio_oe => mdio_oe, --scantest testrst => ahbmi.testrst, testen => ahbmi.testen, testoen => ahbmi.testoen, --cfg edcladdr => ethi.edcladdr, edclsepahb => ethi.edclsepahb, edcldisable => ethi.edcldisable, speed => etho.speed, gbit => etho.gbit, -- mdio sharing mdiochain_first => mdchain_ui.first, mdiochain_ticki => mdchain_ui.tick, mdiochain_datai => mdchain_ui.mdio_i, mdiochain_locko => mdchain_uo.lock, mdiochain_ticko => mdchain_di.tick, mdiochain_i => mdchain_di.mdio_i, mdiochain_locki => mdchain_do.lock, mdiochain_o => mdchain_do.mdio_o, mdiochain_oe => mdchain_do.mdio_oe ); etho.tx_clk <= '0'; -- driven in rgmii component irqdrv : process(irq) begin apbo.pirq <= (others => '0'); apbo.pirq(pirq) <= irq; end process; hrdata <= ahbreadword(ahbmi.hrdata); ahbmo.hwdata <= ahbdrivedata(hwdata); ahbmo.hconfig <= hconfig; ahbmo.hindex <= hindex; ahbmo.hirq <= (others => '0'); ehrdata <= ahbreadword(ahbmi2.hrdata); ahbmo2.hwdata <= ahbdrivedata(ehwdata); ahbmo2.hconfig <= ehconfig; ahbmo2.hindex <= ehindex; ahbmo2.hirq <= (others => '0'); apbo.pconfig <= pconfig; apbo.pindex <= pindex; etho.mdio_o <= mdio_o; etho.mdio_oe <= mdio_oe; mdchain_di.first <= '0'; mdchain_uo.mdio_o <= mdio_o; mdchain_uo.mdio_oe <= mdio_oe; ------------------------------------------------------------------------------- -- FIFOS ---------------------------------------------------------------------- ------------------------------------------------------------------------------- nft : if ft = 0 generate tx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits, dbits => 32, sepclk => 0, testen => scanen, custombits => memtest_vlen) port map(clk, txrenable, txraddress(fabits-1 downto 0), txrdata, clk, txwrite, txwaddress(fabits-1 downto 0), txwdata, ahbmi.testin ); rx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits, dbits => 32, sepclk => 0, testen => scanen, custombits => memtest_vlen) port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk, rxwrite, rxwaddress(fabits-1 downto 0), rxwdata, ahbmi.testin ); end generate; ft1 : if ft /= 0 generate tx_fifo0 : syncram_2pft generic map(tech => memtech, abits => fabits, dbits => 32, sepclk => 0, ft => ft, testen => scanen, custombits => memtest_vlen) port map(clk, txrenable, txraddress(fabits-1 downto 0), txrdata, clk, txwrite, txwaddress(fabits-1 downto 0), txwdata, open, ahbmi.testin ); rx_fifo0 : syncram_2pft generic map(tech => memtech, abits => fabits, dbits => 32, sepclk => 0, ft => ft, testen => scanen, custombits => memtest_vlen) port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk, rxwrite, rxwaddress(fabits-1 downto 0), rxwdata, open, ahbmi.testin ); end generate; ------------------------------------------------------------------------------- -- EDCL buffer ram ------------------------------------------------------------ ------------------------------------------------------------------------------- edclramnft : if (edcl /= 0) and (edclft = 0) generate r0 : syncram_2p generic map (memtech, eabits, 16, 0, 0, scanen, 0, memtest_vlen) port map ( clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk, ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16), ahbmi.testin ); r1 : syncram_2p generic map (memtech, eabits, 16, 0, 0, scanen, 0, memtest_vlen) port map ( clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk, ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0), ahbmi.testin ); end generate; edclramft1 : if (edcl /= 0) and (edclft /= 0) generate r0 : syncram_2pft generic map (memtech, eabits, 16, 0, 0, edclft, scanen, 0, memtest_vlen) port map ( clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk, ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16), open, ahbmi.testin ); r1 : syncram_2pft generic map (memtech, eabits, 16, 0, 0, edclft, scanen, 0, memtest_vlen) port map ( clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk, ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0), open, ahbmi.testin ); end generate; -- pragma translate_off bootmsg : report_version generic map ( "greth" & tost(hindex) & ": 10/100/1000 Mbit Ethernet MAC rev " & tost(REVISION) & tost(hindex) & ", EDCL " & tost(edcl) & ", buffer " & tost(edclbufsz*edcl) & " kbyte " & tost(fifosize) & " txfifo, " & " irq " & tost(pirq) ); -- pragma translate_on end architecture;
gpl-2.0
d78ccd92922e1332ca1622e7abee93a4
0.539118
4.053206
false
true
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-digilent-nexys3/config.vhd
1
7,882
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (5); constant CFG_CLKDIV : integer := (10); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 16#32# + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 4; constant CFG_IREPL : integer := 2; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 2; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 0*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 0; constant CFG_ITLBNUM : integer := 2; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 1; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000000#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 1; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 0; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 0 + 0; -- DDR controller constant CFG_DDR2SP : integer := 0; constant CFG_DDR2SP_INIT : integer := 0; constant CFG_DDR2SP_FREQ : integer := 100; constant CFG_DDR2SP_TRFC : integer := 130; constant CFG_DDR2SP_DATAWIDTH : integer := 64; constant CFG_DDR2SP_FTEN : integer := 0; constant CFG_DDR2SP_FTWIDTH : integer := 0; constant CFG_DDR2SP_COL : integer := 9; constant CFG_DDR2SP_SIZE : integer := 8; constant CFG_DDR2SP_DELAY0 : integer := 0; constant CFG_DDR2SP_DELAY1 : integer := 0; constant CFG_DDR2SP_DELAY2 : integer := 0; constant CFG_DDR2SP_DELAY3 : integer := 0; constant CFG_DDR2SP_DELAY4 : integer := 0; constant CFG_DDR2SP_DELAY5 : integer := 0; constant CFG_DDR2SP_DELAY6 : integer := 0; constant CFG_DDR2SP_DELAY7 : integer := 0; constant CFG_DDR2SP_NOSYNC : integer := 0; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#F00#; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 4; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 1; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- SPI memory controller constant CFG_SPIMCTRL : integer := 0; constant CFG_SPIMCTRL_SDCARD : integer := 0; constant CFG_SPIMCTRL_READCMD : integer := 16#0#; constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0; constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0; constant CFG_SPIMCTRL_SCALER : integer := 1; constant CFG_SPIMCTRL_ASCALER : integer := 1; constant CFG_SPIMCTRL_PWRUPCNT : integer := 0; constant CFG_SPIMCTRL_OFFSET : integer := 16#0#; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 0; constant CFG_SPICTRL_NUM : integer := 1; constant CFG_SPICTRL_SLVS : integer := 1; constant CFG_SPICTRL_FIFO : integer := 1; constant CFG_SPICTRL_SLVREG : integer := 0; constant CFG_SPICTRL_ODMODE : integer := 0; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := 0; constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 1; end;
gpl-2.0
656937fd64ce2b5bba19eb0fc15c45a0
0.654402
3.577848
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-digilent-nexys4/testbench.vhd
1
6,668
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; library techmap; use techmap.gencomp.all; use work.debug.all; use work.config.all; entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 10 -- system clock period ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sdramfile : string := "ram.srec"; -- sdram contents constant lresp : boolean := false; constant ct : integer := clkperiod/2; signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal rstn : std_logic; signal error : std_logic; -- PROM flash signal address : std_logic_vector(26 downto 0):=(others =>'0'); signal data : std_logic_vector(31 downto 0); signal RamCE : std_logic; signal oen : std_ulogic; signal writen : std_ulogic; -- Debug support unit signal dsubre : std_ulogic; -- AHB Uart signal dsurx : std_ulogic; signal dsutx : std_ulogic; -- APB Uart signal urxd : std_ulogic; signal utxd : std_ulogic; -- Ethernet signals signal erx_er : std_ulogic; signal erx_crs : std_ulogic; signal etxdt : std_logic_vector(1 downto 0); -- SVGA signals signal vid_hsync : std_ulogic; signal vid_vsync : std_ulogic; signal vid_r : std_logic_vector(3 downto 0); signal vid_g : std_logic_vector(3 downto 0); signal vid_b : std_logic_vector(3 downto 0); -- Select signal for SPI flash signal spi_sel_n : std_logic; signal spi_clk : std_logic; signal spi_mosi : std_logic; -- Output signals for LEDs signal led : std_logic_vector(15 downto 0); signal brdyn : std_ulogic; signal sw : std_logic_vector(15 downto 0):= (others =>'0'); signal btn : std_logic_vector(4 downto 0):= (others =>'0'); begin -- clock and reset clk <= not clk after ct * 1 ns; rst <= '1', '0' after 100 ns; rstn <= not rst; dsubre <= '0'; urxd <= 'H'; spi_sel_n <= 'H'; spi_clk <= 'L'; d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech, disas, dbguart, pclow) port map ( clk => clk, btnCpuResetn => rstn, -- PROM address => address(22 downto 0), data => data(31 downto 16), RamOE => oen, RamWE => writen, RamCE => RamCE, -- AHB Uart RsRx => dsurx, RsTx => dsutx, -- PHY PhyCrs => erx_crs, PhyRxd => etxdt, PhyRxEr => erx_er, -- Output signals for LEDs led => led, sw => sw, btn => btn ); sram0 : sram generic map (index => 4, abits => 24, fname => sdramfile) port map (address(23 downto 0), data(31 downto 24), RamCE, writen, oen); sram1 : sram generic map (index => 5, abits => 24, fname => sdramfile) port map (address(23 downto 0), data(23 downto 16), RamCE, writen, oen); -- Ethernet model diasbled erx_crs <= '0'; etxdt<= (others =>'0'); erx_er<= '0'; spimem0: if CFG_SPIMCTRL = 1 generate s0 : spi_flash generic map (ftype => 4, debug => 0, fname => promfile, readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => 0) port map (spi_clk, spi_mosi, data(24), spi_sel_n); end generate spimem0; led(3) <= 'L'; -- ERROR pull-down error <= not led(3); iuerr : process begin wait for 5 us; assert (to_X01(error) = '1') report "*** IU in error mode, simulation halted ***" severity failure; end process; data <= buskeep(data) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 160 * 1 ns; begin dsutx <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp); -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp); -- -- txc(dsutx, 16#c0#, txp); -- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); -- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp); -- -- txc(dsutx, 16#80#, txp); -- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); -- rxi(dsurx, w32, txp, lresp); end; begin dsucfg(dsutx, dsurx); wait; end process; end;
gpl-2.0
58a242b3d8492234d1abd527205a19e7
0.561488
3.58302
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/pci/grpci2/pcilib2.vhd
1
14,209
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: pcilib2 -- File: pcilib2.vhd -- Author: Nils-Johan Wessman - Aeroflex Gaisler -- Description: Package with type declarations for PCI registers & constants ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; library gaisler; use gaisler.pci.pci_in_type; use gaisler.pci.pci_out_type; package pcilib2 is -- Constants for PCI commands constant INT_ACK : std_logic_vector(3 downto 0) := "0000"; constant SPEC_CYCLE : std_logic_vector(3 downto 0) := "0001"; constant IO_READ : std_logic_vector(3 downto 0) := "0010"; constant IO_WRITE : std_logic_vector(3 downto 0) := "0011"; constant MEM_READ : std_logic_vector(3 downto 0) := "0110"; constant MEM_WRITE : std_logic_vector(3 downto 0) := "0111"; constant CONF_READ : std_logic_vector(3 downto 0) := "1010"; constant CONF_WRITE : std_logic_vector(3 downto 0) := "1011"; constant MEM_R_MULT : std_logic_vector(3 downto 0) := "1100"; constant DAC : std_logic_vector(3 downto 0) := "1101"; constant MEM_R_LINE : std_logic_vector(3 downto 0) := "1110"; constant MEM_W_INV : std_logic_vector(3 downto 0) := "1111"; type pci_config_command_type is record ioen : std_logic; -- I/O access enable memen : std_logic; -- Memory access enable msten : std_logic; -- Master enable -- spcen : std_logic; -- Special cycle enable mwien : std_logic; -- Memory write and invalidate enable -- vgaps : std_logic; -- VGA palette snooping enable perren : std_logic; -- Parity error response enable serren : std_logic; -- SERR error response enable intdis : std_logic; -- Interrupt disable -- wcc : std_logic; -- Address stepping enable -- serre : std_logic; -- Enable SERR# driver -- fbtbe : std_logic; -- Fast back-to-back enable end record; constant pci_config_command_none : pci_config_command_type := ('0','0','0','0','0','0','0'); type pci_config_status_type is record intsta : std_logic; -- Interrupt status -- c66mhz : std_logic; -- 66MHz capability -- udf : std_logic; -- UDF supported -- fbtbc : std_logic; -- Fast back-to-back capability mdpe : std_logic; -- Master data parity error -- dst : std_logic_vector(1 downto 0); -- DEVSEL timing sta : std_logic; -- Signaled target abort rta : std_logic; -- Received target abort rma : std_logic; -- Received master abort sse : std_logic; -- Signaled system error dpe : std_logic; -- Detected parity error end record; constant pci_config_status_none : pci_config_status_type := ('0','0','0','0','0','0','0'); ----type pci_config_type is record ---- conf_en : std_logic; ---- bus : std_logic_vector(7 downto 0); ---- dev : std_logic_vector(4 downto 0); ---- func : std_logic_vector(2 downto 0); ---- reg : std_logic_vector(5 downto 0); ---- data : std_logic_vector(31 downto 0); ----end record; type pci_sigs_type is record ad : std_logic_vector(31 downto 0); cbe : std_logic_vector(3 downto 0); frame : std_logic; -- Master frame devsel : std_logic; -- PCI device select trdy : std_logic; -- Target ready irdy : std_logic; -- Master ready stop : std_logic; -- Target stop request par : std_logic; -- PCI bus parity req : std_logic; -- Master bus request perr : std_logic; -- Parity Error serr : std_logic; oe_par : std_logic; oe_ad : std_logic; oe_ctrl : std_logic; oe_cbe : std_logic; oe_frame : std_logic; oe_irdy : std_logic; oe_req : std_logic; oe_perr : std_logic; oe_serr : std_logic; end record; --type pci_target_state_type is (pt_idle, pt_b_busy, pt_s_data, pt_backoff, pt_turn_ar); subtype pci_target_state_type is std_logic_vector(2 downto 0); constant pt_idle : std_logic_vector(2 downto 0) := "000"; constant pt_b_busy : std_logic_vector(2 downto 0) := "001"; constant pt_s_data : std_logic_vector(2 downto 0) := "010"; constant pt_backoff : std_logic_vector(2 downto 0) := "011"; constant pt_turn_ar : std_logic_vector(2 downto 0) := "100"; --type pci_target_fifo_state_type is (ptf_idle, ptf_fifo, ptf_cwrite, ptf_write); subtype pci_target_fifo_state_type is std_logic_vector(1 downto 0); constant ptf_idle : std_logic_vector(1 downto 0) := "00"; constant ptf_fifo : std_logic_vector(1 downto 0) := "01"; constant ptf_cwrite : std_logic_vector(1 downto 0) := "10"; constant ptf_write : std_logic_vector(1 downto 0) := "11"; --type pci_master_state_type is (pm_idle, pm_addr, pm_m_data, pm_turn_ar, pm_s_tar, pm_dr_bus); subtype pci_master_state_type is std_logic_vector(2 downto 0); constant pm_idle : std_logic_vector(2 downto 0) := "000"; constant pm_addr : std_logic_vector(2 downto 0) := "001"; constant pm_m_data : std_logic_vector(2 downto 0) := "010"; constant pm_turn_ar : std_logic_vector(2 downto 0) := "011"; constant pm_s_tar : std_logic_vector(2 downto 0) := "100"; constant pm_dr_bus : std_logic_vector(2 downto 0) := "101"; --type pci_master_fifo_state_type is (pmf_idle, pmf_fifo, pmf_read); subtype pci_master_fifo_state_type is std_logic_vector(1 downto 0); constant pmf_idle : std_logic_vector(1 downto 0) := "00"; constant pmf_fifo : std_logic_vector(1 downto 0) := "01"; constant pmf_read : std_logic_vector(1 downto 0) := "10"; type pci_core_fifo_type is record data : std_logic_vector(31 downto 0); -- 32 bit FIFO data last : std_logic; -- Last word in FIFO stlast: std_logic; -- Second to last word in FIFO hold : std_logic; valid : std_logic; -- Contains valid data err : std_logic; -- signal target-abort end record; constant pci_core_fifo_none : pci_core_fifo_type := ((others => '0'), '0', '0', '0', '0', '0'); type pci_core_fifo_vector_type is array (0 to 2) of pci_core_fifo_type; constant pci_core_fifo_vector_none : pci_core_fifo_vector_type := (others => pci_core_fifo_none); type pci_reg_in_type is record ad : std_logic_vector(31 downto 0); -- PCI address/data cbe : std_logic_vector(3 downto 0); -- PCI command/byte enable frame : std_logic; -- Master frame devsel : std_logic; -- PCI device select trdy : std_logic; -- Target ready irdy : std_logic; -- Master ready stop : std_logic; -- Target stop request par : std_logic; -- PCI bus parity perr : std_logic; -- Parity error serr : std_logic; -- System error gnt : std_logic; -- Master grant idsel : std_logic; -- PCI configuration device select end record; type pci_reg_out_type is record ad : std_logic_vector(31 downto 0); -- PCI address/data aden : std_logic_vector(31 downto 0); -- PCI address/data [enable] cbe : std_logic_vector(3 downto 0); -- PCI command/byte enable cbeen : std_logic_vector(3 downto 0); -- PCI command/byte enable [enable] frame : std_logic; -- Master frame frameen : std_logic; -- Master frame [enable] irdy : std_logic; -- Master ready irdyen : std_logic; -- Master ready [enable] trdy : std_logic; -- Target ready trdyen : std_logic; -- Target ready [enable] stop : std_logic; -- Target stop request stopen : std_logic; -- Target stop request [enable] devsel : std_logic; -- PCI device select devselen: std_logic; -- PCI device select [enable] par : std_logic; -- PCI bus parity paren : std_logic; -- PCI bus parity [enable] perr : std_logic; -- Parity error perren : std_logic; -- Parity error [enable] lock : std_logic; -- PCI lock locken : std_logic; -- PCI lock [enable] req : std_logic; -- Master request reqen : std_logic; -- Master request [enable] serren : std_logic; -- System error inten : std_logic; -- PCI interrupt [enable] vinten : std_logic_vector(3 downto 0); -- PCI interrupt [enable] serr : std_logic; -- SERR value, constant 0 - included for iotest end record; type grpci2_phy_in_type is record pcirstout : std_logic; pciasyncrst : std_logic; pcisoftrst : std_logic_vector(2 downto 0); pciinten : std_logic_vector(3 downto 0); m_request : std_logic; m_mabort : std_logic; pr_m_fstate : pci_master_fifo_state_type; pr_m_cfifo : pci_core_fifo_vector_type; pv_m_cfifo : pci_core_fifo_vector_type; pr_m_addr : std_logic_vector(31 downto 0); pr_m_cbe_data : std_logic_vector(3 downto 0); pr_m_cbe_cmd : std_logic_vector(3 downto 0); pr_m_first : std_logic_vector(1 downto 0); pv_m_term : std_logic_vector(1 downto 0); pr_m_ltimer : std_logic_vector(7 downto 0); pr_m_burst : std_logic; pr_m_abort : std_logic_vector(0 downto 0); pr_m_perren : std_logic_vector(0 downto 0); pr_m_done_fifo : std_logic; t_abort : std_logic; t_ready : std_logic; t_retry : std_logic; pr_t_state : pci_target_state_type; pv_t_state : pci_target_state_type; pr_t_fstate : pci_target_fifo_state_type; pr_t_cfifo : pci_core_fifo_vector_type; pv_t_diswithout : std_logic; pr_t_stoped : std_logic; pr_t_lcount : std_logic_vector(2 downto 0); pr_t_first_word : std_logic; pr_t_cur_acc_0_read : std_logic; pv_t_hold_write : std_logic; pv_t_hold_reset : std_logic; pr_conf_comm_perren : std_logic; pr_conf_comm_serren : std_logic; testen : std_logic; testoen : std_logic; testrst : std_logic; end record; type grpci2_phy_out_type is record pciv : pci_in_type; pr_m_state : pci_master_state_type; pr_m_last : std_logic_vector(1 downto 0); pr_m_hold : std_logic_vector(1 downto 0); pr_m_term : std_logic_vector(1 downto 0); pr_t_hold : std_logic_vector(0 downto 0); pr_t_stop : std_logic; pr_t_abort : std_logic; pr_t_diswithout : std_logic; pr_t_addr_perr : std_logic; pcirsto : std_logic_vector(0 downto 0); pr_po : pci_reg_out_type; pio : pci_in_type; poo : pci_reg_out_type; end record; component grpci2_phy is generic( tech : integer := 0; oepol : integer := 0; bypass : integer range 0 to 1 := 1; netlist : integer := 0; scantest: integer := 0; iotest : integer := 0 ); port( pciclk : in std_logic; pcii : in pci_in_type; phyi : in grpci2_phy_in_type; pcio : out pci_out_type; phyo : out grpci2_phy_out_type; iotmact : in std_ulogic; iotmoe : in std_ulogic; iotdout : in std_logic_vector(44 downto 0); iotdin : out std_logic_vector(45 downto 0) ); end component; component grpci2_phy_wrapper is generic( tech : integer := 0; oepol : integer := 0; bypass : integer range 0 to 1 := 1; netlist : integer := 0; scantest: integer := 0; iotest : integer := 0 ); port( pciclk : in std_logic; pcii : in pci_in_type; phyi : in grpci2_phy_in_type; pcio : out pci_out_type; phyo : out grpci2_phy_out_type; iotmact : in std_ulogic; iotmoe : in std_ulogic; iotdout : in std_logic_vector(44 downto 0); iotdin : out std_logic_vector(45 downto 0) ); end component; component grpci2_ahbmst is generic ( hindex : integer := 0; hirq : integer := 0; venid : integer := VENDOR_GAISLER; devid : integer := 0; version : integer := 0; chprot : integer := 3; incaddr : integer := 0); port ( rst : in std_ulogic; clk : in std_ulogic; dmai : in ahb_dma_in_type; dmao : out ahb_dma_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type ); end component; type dma_ahb_in_type is record req : std_ulogic; write : std_ulogic; addr : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); size : std_logic_vector(1 downto 0); noreq : std_logic; burst : std_logic; end record; constant dma_ahb_in_none : dma_ahb_in_type := ('0', '0', (others => '0'), (others => '0'), (others => '0'), '0', '0'); type dma_ahb_out_type is record grant : std_ulogic; ready : std_ulogic; error : std_ulogic; retry : std_ulogic; data : std_logic_vector(31 downto 0); end record; component grpci2_ahb_mst is generic( hindex : integer := 0; venid : integer := VENDOR_GAISLER; devid : integer := 0; version : integer := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; dmai0 : in dma_ahb_in_type; dmao0 : out dma_ahb_out_type; dmai1 : in dma_ahb_in_type; dmao1 : out dma_ahb_out_type ); end component; end ;
gpl-2.0
a585433bedb471c1b2eeb2a527606304
0.603068
3.18017
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/grpci2_phy_net.vhd
1
41,179
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity grpci2_phy_net is generic( tech : integer := DEFMEMTECH; oepol : integer := 0; bypass : integer range 0 to 1 := 1; netlist : integer := 0 ); port( pciclk : in std_logic; --pcii : in pci_in_type; pcii_rst : in std_ulogic; pcii_gnt : in std_ulogic; pcii_idsel : in std_ulogic; pcii_ad : in std_logic_vector(31 downto 0); pcii_cbe : in std_logic_vector(3 downto 0); pcii_frame : in std_ulogic; pcii_irdy : in std_ulogic; pcii_trdy : in std_ulogic; pcii_devsel : in std_ulogic; pcii_stop : in std_ulogic; pcii_lock : in std_ulogic; pcii_perr : in std_ulogic; pcii_serr : in std_ulogic; pcii_par : in std_ulogic; pcii_host : in std_ulogic; pcii_pci66 : in std_ulogic; pcii_pme_status : in std_ulogic; pcii_int : in std_logic_vector(3 downto 0); --phyi : in grpci2_phy_in_type; phyi_pcirstout : in std_logic; phyi_pciasyncrst : in std_logic; phyi_pcisoftrst : in std_logic_vector(2 downto 0); phyi_pciinten : in std_logic_vector(3 downto 0); phyi_m_request : in std_logic; phyi_m_mabort : in std_logic; phyi_pr_m_fstate : in std_logic_vector(1 downto 0); --pci_master_fifo_state_type; --phyi_pr_m_cfifo : in pci_core_fifo_vector_type; phyi_pr_m_cfifo_0_data : in std_logic_vector(31 downto 0); phyi_pr_m_cfifo_0_last : in std_logic; phyi_pr_m_cfifo_0_stlast : in std_logic; phyi_pr_m_cfifo_0_hold : in std_logic; phyi_pr_m_cfifo_0_valid : in std_logic; phyi_pr_m_cfifo_0_err : in std_logic; phyi_pr_m_cfifo_1_data : in std_logic_vector(31 downto 0); phyi_pr_m_cfifo_1_last : in std_logic; phyi_pr_m_cfifo_1_stlast : in std_logic; phyi_pr_m_cfifo_1_hold : in std_logic; phyi_pr_m_cfifo_1_valid : in std_logic; phyi_pr_m_cfifo_1_err : in std_logic; phyi_pr_m_cfifo_2_data : in std_logic_vector(31 downto 0); phyi_pr_m_cfifo_2_last : in std_logic; phyi_pr_m_cfifo_2_stlast : in std_logic; phyi_pr_m_cfifo_2_hold : in std_logic; phyi_pr_m_cfifo_2_valid : in std_logic; phyi_pr_m_cfifo_2_err : in std_logic; --phyi_pv_m_cfifo : in pci_core_fifo_vector_type; phyi_pv_m_cfifo_0_data : in std_logic_vector(31 downto 0); phyi_pv_m_cfifo_0_last : in std_logic; phyi_pv_m_cfifo_0_stlast : in std_logic; phyi_pv_m_cfifo_0_hold : in std_logic; phyi_pv_m_cfifo_0_valid : in std_logic; phyi_pv_m_cfifo_0_err : in std_logic; phyi_pv_m_cfifo_1_data : in std_logic_vector(31 downto 0); phyi_pv_m_cfifo_1_last : in std_logic; phyi_pv_m_cfifo_1_stlast : in std_logic; phyi_pv_m_cfifo_1_hold : in std_logic; phyi_pv_m_cfifo_1_valid : in std_logic; phyi_pv_m_cfifo_1_err : in std_logic; phyi_pv_m_cfifo_2_data : in std_logic_vector(31 downto 0); phyi_pv_m_cfifo_2_last : in std_logic; phyi_pv_m_cfifo_2_stlast : in std_logic; phyi_pv_m_cfifo_2_hold : in std_logic; phyi_pv_m_cfifo_2_valid : in std_logic; phyi_pv_m_cfifo_2_err : in std_logic; phyi_pr_m_addr : in std_logic_vector(31 downto 0); phyi_pr_m_cbe_data : in std_logic_vector(3 downto 0); phyi_pr_m_cbe_cmd : in std_logic_vector(3 downto 0); phyi_pr_m_first : in std_logic_vector(1 downto 0); phyi_pv_m_term : in std_logic_vector(1 downto 0); phyi_pr_m_ltimer : in std_logic_vector(7 downto 0); phyi_pr_m_burst : in std_logic; phyi_pr_m_abort : in std_logic_vector(0 downto 0); phyi_pr_m_perren : in std_logic_vector(0 downto 0); phyi_pr_m_done_fifo : in std_logic; phyi_t_abort : in std_logic; phyi_t_ready : in std_logic; phyi_t_retry : in std_logic; phyi_pr_t_state : in std_logic_vector(2 downto 0); --pci_target_state_type; phyi_pv_t_state : in std_logic_vector(2 downto 0); --pci_target_state_type; phyi_pr_t_fstate : in std_logic_vector(1 downto 0); --pci_target_fifo_state_type; --phyi_pr_t_cfifo : in pci_core_fifo_vector_type; phyi_pr_t_cfifo_0_data : in std_logic_vector(31 downto 0); phyi_pr_t_cfifo_0_last : in std_logic; phyi_pr_t_cfifo_0_stlast : in std_logic; phyi_pr_t_cfifo_0_hold : in std_logic; phyi_pr_t_cfifo_0_valid : in std_logic; phyi_pr_t_cfifo_0_err : in std_logic; phyi_pr_t_cfifo_1_data : in std_logic_vector(31 downto 0); phyi_pr_t_cfifo_1_last : in std_logic; phyi_pr_t_cfifo_1_stlast : in std_logic; phyi_pr_t_cfifo_1_hold : in std_logic; phyi_pr_t_cfifo_1_valid : in std_logic; phyi_pr_t_cfifo_1_err : in std_logic; phyi_pr_t_cfifo_2_data : in std_logic_vector(31 downto 0); phyi_pr_t_cfifo_2_last : in std_logic; phyi_pr_t_cfifo_2_stlast : in std_logic; phyi_pr_t_cfifo_2_hold : in std_logic; phyi_pr_t_cfifo_2_valid : in std_logic; phyi_pr_t_cfifo_2_err : in std_logic; phyi_pv_t_diswithout : in std_logic; phyi_pr_t_stoped : in std_logic; phyi_pr_t_lcount : in std_logic_vector(2 downto 0); phyi_pr_t_first_word : in std_logic; phyi_pr_t_cur_acc_0_read : in std_logic; phyi_pv_t_hold_write : in std_logic; phyi_pv_t_hold_reset : in std_logic; phyi_pr_conf_comm_perren : in std_logic; phyi_pr_conf_comm_serren : in std_logic; --pcio : out pci_out_type; pcio_aden : out std_ulogic; pcio_vaden : out std_logic_vector(31 downto 0); pcio_cbeen : out std_logic_vector(3 downto 0); pcio_frameen : out std_ulogic; pcio_irdyen : out std_ulogic; pcio_trdyen : out std_ulogic; pcio_devselen : out std_ulogic; pcio_stopen : out std_ulogic; pcio_ctrlen : out std_ulogic; pcio_perren : out std_ulogic; pcio_paren : out std_ulogic; pcio_reqen : out std_ulogic; pcio_locken : out std_ulogic; pcio_serren : out std_ulogic; pcio_inten : out std_ulogic; pcio_vinten : out std_logic_vector(3 downto 0); pcio_req : out std_ulogic; pcio_ad : out std_logic_vector(31 downto 0); pcio_cbe : out std_logic_vector(3 downto 0); pcio_frame : out std_ulogic; pcio_irdy : out std_ulogic; pcio_trdy : out std_ulogic; pcio_devsel : out std_ulogic; pcio_stop : out std_ulogic; pcio_perr : out std_ulogic; pcio_serr : out std_ulogic; pcio_par : out std_ulogic; pcio_lock : out std_ulogic; pcio_power_state : out std_logic_vector(1 downto 0); pcio_pme_enable : out std_ulogic; pcio_pme_clear : out std_ulogic; pcio_int : out std_ulogic; pcio_rst : out std_ulogic; --phyo : out grpci2_phy_out_type --phyo_pciv : out pci_in_type; phyo_pciv_rst : out std_ulogic; phyo_pciv_gnt : out std_ulogic; phyo_pciv_idsel : out std_ulogic; phyo_pciv_ad : out std_logic_vector(31 downto 0); phyo_pciv_cbe : out std_logic_vector(3 downto 0); phyo_pciv_frame : out std_ulogic; phyo_pciv_irdy : out std_ulogic; phyo_pciv_trdy : out std_ulogic; phyo_pciv_devsel : out std_ulogic; phyo_pciv_stop : out std_ulogic; phyo_pciv_lock : out std_ulogic; phyo_pciv_perr : out std_ulogic; phyo_pciv_serr : out std_ulogic; phyo_pciv_par : out std_ulogic; phyo_pciv_host : out std_ulogic; phyo_pciv_pci66 : out std_ulogic; phyo_pciv_pme_status : out std_ulogic; phyo_pciv_int : out std_logic_vector(3 downto 0); phyo_pr_m_state : out std_logic_vector(2 downto 0); --pci_master_state_type; phyo_pr_m_last : out std_logic_vector(1 downto 0); phyo_pr_m_hold : out std_logic_vector(1 downto 0); phyo_pr_m_term : out std_logic_vector(1 downto 0); phyo_pr_t_hold : out std_logic_vector(0 downto 0); phyo_pr_t_stop : out std_logic; phyo_pr_t_abort : out std_logic; phyo_pr_t_diswithout : out std_logic; phyo_pr_t_addr_perr : out std_logic; phyo_pcirsto : out std_logic_vector(0 downto 0); --phyo_pr_po : out pci_reg_out_type; phyo_pr_po_ad : out std_logic_vector(31 downto 0); phyo_pr_po_aden : out std_logic_vector(31 downto 0); phyo_pr_po_cbe : out std_logic_vector(3 downto 0); phyo_pr_po_cbeen : out std_logic_vector(3 downto 0); phyo_pr_po_frame : out std_logic; phyo_pr_po_frameen : out std_logic; phyo_pr_po_irdy : out std_logic; phyo_pr_po_irdyen : out std_logic; phyo_pr_po_trdy : out std_logic; phyo_pr_po_trdyen : out std_logic; phyo_pr_po_stop : out std_logic; phyo_pr_po_stopen : out std_logic; phyo_pr_po_devsel : out std_logic; phyo_pr_po_devselen : out std_logic; phyo_pr_po_par : out std_logic; phyo_pr_po_paren : out std_logic; phyo_pr_po_perr : out std_logic; phyo_pr_po_perren : out std_logic; phyo_pr_po_lock : out std_logic; phyo_pr_po_locken : out std_logic; phyo_pr_po_req : out std_logic; phyo_pr_po_reqen : out std_logic; phyo_pr_po_serren : out std_logic; phyo_pr_po_inten : out std_logic; phyo_pr_po_vinten : out std_logic_vector(3 downto 0); --phyo_pio : out pci_in_type; phyo_pio_rst : out std_ulogic; phyo_pio_gnt : out std_ulogic; phyo_pio_idsel : out std_ulogic; phyo_pio_ad : out std_logic_vector(31 downto 0); phyo_pio_cbe : out std_logic_vector(3 downto 0); phyo_pio_frame : out std_ulogic; phyo_pio_irdy : out std_ulogic; phyo_pio_trdy : out std_ulogic; phyo_pio_devsel : out std_ulogic; phyo_pio_stop : out std_ulogic; phyo_pio_lock : out std_ulogic; phyo_pio_perr : out std_ulogic; phyo_pio_serr : out std_ulogic; phyo_pio_par : out std_ulogic; phyo_pio_host : out std_ulogic; phyo_pio_pci66 : out std_ulogic; phyo_pio_pme_status : out std_ulogic; phyo_pio_int : out std_logic_vector(3 downto 0); --phyo_poo : out pci_reg_out_type; phyo_poo_ad : out std_logic_vector(31 downto 0); phyo_poo_aden : out std_logic_vector(31 downto 0); phyo_poo_cbe : out std_logic_vector(3 downto 0); phyo_poo_cbeen : out std_logic_vector(3 downto 0); phyo_poo_frame : out std_logic; phyo_poo_frameen : out std_logic; phyo_poo_irdy : out std_logic; phyo_poo_irdyen : out std_logic; phyo_poo_trdy : out std_logic; phyo_poo_trdyen : out std_logic; phyo_poo_stop : out std_logic; phyo_poo_stopen : out std_logic; phyo_poo_devsel : out std_logic; phyo_poo_devselen : out std_logic; phyo_poo_par : out std_logic; phyo_poo_paren : out std_logic; phyo_poo_perr : out std_logic; phyo_poo_perren : out std_logic; phyo_poo_lock : out std_logic; phyo_poo_locken : out std_logic; phyo_poo_req : out std_logic; phyo_poo_reqen : out std_logic; phyo_poo_serren : out std_logic; phyo_poo_inten : out std_logic; phyo_poo_vinten : out std_logic_vector(3 downto 0) ); end grpci2_phy_net; architecture struct of grpci2_phy_net is component grpci2_phy_rtax_bypass is -- generic( -- tech : integer := axcel; -- oepol : integer := 1; -- bypass : integer range 0 to 1 := 1; -- netlist : integer := 1 -- scantest: integer := 0 -- ); port( pciclk : in std_logic; --pcii : in pci_in_type; pcii_rst : in std_ulogic; pcii_gnt : in std_ulogic; pcii_idsel : in std_ulogic; pcii_ad : in std_logic_vector(31 downto 0); pcii_cbe : in std_logic_vector(3 downto 0); pcii_frame : in std_ulogic; pcii_irdy : in std_ulogic; pcii_trdy : in std_ulogic; pcii_devsel : in std_ulogic; pcii_stop : in std_ulogic; pcii_lock : in std_ulogic; pcii_perr : in std_ulogic; pcii_serr : in std_ulogic; pcii_par : in std_ulogic; pcii_host : in std_ulogic; pcii_pci66 : in std_ulogic; pcii_pme_status : in std_ulogic; pcii_int : in std_logic_vector(3 downto 0); --phyi : in grpci2_phy_in_type; phyi_pcirstout : in std_logic; phyi_pciasyncrst : in std_logic; phyi_pcisoftrst : in std_logic_vector(2 downto 0); phyi_pciinten : in std_logic_vector(3 downto 0); phyi_m_request : in std_logic; phyi_m_mabort : in std_logic; phyi_pr_m_fstate : in std_logic_vector(1 downto 0); --pci_master_fifo_state_type; --phyi_pr_m_cfifo : in pci_core_fifo_vector_type; phyi_pr_m_cfifo_0_data : in std_logic_vector(31 downto 0); phyi_pr_m_cfifo_0_last : in std_logic; phyi_pr_m_cfifo_0_stlast : in std_logic; phyi_pr_m_cfifo_0_hold : in std_logic; phyi_pr_m_cfifo_0_valid : in std_logic; phyi_pr_m_cfifo_0_err : in std_logic; phyi_pr_m_cfifo_1_data : in std_logic_vector(31 downto 0); phyi_pr_m_cfifo_1_last : in std_logic; phyi_pr_m_cfifo_1_stlast : in std_logic; phyi_pr_m_cfifo_1_hold : in std_logic; phyi_pr_m_cfifo_1_valid : in std_logic; phyi_pr_m_cfifo_1_err : in std_logic; phyi_pr_m_cfifo_2_data : in std_logic_vector(31 downto 0); phyi_pr_m_cfifo_2_last : in std_logic; phyi_pr_m_cfifo_2_stlast : in std_logic; phyi_pr_m_cfifo_2_hold : in std_logic; phyi_pr_m_cfifo_2_valid : in std_logic; phyi_pr_m_cfifo_2_err : in std_logic; --phyi_pv_m_cfifo : in pci_core_fifo_vector_type; phyi_pv_m_cfifo_0_data : in std_logic_vector(31 downto 0); phyi_pv_m_cfifo_0_last : in std_logic; phyi_pv_m_cfifo_0_stlast : in std_logic; phyi_pv_m_cfifo_0_hold : in std_logic; phyi_pv_m_cfifo_0_valid : in std_logic; phyi_pv_m_cfifo_0_err : in std_logic; phyi_pv_m_cfifo_1_data : in std_logic_vector(31 downto 0); phyi_pv_m_cfifo_1_last : in std_logic; phyi_pv_m_cfifo_1_stlast : in std_logic; phyi_pv_m_cfifo_1_hold : in std_logic; phyi_pv_m_cfifo_1_valid : in std_logic; phyi_pv_m_cfifo_1_err : in std_logic; phyi_pv_m_cfifo_2_data : in std_logic_vector(31 downto 0); phyi_pv_m_cfifo_2_last : in std_logic; phyi_pv_m_cfifo_2_stlast : in std_logic; phyi_pv_m_cfifo_2_hold : in std_logic; phyi_pv_m_cfifo_2_valid : in std_logic; phyi_pv_m_cfifo_2_err : in std_logic; phyi_pr_m_addr : in std_logic_vector(31 downto 0); phyi_pr_m_cbe_data : in std_logic_vector(3 downto 0); phyi_pr_m_cbe_cmd : in std_logic_vector(3 downto 0); phyi_pr_m_first : in std_logic_vector(1 downto 0); phyi_pv_m_term : in std_logic_vector(1 downto 0); phyi_pr_m_ltimer : in std_logic_vector(7 downto 0); phyi_pr_m_burst : in std_logic; phyi_pr_m_abort : in std_logic_vector(0 downto 0); phyi_pr_m_perren : in std_logic_vector(0 downto 0); phyi_pr_m_done_fifo : in std_logic; phyi_t_abort : in std_logic; phyi_t_ready : in std_logic; phyi_t_retry : in std_logic; phyi_pr_t_state : in std_logic_vector(2 downto 0); --pci_target_state_type; phyi_pv_t_state : in std_logic_vector(2 downto 0); --pci_target_state_type; phyi_pr_t_fstate : in std_logic_vector(1 downto 0); --pci_target_fifo_state_type; --phyi_pr_t_cfifo : in pci_core_fifo_vector_type; phyi_pr_t_cfifo_0_data : in std_logic_vector(31 downto 0); phyi_pr_t_cfifo_0_last : in std_logic; phyi_pr_t_cfifo_0_stlast : in std_logic; phyi_pr_t_cfifo_0_hold : in std_logic; phyi_pr_t_cfifo_0_valid : in std_logic; phyi_pr_t_cfifo_0_err : in std_logic; phyi_pr_t_cfifo_1_data : in std_logic_vector(31 downto 0); phyi_pr_t_cfifo_1_last : in std_logic; phyi_pr_t_cfifo_1_stlast : in std_logic; phyi_pr_t_cfifo_1_hold : in std_logic; phyi_pr_t_cfifo_1_valid : in std_logic; phyi_pr_t_cfifo_1_err : in std_logic; phyi_pr_t_cfifo_2_data : in std_logic_vector(31 downto 0); phyi_pr_t_cfifo_2_last : in std_logic; phyi_pr_t_cfifo_2_stlast : in std_logic; phyi_pr_t_cfifo_2_hold : in std_logic; phyi_pr_t_cfifo_2_valid : in std_logic; phyi_pr_t_cfifo_2_err : in std_logic; phyi_pv_t_diswithout : in std_logic; phyi_pr_t_stoped : in std_logic; phyi_pr_t_lcount : in std_logic_vector(2 downto 0); phyi_pr_t_first_word : in std_logic; phyi_pr_t_cur_acc_0_read : in std_logic; phyi_pv_t_hold_write : in std_logic; phyi_pv_t_hold_reset : in std_logic; phyi_pr_conf_comm_perren : in std_logic; phyi_pr_conf_comm_serren : in std_logic; --pcio : out pci_out_type; pcio_aden : out std_ulogic; pcio_vaden : out std_logic_vector(31 downto 0); pcio_cbeen : out std_logic_vector(3 downto 0); pcio_frameen : out std_ulogic; pcio_irdyen : out std_ulogic; pcio_trdyen : out std_ulogic; pcio_devselen : out std_ulogic; pcio_stopen : out std_ulogic; pcio_ctrlen : out std_ulogic; pcio_perren : out std_ulogic; pcio_paren : out std_ulogic; pcio_reqen : out std_ulogic; pcio_locken : out std_ulogic; pcio_serren : out std_ulogic; pcio_inten : out std_ulogic; pcio_vinten : out std_logic_vector(3 downto 0); pcio_req : out std_ulogic; pcio_ad : out std_logic_vector(31 downto 0); pcio_cbe : out std_logic_vector(3 downto 0); pcio_frame : out std_ulogic; pcio_irdy : out std_ulogic; pcio_trdy : out std_ulogic; pcio_devsel : out std_ulogic; pcio_stop : out std_ulogic; pcio_perr : out std_ulogic; pcio_serr : out std_ulogic; pcio_par : out std_ulogic; pcio_lock : out std_ulogic; pcio_power_state : out std_logic_vector(1 downto 0); pcio_pme_enable : out std_ulogic; pcio_pme_clear : out std_ulogic; pcio_int : out std_ulogic; pcio_rst : out std_ulogic; --phyo : out grpci2_phy_out_type --phyo_pciv : out pci_in_type; phyo_pciv_rst : out std_ulogic; phyo_pciv_gnt : out std_ulogic; phyo_pciv_idsel : out std_ulogic; phyo_pciv_ad : out std_logic_vector(31 downto 0); phyo_pciv_cbe : out std_logic_vector(3 downto 0); phyo_pciv_frame : out std_ulogic; phyo_pciv_irdy : out std_ulogic; phyo_pciv_trdy : out std_ulogic; phyo_pciv_devsel : out std_ulogic; phyo_pciv_stop : out std_ulogic; phyo_pciv_lock : out std_ulogic; phyo_pciv_perr : out std_ulogic; phyo_pciv_serr : out std_ulogic; phyo_pciv_par : out std_ulogic; phyo_pciv_host : out std_ulogic; phyo_pciv_pci66 : out std_ulogic; phyo_pciv_pme_status : out std_ulogic; phyo_pciv_int : out std_logic_vector(3 downto 0); phyo_pr_m_state : out std_logic_vector(2 downto 0); --pci_master_state_type; phyo_pr_m_last : out std_logic_vector(1 downto 0); phyo_pr_m_hold : out std_logic_vector(1 downto 0); phyo_pr_m_term : out std_logic_vector(1 downto 0); phyo_pr_t_hold : out std_logic_vector(0 downto 0); phyo_pr_t_stop : out std_logic; phyo_pr_t_abort : out std_logic; phyo_pr_t_diswithout : out std_logic; phyo_pr_t_addr_perr : out std_logic; phyo_pcirsto : out std_logic_vector(0 downto 0); --phyo_pr_po : out pci_reg_out_type; phyo_pr_po_ad : out std_logic_vector(31 downto 0); phyo_pr_po_aden : out std_logic_vector(31 downto 0); phyo_pr_po_cbe : out std_logic_vector(3 downto 0); phyo_pr_po_cbeen : out std_logic_vector(3 downto 0); phyo_pr_po_frame : out std_logic; phyo_pr_po_frameen : out std_logic; phyo_pr_po_irdy : out std_logic; phyo_pr_po_irdyen : out std_logic; phyo_pr_po_trdy : out std_logic; phyo_pr_po_trdyen : out std_logic; phyo_pr_po_stop : out std_logic; phyo_pr_po_stopen : out std_logic; phyo_pr_po_devsel : out std_logic; phyo_pr_po_devselen : out std_logic; phyo_pr_po_par : out std_logic; phyo_pr_po_paren : out std_logic; phyo_pr_po_perr : out std_logic; phyo_pr_po_perren : out std_logic; phyo_pr_po_lock : out std_logic; phyo_pr_po_locken : out std_logic; phyo_pr_po_req : out std_logic; phyo_pr_po_reqen : out std_logic; phyo_pr_po_serren : out std_logic; phyo_pr_po_inten : out std_logic; phyo_pr_po_vinten : out std_logic_vector(3 downto 0); --phyo_pio : out pci_in_type; phyo_pio_rst : out std_ulogic; phyo_pio_gnt : out std_ulogic; phyo_pio_idsel : out std_ulogic; phyo_pio_ad : out std_logic_vector(31 downto 0); phyo_pio_cbe : out std_logic_vector(3 downto 0); phyo_pio_frame : out std_ulogic; phyo_pio_irdy : out std_ulogic; phyo_pio_trdy : out std_ulogic; phyo_pio_devsel : out std_ulogic; phyo_pio_stop : out std_ulogic; phyo_pio_lock : out std_ulogic; phyo_pio_perr : out std_ulogic; phyo_pio_serr : out std_ulogic; phyo_pio_par : out std_ulogic; phyo_pio_host : out std_ulogic; phyo_pio_pci66 : out std_ulogic; phyo_pio_pme_status : out std_ulogic; phyo_pio_int : out std_logic_vector(3 downto 0); --phyo_poo : out pci_reg_out_type; phyo_poo_ad : out std_logic_vector(31 downto 0); phyo_poo_aden : out std_logic_vector(31 downto 0); phyo_poo_cbe : out std_logic_vector(3 downto 0); phyo_poo_cbeen : out std_logic_vector(3 downto 0); phyo_poo_frame : out std_logic; phyo_poo_frameen : out std_logic; phyo_poo_irdy : out std_logic; phyo_poo_irdyen : out std_logic; phyo_poo_trdy : out std_logic; phyo_poo_trdyen : out std_logic; phyo_poo_stop : out std_logic; phyo_poo_stopen : out std_logic; phyo_poo_devsel : out std_logic; phyo_poo_devselen : out std_logic; phyo_poo_par : out std_logic; phyo_poo_paren : out std_logic; phyo_poo_perr : out std_logic; phyo_poo_perren : out std_logic; phyo_poo_lock : out std_logic; phyo_poo_locken : out std_logic; phyo_poo_req : out std_logic; phyo_poo_reqen : out std_logic; phyo_poo_serren : out std_logic; phyo_poo_inten : out std_logic; phyo_poo_vinten : out std_logic_vector(3 downto 0) ); end component; begin ax : if ((tech = axcel) or (tech = axdsp)) and (bypass = 1) generate phy_bypass_rtax : grpci2_phy_rtax_bypass port map( pciclk => pciclk, --pcii : in pci_in_type, pcii_rst => pcii_rst, pcii_gnt => pcii_gnt, pcii_idsel => pcii_idsel, pcii_ad => pcii_ad, pcii_cbe => pcii_cbe, pcii_frame => pcii_frame, pcii_irdy => pcii_irdy, pcii_trdy => pcii_trdy, pcii_devsel => pcii_devsel, pcii_stop => pcii_stop, pcii_lock => pcii_lock, pcii_perr => pcii_perr, pcii_serr => pcii_serr, pcii_par => pcii_par, pcii_host => pcii_host, pcii_pci66 => pcii_pci66, pcii_pme_status => pcii_pme_status, pcii_int => pcii_int, --phyi : in grpci2_phy_in_type, phyi_pcirstout => phyi_pcirstout, phyi_pciasyncrst => phyi_pciasyncrst, phyi_pcisoftrst => phyi_pcisoftrst, phyi_pciinten => phyi_pciinten, phyi_m_request => phyi_m_request, phyi_m_mabort => phyi_m_mabort, phyi_pr_m_fstate => phyi_pr_m_fstate, phyi_pr_m_cfifo_0_data => phyi_pr_m_cfifo_0_data, phyi_pr_m_cfifo_0_last => phyi_pr_m_cfifo_0_last, phyi_pr_m_cfifo_0_stlast => phyi_pr_m_cfifo_0_stlast, phyi_pr_m_cfifo_0_hold => phyi_pr_m_cfifo_0_hold, phyi_pr_m_cfifo_0_valid => phyi_pr_m_cfifo_0_valid, phyi_pr_m_cfifo_0_err => phyi_pr_m_cfifo_0_err, phyi_pr_m_cfifo_1_data => phyi_pr_m_cfifo_1_data, phyi_pr_m_cfifo_1_last => phyi_pr_m_cfifo_1_last, phyi_pr_m_cfifo_1_stlast => phyi_pr_m_cfifo_1_stlast, phyi_pr_m_cfifo_1_hold => phyi_pr_m_cfifo_1_hold, phyi_pr_m_cfifo_1_valid => phyi_pr_m_cfifo_1_valid, phyi_pr_m_cfifo_1_err => phyi_pr_m_cfifo_1_err, phyi_pr_m_cfifo_2_data => phyi_pr_m_cfifo_2_data, phyi_pr_m_cfifo_2_last => phyi_pr_m_cfifo_2_last, phyi_pr_m_cfifo_2_stlast => phyi_pr_m_cfifo_2_stlast, phyi_pr_m_cfifo_2_hold => phyi_pr_m_cfifo_2_hold, phyi_pr_m_cfifo_2_valid => phyi_pr_m_cfifo_2_valid, phyi_pr_m_cfifo_2_err => phyi_pr_m_cfifo_2_err, phyi_pv_m_cfifo_0_data => phyi_pv_m_cfifo_0_data, phyi_pv_m_cfifo_0_last => phyi_pv_m_cfifo_0_last, phyi_pv_m_cfifo_0_stlast => phyi_pv_m_cfifo_0_stlast, phyi_pv_m_cfifo_0_hold => phyi_pv_m_cfifo_0_hold, phyi_pv_m_cfifo_0_valid => phyi_pv_m_cfifo_0_valid, phyi_pv_m_cfifo_0_err => phyi_pv_m_cfifo_0_err, phyi_pv_m_cfifo_1_data => phyi_pv_m_cfifo_1_data, phyi_pv_m_cfifo_1_last => phyi_pv_m_cfifo_1_last, phyi_pv_m_cfifo_1_stlast => phyi_pv_m_cfifo_1_stlast, phyi_pv_m_cfifo_1_hold => phyi_pv_m_cfifo_1_hold, phyi_pv_m_cfifo_1_valid => phyi_pv_m_cfifo_1_valid, phyi_pv_m_cfifo_1_err => phyi_pv_m_cfifo_1_err, phyi_pv_m_cfifo_2_data => phyi_pv_m_cfifo_2_data, phyi_pv_m_cfifo_2_last => phyi_pv_m_cfifo_2_last, phyi_pv_m_cfifo_2_stlast => phyi_pv_m_cfifo_2_stlast, phyi_pv_m_cfifo_2_hold => phyi_pv_m_cfifo_2_hold, phyi_pv_m_cfifo_2_valid => phyi_pv_m_cfifo_2_valid, phyi_pv_m_cfifo_2_err => phyi_pv_m_cfifo_2_err, phyi_pr_m_addr => phyi_pr_m_addr, phyi_pr_m_cbe_data => phyi_pr_m_cbe_data, phyi_pr_m_cbe_cmd => phyi_pr_m_cbe_cmd, phyi_pr_m_first => phyi_pr_m_first, phyi_pv_m_term => phyi_pv_m_term, phyi_pr_m_ltimer => phyi_pr_m_ltimer, phyi_pr_m_burst => phyi_pr_m_burst, phyi_pr_m_abort => phyi_pr_m_abort, phyi_pr_m_perren => phyi_pr_m_perren, phyi_pr_m_done_fifo => phyi_pr_m_done_fifo, phyi_t_abort => phyi_t_abort, phyi_t_ready => phyi_t_ready, phyi_t_retry => phyi_t_retry, phyi_pr_t_state => phyi_pr_t_state, phyi_pv_t_state => phyi_pv_t_state, phyi_pr_t_fstate => phyi_pr_t_fstate, phyi_pr_t_cfifo_0_data => phyi_pr_t_cfifo_0_data, phyi_pr_t_cfifo_0_last => phyi_pr_t_cfifo_0_last, phyi_pr_t_cfifo_0_stlast => phyi_pr_t_cfifo_0_stlast, phyi_pr_t_cfifo_0_hold => phyi_pr_t_cfifo_0_hold, phyi_pr_t_cfifo_0_valid => phyi_pr_t_cfifo_0_valid, phyi_pr_t_cfifo_0_err => phyi_pr_t_cfifo_0_err, phyi_pr_t_cfifo_1_data => phyi_pr_t_cfifo_1_data, phyi_pr_t_cfifo_1_last => phyi_pr_t_cfifo_1_last, phyi_pr_t_cfifo_1_stlast => phyi_pr_t_cfifo_1_stlast, phyi_pr_t_cfifo_1_hold => phyi_pr_t_cfifo_1_hold, phyi_pr_t_cfifo_1_valid => phyi_pr_t_cfifo_1_valid, phyi_pr_t_cfifo_1_err => phyi_pr_t_cfifo_1_err, phyi_pr_t_cfifo_2_data => phyi_pr_t_cfifo_2_data, phyi_pr_t_cfifo_2_last => phyi_pr_t_cfifo_2_last, phyi_pr_t_cfifo_2_stlast => phyi_pr_t_cfifo_2_stlast, phyi_pr_t_cfifo_2_hold => phyi_pr_t_cfifo_2_hold, phyi_pr_t_cfifo_2_valid => phyi_pr_t_cfifo_2_valid, phyi_pr_t_cfifo_2_err => phyi_pr_t_cfifo_2_err, phyi_pv_t_diswithout => phyi_pv_t_diswithout, phyi_pr_t_stoped => phyi_pr_t_stoped, phyi_pr_t_lcount => phyi_pr_t_lcount, phyi_pr_t_first_word => phyi_pr_t_first_word, phyi_pr_t_cur_acc_0_read => phyi_pr_t_cur_acc_0_read, phyi_pv_t_hold_write => phyi_pv_t_hold_write, phyi_pv_t_hold_reset => phyi_pv_t_hold_reset, phyi_pr_conf_comm_perren => phyi_pr_conf_comm_perren, phyi_pr_conf_comm_serren => phyi_pr_conf_comm_serren, --pcio : out pci_out_type, pcio_aden => pcio_aden, pcio_vaden => pcio_vaden, pcio_cbeen => pcio_cbeen, pcio_frameen => pcio_frameen, pcio_irdyen => pcio_irdyen, pcio_trdyen => pcio_trdyen, pcio_devselen => pcio_devselen, pcio_stopen => pcio_stopen, pcio_ctrlen => pcio_ctrlen, pcio_perren => pcio_perren, pcio_paren => pcio_paren, pcio_reqen => pcio_reqen, pcio_locken => pcio_locken, pcio_serren => pcio_serren, pcio_inten => pcio_inten, pcio_vinten => pcio_vinten, pcio_req => pcio_req, pcio_ad => pcio_ad, pcio_cbe => pcio_cbe, pcio_frame => pcio_frame, pcio_irdy => pcio_irdy, pcio_trdy => pcio_trdy, pcio_devsel => pcio_devsel, pcio_stop => pcio_stop, pcio_perr => pcio_perr, pcio_serr => pcio_serr, pcio_par => pcio_par, pcio_lock => pcio_lock, pcio_power_state => pcio_power_state, pcio_pme_enable => pcio_pme_enable, pcio_pme_clear => pcio_pme_clear, pcio_int => pcio_int, pcio_rst => pcio_rst, --phyo : out grpci2_phy_out_type phyo_pciv_rst => phyo_pciv_rst, phyo_pciv_gnt => phyo_pciv_gnt, phyo_pciv_idsel => phyo_pciv_idsel, phyo_pciv_ad => phyo_pciv_ad, phyo_pciv_cbe => phyo_pciv_cbe, phyo_pciv_frame => phyo_pciv_frame, phyo_pciv_irdy => phyo_pciv_irdy, phyo_pciv_trdy => phyo_pciv_trdy, phyo_pciv_devsel => phyo_pciv_devsel, phyo_pciv_stop => phyo_pciv_stop, phyo_pciv_lock => phyo_pciv_lock, phyo_pciv_perr => phyo_pciv_perr, phyo_pciv_serr => phyo_pciv_serr, phyo_pciv_par => phyo_pciv_par, phyo_pciv_host => phyo_pciv_host, phyo_pciv_pci66 => phyo_pciv_pci66, phyo_pciv_pme_status => phyo_pciv_pme_status, phyo_pciv_int => phyo_pciv_int, phyo_pr_m_state => phyo_pr_m_state, phyo_pr_m_last => phyo_pr_m_last, phyo_pr_m_hold => phyo_pr_m_hold, phyo_pr_m_term => phyo_pr_m_term, phyo_pr_t_hold => phyo_pr_t_hold, phyo_pr_t_stop => phyo_pr_t_stop, phyo_pr_t_abort => phyo_pr_t_abort, phyo_pr_t_diswithout => phyo_pr_t_diswithout, phyo_pr_t_addr_perr => phyo_pr_t_addr_perr, phyo_pcirsto => phyo_pcirsto, phyo_pr_po_ad => phyo_pr_po_ad, phyo_pr_po_aden => phyo_pr_po_aden, phyo_pr_po_cbe => phyo_pr_po_cbe, phyo_pr_po_cbeen => phyo_pr_po_cbeen, phyo_pr_po_frame => phyo_pr_po_frame, phyo_pr_po_frameen => phyo_pr_po_frameen, phyo_pr_po_irdy => phyo_pr_po_irdy, phyo_pr_po_irdyen => phyo_pr_po_irdyen, phyo_pr_po_trdy => phyo_pr_po_trdy, phyo_pr_po_trdyen => phyo_pr_po_trdyen, phyo_pr_po_stop => phyo_pr_po_stop, phyo_pr_po_stopen => phyo_pr_po_stopen, phyo_pr_po_devsel => phyo_pr_po_devsel, phyo_pr_po_devselen => phyo_pr_po_devselen, phyo_pr_po_par => phyo_pr_po_par, phyo_pr_po_paren => phyo_pr_po_paren, phyo_pr_po_perr => phyo_pr_po_perr, phyo_pr_po_perren => phyo_pr_po_perren, phyo_pr_po_lock => phyo_pr_po_lock, phyo_pr_po_locken => phyo_pr_po_locken, phyo_pr_po_req => phyo_pr_po_req, phyo_pr_po_reqen => phyo_pr_po_reqen, phyo_pr_po_serren => phyo_pr_po_serren, phyo_pr_po_inten => phyo_pr_po_inten, phyo_pr_po_vinten => phyo_pr_po_vinten, phyo_pio_rst => phyo_pio_rst, phyo_pio_gnt => phyo_pio_gnt, phyo_pio_idsel => phyo_pio_idsel, phyo_pio_ad => phyo_pio_ad, phyo_pio_cbe => phyo_pio_cbe, phyo_pio_frame => phyo_pio_frame, phyo_pio_irdy => phyo_pio_irdy, phyo_pio_trdy => phyo_pio_trdy, phyo_pio_devsel => phyo_pio_devsel, phyo_pio_stop => phyo_pio_stop, phyo_pio_lock => phyo_pio_lock, phyo_pio_perr => phyo_pio_perr, phyo_pio_serr => phyo_pio_serr, phyo_pio_par => phyo_pio_par, phyo_pio_host => phyo_pio_host, phyo_pio_pci66 => phyo_pio_pci66, phyo_pio_pme_status => phyo_pio_pme_status, phyo_pio_int => phyo_pio_int, phyo_poo_ad => phyo_poo_ad, phyo_poo_aden => phyo_poo_aden, phyo_poo_cbe => phyo_poo_cbe, phyo_poo_cbeen => phyo_poo_cbeen, phyo_poo_frame => phyo_poo_frame, phyo_poo_frameen => phyo_poo_frameen, phyo_poo_irdy => phyo_poo_irdy, phyo_poo_irdyen => phyo_poo_irdyen, phyo_poo_trdy => phyo_poo_trdy, phyo_poo_trdyen => phyo_poo_trdyen, phyo_poo_stop => phyo_poo_stop, phyo_poo_stopen => phyo_poo_stopen, phyo_poo_devsel => phyo_poo_devsel, phyo_poo_devselen => phyo_poo_devselen, phyo_poo_par => phyo_poo_par, phyo_poo_paren => phyo_poo_paren, phyo_poo_perr => phyo_poo_perr, phyo_poo_perren => phyo_poo_perren, phyo_poo_lock => phyo_poo_lock, phyo_poo_locken => phyo_poo_locken, phyo_poo_req => phyo_poo_req, phyo_poo_reqen => phyo_poo_reqen, phyo_poo_serren => phyo_poo_serren, phyo_poo_inten => phyo_poo_inten, phyo_poo_vinten => phyo_poo_vinten ); end generate; -- pragma translate_off nonet : if not (((tech = axcel) or (tech = axdsp)) and (bypass = 1)) generate err : process begin assert False report "ERROR : No pci_arb netlist available for this configuration!" severity Failure; wait; end process; end generate; -- pragma translate_on end struct;
gpl-2.0
01a24eaade87d2a823b3e3cdfd84083e
0.503849
3.229725
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-ztex-ufm-111/config.vhd
1
5,748
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := spartan6; constant CFG_MEMTECH : integer := spartan6; constant CFG_PADTECH : integer := spartan6; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := spartan6; constant CFG_CLKMUL : integer := (3); constant CFG_CLKDIV : integer := (2); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 1; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (2); constant CFG_NOTAG : integer := 1; constant CFG_NWP : integer := (0); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 1; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 1; constant CFG_DSETSZ : integer := 8; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 0*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 2; constant CFG_TLB_TYPE : integer := 1 + 0*2; constant CFG_TLB_REP : integer := 1; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 0 + 64*0; constant CFG_ATBSZ : integer := 0; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Xilinx MIG constant CFG_MIG_DDR2 : integer := 1; constant CFG_MIG_RANKS : integer := (1); constant CFG_MIG_COLBITS : integer := (10); constant CFG_MIG_ROWBITS : integer := (13); constant CFG_MIG_BANKBITS: integer := (2); constant CFG_MIG_HMASK : integer := 16#FC0#; -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 1; constant CFG_AHBRSZ : integer := 4; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 0; constant CFG_GRGPIO_IMASK : integer := 16#0000#; constant CFG_GRGPIO_WIDTH : integer := 1; -- SPI controller constant CFG_SPICTRL_ENABLE : integer := 1; constant CFG_SPICTRL_NUM : integer := (1); constant CFG_SPICTRL_SLVS : integer := (1); constant CFG_SPICTRL_FIFO : integer := (2); constant CFG_SPICTRL_SLVREG : integer := 1; constant CFG_SPICTRL_ODMODE : integer := 1; constant CFG_SPICTRL_AM : integer := 0; constant CFG_SPICTRL_ASEL : integer := 0; constant CFG_SPICTRL_TWEN : integer := 0; constant CFG_SPICTRL_MAXWLEN : integer := (0); constant CFG_SPICTRL_SYNCRAM : integer := 0; constant CFG_SPICTRL_FT : integer := 0; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
05fbe4cab91d5267e068424d1dc74358
0.643876
3.665816
false
false
false
false
Stederr/ESCOM
Arquitectura de Computadoras/Practica05_ArquitecturaGenericaMultiAportes/toposc00.vhd
1
749
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use packageosc00.all; entity toposc00 is port( indivosc: in std_logic_vector ( 3 downto 0 ); oscout: out std_logic; soscdis: in std_logic; stmrrst: in std_logic; outdivosc: inout std_logic ); end; architecture toposc0 of toposc00 is signal sclkdiv: std_logic; begin D00: osc00 port map(osc_dis => soscdis, tmr_rst => stmrrst, osc_out => oscout, tmr_out => sclkdiv); D01: div00 port map(clkdiv => sclkdiv, indiv => indivosc, outdiv => outdivosc); end toposc0;
apache-2.0
0655c82f160cd750d6d93094563191d3
0.556742
3.467593
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/maps/clkpad_ds.vhd
1
3,134
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: clkpad -- File: clkpad_ds.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: DS clock pad with technology wrapper ------------------------------------------------------------------------------ library techmap; library ieee; use ieee.std_logic_1164.all; use techmap.gencomp.all; use techmap.allpads.all; entity clkpad_ds is generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0); port (padp, padn : in std_ulogic; o : out std_ulogic); end; architecture rtl of clkpad_ds is signal gnd : std_ulogic; begin gnd <= '0'; gen0 : if has_ds_pads(tech) = 0 generate o <= to_X01(padp) -- pragma translate_off after 1 ns -- pragma translate_on ; end generate; xcv : if (tech = virtex2) or (tech = spartan3) or (tech = virtex7) or (tech = kintex7) or (tech =artix7) or (tech =zynq7000) generate u0 : unisim_clkpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; xc4v : if (tech = virtex4) or (tech = spartan3e) or (tech = virtex5) or (tech = spartan6) or (tech = virtex6) generate u0 : virtex4_clkpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; axc : if (tech = axcel) or (tech = axdsp) generate u0 : axcel_inpad_ds generic map (level, voltage) port map (padp, padn, o); end generate; pa3 : if (tech = apa3) generate u0 : apa3_clkpad_ds generic map (level) port map (padp, padn, o); end generate; igl2 : if (tech = igloo2) generate u0 : igloo2_clkpad_ds port map (padp, padn, o); end generate; pa3e : if (tech = apa3e) generate u0 : apa3e_clkpad_ds generic map (level) port map (padp, padn, o); end generate; pa3l : if (tech = apa3l) generate u0 : apa3l_clkpad_ds generic map (level) port map (padp, padn, o); end generate; fus : if (tech = actfus) generate u0 : fusion_clkpad_ds generic map (level) port map (padp, padn, o); end generate; rht : if (tech = rhlib18t) generate u0 : rh_lib18t_inpad_ds port map (padp, padn, o, gnd); end generate; end;
gpl-2.0
7c215f69305750226e78291cc77d44b4
0.63178
3.565415
false
false
false
false
BOT-Man-JL/BUPT-Projects
2-2-Digital-Logic/Counter.vhd
1
1,372
Library IEEE; Use IEEE.std_logic_1164.all; Use IEEE.std_logic_unsigned.all; Entity Counter Is Port ( clk_i: In std_logic; clr_i: In std_logic; enable_i: In std_logic; counting_o: Out std_logic_vector (23 downto 0) ); End Entity; Architecture fCounter Of Counter Is Signal tmp: std_logic_vector (23 downto 0); Signal R0, R1, R2, R3, R4, R5: std_logic_vector (3 downto 0); Begin Process (clk_i, clr_i, enable_i, R5 , R4 , R3 , R2 , R1 , R0) Begin If clr_i = '1' Then tmp <= "000000000000000000000000"; R0 <= "0000"; R1 <= "0000"; R2 <= "0000"; R3 <= "0000"; R4 <= "0000"; R5 <= "0000"; Elsif enable_i = '1' Then If clk_i'Event And clk_i = '1' Then If R0 = "1001" Then R0 <= "0000"; R1 <= R1 + 1; If R1 = "1001" Then R1 <= "0000"; R2 <= R2 + 1; If R2 = "1001" Then R2 <= "0000"; R3 <= R3 + 1; If R3 = "1001" Then R3 <= "0000"; R4 <= R4 + 1; If R4 = "1001" Then R4 <= "0000"; R5 <= R5 + 1; Else R4 <= R4 + 1; End If; Else R3 <= R3 + 1; End If; Else R2 <= R2 + 1; End If; Else R1 <= R1 + 1; End If; Else R0 <= R0 + 1; End If; End If; End If; tmp <= R5 & R4 & R3 & R2 & R1 & R0; End Process; counting_o <= tmp; End Architecture;
gpl-3.0
7cb6c8ad92aba7c6a46f3f21c9907941
0.491983
2.45
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-digilent-xup/config.vhd
1
5,697
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex2; constant CFG_MEMTECH : integer := virtex2; constant CFG_PADTECH : integer := virtex2; constant CFG_TRANSTECH : integer := GTP0; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex2; constant CFG_CLKMUL : integer := (13); constant CFG_CLKDIV : integer := (20); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 1; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 8; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2 + 64*0; constant CFG_ATBSZ : integer := 2; constant CFG_AHBPF : integer := 0; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; constant CFG_NP_ASI : integer := 0; constant CFG_WRPSR : integer := 0; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 1; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0033#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000019#; -- DDR controller constant CFG_DDRSP : integer := 1; constant CFG_DDRSP_INIT : integer := 1; constant CFG_DDRSP_FREQ : integer := (90); constant CFG_DDRSP_COL : integer := (9); constant CFG_DDRSP_SIZE : integer := (256); constant CFG_DDRSP_RSKEW : integer := (0); -- AHB ROM constant CFG_AHBROMEN : integer := 1; constant CFG_AHBROPIP : integer := 1; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#100#; constant CFG_ROMMASK : integer := 16#E00# + 16#100#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 8; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- VGA and PS2/ interface constant CFG_KBD_ENABLE : integer := 1; constant CFG_VGA_ENABLE : integer := 0; constant CFG_SVGA_ENABLE : integer := 1; -- AMBA System ACE Interface Controller constant CFG_GRACECTRL : integer := 1; -- GRLIB debugging constant CFG_DUART : integer := 0; end;
gpl-2.0
55aade880786fb6994d4ae6560877166
0.642092
3.642583
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/jtag/bscanregsbd.vhd
1
3,158
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: bscanregsbd -- File: bscanregsbd.vhd -- Author: Magnus Hjorth - Aeroflex Gaisler -- Description: JTAG boundary scan registers, bi-directional IO ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; entity bscanregsbd is generic ( tech: integer:= 0; nsigs: integer := 8; enable: integer range 0 to 1 := 1; hzsup: integer range 0 to 1 := 1 ); port ( pado : out std_logic_vector(nsigs-1 downto 0); padoen : out std_logic_vector(nsigs-1 downto 0); padi : in std_logic_vector(nsigs-1 downto 0); coreo : in std_logic_vector(nsigs-1 downto 0); coreoen : in std_logic_vector(nsigs-1 downto 0); corei : out std_logic_vector(nsigs-1 downto 0); tck : in std_ulogic; tckn : in std_ulogic; tdi : in std_ulogic; tdo : out std_ulogic; bsshft : in std_ulogic; bscapt : in std_ulogic; -- capture signals to scan regs on next tck edge bsupdi : in std_ulogic; -- update indata reg from scan reg on next tck edge bsupdo : in std_ulogic; -- update outdata reg from scan reg on next tck edge bsdrive : in std_ulogic; -- drive outdata regs to pad, -- drive datareg(coreoen=0) or coreo(coreoen=1) to corei bshighz : in std_ulogic -- tri-state output ); end; architecture rtl of bscanregsbd is signal ltdi: std_logic_vector(nsigs downto 0); begin disgen: if enable = 0 generate pado <= coreo; padoen <= coreoen; corei <= padi; tdo <= '0'; ltdi <= (others => '0'); end generate; engen: if enable /= 0 generate g: for x in 0 to nsigs-1 generate r: scanregio generic map (tech,hzsup) port map (pado(x),padoen(x),padi(x),coreo(x),coreoen(x),corei(x), tck,tckn,ltdi(x),ltdi(x+1),bsshft,bscapt,bscapt,bscapt,bsupdi,bsupdo,bsdrive,bshighz); end generate; ltdi(0) <= tdi; tdo <= ltdi(nsigs); end generate; end;
gpl-2.0
2e2dce118d52870827142ae38dbb093b
0.602913
3.85122
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/techmap/altera_mf/tap_altera_mf.vhd
1
4,974
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: tap_altera -- File: tap_altera_gen.vhd -- Author: Edvin Catovic - Gaisler Research -- Description: Altera TAP controllers wrappers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; -- pragma translate_off library altera_mf; use altera_mf.altera_mf_components.all; use altera_mf.sld_virtual_jtag; -- pragma translate_on entity altera_tap is port ( tapi_tdo1 : in std_ulogic; tapi_tdo2 : in std_ulogic; tapo_tck : out std_ulogic; tapo_tdi : out std_ulogic; tapo_inst : out std_logic_vector(7 downto 0); tapo_rst : out std_ulogic; tapo_capt : out std_ulogic; tapo_shft : out std_ulogic; tapo_upd : out std_ulogic; tapo_xsel1 : out std_ulogic; tapo_xsel2 : out std_ulogic ); end; architecture rtl of altera_tap is signal ir0 : std_logic_vector(7 downto 0); component sld_virtual_jtag generic ( --lpm_hint : string := "UNUSED"; --lpm_type : string := "sld_virtual_jtag"; sld_auto_instance_index : string := "NO"; sld_instance_index : natural := 0; sld_ir_width : natural := 1 --sld_sim_action : string := "UNUSED" --sld_sim_n_scan : natural := 0; --sld_sim_total_length : natural := 0 ); port( ir_in : out std_logic_vector(sld_ir_width-1 downto 0); ir_out : in std_logic_vector(sld_ir_width-1 downto 0); jtag_state_cdr : out std_logic; jtag_state_cir : out std_logic; jtag_state_e1dr : out std_logic; jtag_state_e1ir : out std_logic; jtag_state_e2dr : out std_logic; jtag_state_e2ir : out std_logic; jtag_state_pdr : out std_logic; jtag_state_pir : out std_logic; jtag_state_rti : out std_logic; jtag_state_sdr : out std_logic; jtag_state_sdrs : out std_logic; jtag_state_sir : out std_logic; jtag_state_sirs : out std_logic; jtag_state_tlr : out std_logic; jtag_state_udr : out std_logic; jtag_state_uir : out std_logic; tck : out std_logic; tdi : out std_logic; tdo : in std_logic; tms : out std_logic; virtual_state_cdr : out std_logic; virtual_state_cir : out std_logic; virtual_state_e1dr : out std_logic; virtual_state_e2dr : out std_logic; virtual_state_pdr : out std_logic; virtual_state_sdr : out std_logic; virtual_state_udr : out std_logic; virtual_state_uir : out std_logic ); end component; begin tapo_rst <= '0'; tapo_xsel1 <= '0'; tapo_xsel2 <= '0'; u0 : sld_virtual_jtag generic map (sld_ir_width => 8, sld_auto_instance_index => "NO", sld_instance_index => 0) port map (ir_in => tapo_inst, ir_out => ir0, jtag_state_cdr => open, jtag_state_cir => open, jtag_state_e1dr => open, jtag_state_e1ir => open, jtag_state_e2dr => open, jtag_state_e2ir => open, jtag_state_pdr => open, jtag_state_pir => open, jtag_state_rti => open, jtag_state_sdr => open, jtag_state_sdrs => open, jtag_state_sir => open, jtag_state_sirs => open, jtag_state_tlr => open, jtag_state_udr => open, jtag_state_uir => open, tck => tapo_tck, tdi => tapo_tdi, tdo => tapi_tdo1, tms => open, virtual_state_cdr => tapo_capt, virtual_state_cir => open, virtual_state_e1dr => open, virtual_state_e2dr => open, virtual_state_pdr => open, virtual_state_sdr => tapo_shft, virtual_state_udr => tapo_upd, virtual_state_uir => open); end;
gpl-2.0
005c7ab8791faec1fe44756772f6b250
0.558504
3.318212
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/misc/apbps2.vhd
1
13,635
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: apbps2 -- File: apbps2.vhd -- Author: Marcus Hellqvist, Jiri Gaisler -- Modified by: Jan Andersson -- Description: PS/2 keyboard interface ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; use grlib.devices.all; library gaisler; use gaisler.misc.all; entity apbps2 is generic( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; pirq : integer := 0; fKHz : integer := 50000; fixed : integer := 0; oepol : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; -- Global asynchronous reset clk : in std_ulogic; -- Global clock apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; ps2i : in ps2_in_type; ps2o : out ps2_out_type ); end; architecture rtl of apbps2 is constant fifosize : integer := 16; type rxstates is (idle,start,data,parity,stop); type txstates is (idle,waitrequest,start,data,parity,stop,ack); type fifotype is array(0 to fifosize-1) of std_logic_vector(7 downto 0); type ps2_regs is record -- status reg data_ready : std_ulogic; -- data ready parity_error : std_ulogic; -- parity carry out/ error bit frame_error : std_ulogic; -- frame error when receiving kb_inh : std_ulogic; -- keyboard inhibit rbf : std_ulogic; -- receiver buffer full tbf : std_ulogic; -- transmitter buffer full rcnt : std_logic_vector(log2x(fifosize) downto 0); -- fifo counter tcnt : std_logic_vector(log2x(fifosize) downto 0); -- fifo counter -- control reg rx_en : std_ulogic; -- receive enable tx_en : std_ulogic; -- transmit enable rx_irq_en : std_ulogic; -- keyboard interrupt enable tx_irq_en : std_ulogic; -- transmit interrupt enable -- others tx_act : std_ulogic; -- tx active rxdf : std_logic_vector(4 downto 0); -- rx data filter rxcf : std_logic_vector(4 downto 0); -- rx clock filter rx_irq : std_ulogic; -- keyboard interrupt tx_irq : std_ulogic; -- transmit interrupt rxfifo : fifotype; -- fifo with 16 bytes rraddr : std_logic_vector(log2x(fifosize)-1 downto 0); -- fifo read address rwaddr : std_logic_vector(log2x(fifosize)-1 downto 0); -- fifo write address rxstate : rxstates; txfifo : fifotype; -- fifo with 16 bytes traddr : std_logic_vector(log2x(fifosize)-1 downto 0); -- fifo read address twaddr : std_logic_vector(log2x(fifosize)-1 downto 0); -- fifo write address txstate : txstates; ps2_clk_syn : std_ulogic; -- ps2 clock synchronized ps2_data_syn : std_ulogic; -- ps2 data synchronized ps2_clk_fall : std_ulogic; -- ps2 clock falling edge detector rshift : std_logic_vector(7 downto 0); -- shift register rpar : std_ulogic; -- parity check bit tshift : std_logic_vector(9 downto 0); -- shift register tpar : std_ulogic; -- transmit parity bit ps2clk : std_ulogic; -- ps2 clock ps2data : std_ulogic; -- ps2 data ps2clkoe : std_ulogic; -- ps2 clock output enable ps2dataoe : std_ulogic; -- ps2 data output enable timer : std_logic_vector(16 downto 0); -- timer reload : std_logic_vector(16 downto 0); -- reload register end record; constant rcntzero : std_logic_vector(log2x(fifosize) downto 0) := (others => '0'); constant REVISION : integer := 2; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_APBPS2, 0, REVISION, pirq), 1 => apb_iobar(paddr, pmask)); constant OUTPUT : std_ulogic := conv_std_logic(oepol = 1); constant INPUT : std_ulogic := conv_std_logic(oepol = 0); signal r, rin : ps2_regs; signal ps2_clk, ps2_data : std_ulogic; begin ps2_op : process(r, rst, ps2_clk, ps2_data,apbi) variable v : ps2_regs; variable rdata : std_logic_vector(31 downto 0); variable irq : std_logic_vector(NAHBIRQ-1 downto 0); begin v := r; rdata := (others => '0'); v.data_ready := '0'; irq := (others => '0'); irq(pirq) := r.rx_irq or r.tx_irq; v.rx_irq := '0'; v.tx_irq := '0'; v.rbf := r.rcnt(log2x(fifosize)); v.tbf := r.tcnt(log2x(fifosize)); if r.rcnt /= rcntzero then v.data_ready := '1'; end if; -- Synchronize and filter ps2 input v.rxdf(0) := ps2_data; v.rxdf(4 downto 1) := r.rxdf(3 downto 0); v.rxcf(0) := ps2_clk; v.rxcf(4 downto 1) := r.rxcf(3 downto 0); if (r.rxdf(4) & r.rxdf(4) & r.rxdf(4) & r.rxdf(4)) = r.rxdf(3 downto 0) then v.ps2_data_syn := r.rxdf(4); end if; if (r.rxcf(4) & r.rxcf(4) & r.rxcf(4) & r.rxcf(4)) = r.rxcf(3 downto 0) then v.ps2_clk_syn := r.rxcf(4); end if; if (v.ps2_clk_syn /= r.ps2_clk_syn) and (v.ps2_clk_syn = '0') then v.ps2_clk_fall := '1'; else v.ps2_clk_fall := '0'; end if; -- read registers case apbi.paddr(3 downto 2) is when "00" => rdata(7 downto 0) := r.rxfifo(conv_integer(r.rraddr)); if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then if r.rcnt /= rcntzero then v.rxfifo(conv_integer(r.rraddr)) := (others => '0'); v.rraddr := r.rraddr + 1; v.rcnt := r.rcnt - 1; end if; end if; when "01" => rdata(27 + log2x(fifosize) downto 27) := r.rcnt; rdata(22 + log2x(fifosize) downto 22) := r.tcnt; rdata(5 downto 0) := r.tbf & r.rbf & r.kb_inh & r.frame_error & r.parity_error & r.data_ready; when "10" => rdata(3 downto 0) := r.tx_irq_en & r.rx_irq_en & r.tx_en & r.rx_en; when others => if fixed = 0 then rdata(r.reload'range) := r.reload; end if; end case; -- write registers if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(3 downto 2) is when "00" => if r.tcnt(log2x(fifosize)) = '0' then v.txfifo(conv_integer(r.twaddr)) := apbi.pwdata(7 downto 0); v.twaddr := r.twaddr + 1; v.tcnt := r.tcnt + 1; end if; when "01" => v.kb_inh := apbi.pwdata(3); v.frame_error := apbi.pwdata(2); v.parity_error := apbi.pwdata(1); when "10" => v.tx_irq_en := apbi.pwdata(3); v.rx_irq_en := apbi.pwdata(2); v.tx_en := apbi.pwdata(1); v.rx_en := apbi.pwdata(0); when "11" => if fixed = 0 then v.reload := apbi.pwdata(r.reload'range); end if; when others => null; end case; end if; case r.txstate is when idle => if r.tx_en = '1' and r.tcnt /= rcntzero then v.ps2clk := '0'; v.ps2clkoe := OUTPUT; v.tx_act := '1'; v.ps2data := '1'; v.ps2dataoe := OUTPUT; v.txstate := waitrequest; if fixed = 1 then v.timer := conv_std_logic_vector(fKHz/10,r.timer'length); else v.timer := r.reload; end if; end if; when waitrequest => v.timer := r.timer - 1; if (v.timer(r.timer'left) and not r.timer(r.timer'left)) = '1' then v.ps2data := '0'; v.txstate := start; end if; when start => v.ps2clkoe := INPUT; v.ps2clk := '1'; v.tshift := "10" & r.txfifo(conv_integer(r.traddr)); v.traddr := r.traddr + 1; v.tcnt := r.tcnt - 1; v.tpar := '1'; v.txstate := data; when data => if r.ps2_clk_fall = '1' then v.ps2data := r.tshift(0); v.tpar := r.tpar xor r.tshift(0); v.tshift := '1' & r.tshift(9 downto 1); if v.tshift = "1111111110" then v.txstate := parity; end if; end if; when parity => if r.ps2_clk_fall = '1' then v.ps2data := r.tpar; v.txstate := stop; end if; when stop => if r.ps2_clk_fall = '1' then v.ps2data := '1'; v.txstate := ack; end if; when ack => v.ps2dataoe := INPUT; if r.ps2_clk_fall = '1' and r.ps2_data_syn = '0'then v.ps2data := '1'; v.ps2dataoe := OUTPUT; v.tx_irq := r.tx_irq_en; v.txstate := idle; v.tx_act := '0'; end if; end case; -- receiver state machine case r.rxstate is when idle => if (r.rx_en and not r.tx_act) = '1' then v.rshift := (others => '1'); v.rxstate := start; end if; when start => if r.ps2_clk_fall = '1' then if r.ps2_data_syn = '0' then v.rshift := r.ps2_data_syn & r.rshift(7 downto 1); v.rxstate := data; v.rpar := '0'; v.parity_error := '0'; v.frame_error := '0'; else v.rxstate := idle; end if; end if; when data => if r.ps2_clk_fall = '1' then v.rshift := r.ps2_data_syn & r.rshift(7 downto 1); v.rpar := r.rpar xor r.ps2_data_syn; if r.rshift(0) = '0' then v.rxstate := parity; end if; end if; when parity => if r.ps2_clk_fall = '1' then v.parity_error := r.rpar xor (not r.ps2_data_syn); v.rxstate := stop; end if; when stop => if r.ps2_clk_fall = '1' then if r.ps2_data_syn = '1' then v.rx_irq := r.rx_irq_en; v.rxstate := idle; if (r.rbf or r.parity_error) = '0' then v.rxfifo(conv_integer(r.rwaddr)) := r.rshift(7 downto 0); v.rwaddr := r.rwaddr + 1; v.rcnt := r.rcnt + 1; end if; else v.frame_error := '1'; v.rxstate := idle; end if; end if; end case; -- keyboard inhibit / high impedance if v.tx_act = '0' then if r.rbf = '1' then v.kb_inh := '1'; v.ps2clk := '0'; v.ps2data := '1'; v.ps2dataoe := OUTPUT; v.ps2clkoe := OUTPUT; else v.ps2clk := '1'; v.ps2data := '1'; v.ps2dataoe := INPUT; v.ps2clkoe := INPUT; end if; end if; if r.tx_act = '1' then v.rxstate := idle; end if; -- reset operations if rst = '0' then v.data_ready := '0'; v.kb_inh := '0'; v.parity_error := '0'; v.frame_error := '0'; v.rx_en := '0'; v.tx_act := '0'; v.tx_en := '0'; v.rx_irq := '0'; v.tx_irq := '0'; v.ps2_clk_fall := '0'; v.ps2_clk_syn := '0'; v.ps2_data_syn := '0'; v.rshift := (others => '0'); v.rxstate := idle; v.txstate := idle; v.rraddr := (others => '0'); v.rwaddr := (others => '0'); v.rcnt := (others => '0'); v.traddr := (others => '0'); v.twaddr := (others => '0'); v.tcnt := (others => '0'); v.tshift := (others => '0'); v.tpar := '0'; if fixed = 0 then v.reload := conv_std_logic_vector(fKHz/10,r.reload'length); end if; end if; if fixed = 1 then v.reload := (others => '0'); end if; -- update registers rin <= v; -- drive outputs apbo.prdata <= rdata; apbo.pirq <= irq; apbo.pindex <= pindex; ps2o.ps2_clk_o <= r.ps2clk; ps2o.ps2_clk_oe <= r.ps2clkoe; ps2o.ps2_data_o <= r.ps2data; ps2o.ps2_data_oe <= r.ps2dataoe; end process; apbo.pconfig <= pconfig; regs : process(clk) begin if rising_edge(clk) then r <= rin; ps2_data <= to_x01(ps2i.ps2_data_i); ps2_clk <= to_x01(ps2i.ps2_clk_i); end if; end process; -- pragma translate_off bootmsg : report_version generic map ("apbps2_" & tost(pindex) & ": APB PS2 interface rev " & tost(REVISION) & ", irq " & tost(pirq)); -- pragma translate_on end;
gpl-2.0
b2600eb1ef3d00c3ea706f1db89c1c03
0.510524
3.350123
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-terasic-de4/testbench.vhd
1
20,729
------------------------------------------------------------------------------ -- LEON3 Demonstration design test bench -- Copyright (C) 2013 Aeroflex Gaisler ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library gaisler; use gaisler.libdcom.all; use gaisler.sim.all; use gaisler.net.all; use work.debug.all; library techmap; use techmap.gencomp.all; library micron; use micron.components.all; library grlib; use grlib.stdlib.all; use grlib.amba.all; use work.config.all; -- configuration entity testbench is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW; clkperiod : integer := 10; -- system clock period romdepth : integer := 25; -- rom address depth sramwidth : integer := 32; -- ram data width (8/16/32) sramdepth : integer := 20; -- ram address depth srambanks : integer := 2 -- number of ram banks ); end; architecture behav of testbench is constant promfile : string := "prom.srec"; -- rom contents constant sramfile : string := "ram.srec"; -- ram contents constant sdramfile : string := "ram.srec"; -- sdram contents constant ct : integer := clkperiod/2; -- clocks signal OSC_50_BANK2 : std_logic := '0'; signal OSC_50_BANK3 : std_logic := '0'; signal OSC_50_BANK4 : std_logic := '0'; signal OSC_50_BANK5 : std_logic := '0'; signal OSC_50_BANK6 : std_logic := '0'; signal OSC_50_BANK7 : std_logic := '0'; signal PLL_CLKIN_p : std_logic := '0'; signal SMA_CLKIN_p : std_logic := '0'; --signal SMA_GXBCLK_p : std_logic; signal GCLKIN : std_logic := '0'; -- signal GCLKOUT_FPGA : std_logic := '0'; -- signal SMA_CLKOUT_p : std_logic := '0'; signal clk_125 : std_logic := '0'; -- cpu reset signal CPU_RESET_n : std_ulogic := '0'; -- max i/o -- signal MAX_CONF_D : std_logic_vector(3 downto 0); -- signal MAX_I2C_SCLK : std_logic; -- signal MAX_I2C_SDAT : std_logic; -- LEDs signal LED : std_logic_vector(7 downto 0); -- buttons signal BUTTON : std_logic_vector(3 downto 0); -- switches signal SW : std_logic_vector(3 downto 0); -- slide switches signal SLIDE_SW : std_logic_vector(3 downto 0); -- temperature -- signal TEMP_SMCLK : std_logic; -- signal TEMP_SMDAT : std_logic; -- signal TEMP_INT_n : std_logic; -- current signal CSENSE_ADC_FO : std_logic; signal CSENSE_SCK : std_logic; signal CSENSE_SDI : std_logic; signal CSENSE_SDO : std_logic; signal CSENSE_CS_n : std_logic_vector(1 downto 0); -- fan signal FAN_CTRL : std_logic; -- eeprom signal EEP_SCL : std_logic; signal EEP_SDA : std_logic; -- sdcard -- signal SD_CLK : std_logic; -- signal SD_CMD : std_logic; -- signal SD_DAT : std_logic_vector(3 downto 0); -- signal SD_WP_n : std_logic; -- Ethernet interfaces signal ETH_INT_n : std_logic_vector(3 downto 0); signal ETH_MDC : std_logic_vector(3 downto 0); signal ETH_MDIO : std_logic_vector(3 downto 0); signal ETH_RST_n : std_ulogic; signal ETH_RX_p : std_logic_vector(3 downto 0); signal ETH_TX_p : std_logic_vector(3 downto 0); -- PCIe interfaces --signal PCIE_PREST_n : std_ulogic; --signal PCIE_REFCLK_p : std_ulogic; --signal PCIE_RX_p : std_logic_vector(7 downto 0); --signal PCIE_SMBCLK : std_logic; --signal PCIE_SMBDAT : std_logic; --signal PCIE_TX_p : std_logic_vector(7 downto 0); --signal PCIE_WAKE_n : std_logic; -- Flash and SRAM, shared signals signal FSM_A : std_logic_vector(25 downto 1); signal FSM_D : std_logic_vector(15 downto 0); -- Flash control signal FLASH_ADV_n : std_ulogic; signal FLASH_CE_n : std_ulogic; signal FLASH_CLK : std_ulogic; signal FLASH_OE_n : std_ulogic; signal FLASH_RESET_n : std_ulogic; signal FLASH_RYBY_n : std_ulogic; signal FLASH_WE_n : std_ulogic; -- SSRAM control signal SSRAM_ADV : std_ulogic; signal SSRAM_BWA_n : std_ulogic; signal SSRAM_BWB_n : std_ulogic; signal SSRAM_CE_n : std_ulogic; signal SSRAM_CKE_n : std_ulogic; signal SSRAM_CLK : std_ulogic; signal SSRAM_OE_n : std_ulogic; signal SSRAM_WE_n : std_ulogic; -- USB OTG --signal OTG_A : std_logic_vector(17 downto 1); --signal OTG_CS_n : std_ulogic; --signal OTG_D : std_logic_vector(31 downto 0); --signal OTG_DC_DACK : std_ulogic; --signal OTG_DC_DREQ : std_ulogic; --signal OTG_DC_IRQ : std_ulogic; --signal OTG_HC_DACK : std_ulogic; --signal OTG_HC_DREQ : std_ulogic; --signal OTG_HC_IRQ : std_ulogic; --signal OTG_OE_n : std_ulogic; --signal OTG_RESET_n : std_ulogic; --signal OTG_WE_n : std_ulogic; -- SATA --signal SATA_REFCLK_p : std_logic; --signal SATA_HOST_RX_p : std_logic_vector(1 downto 0); --signal SATA_HOST_TX_p : std_logic_vector(1 downto 0); --signal SATA_DEVICE_RX_p : std_logic_vector(1 downto 0); --signal SATA_DEVICE_TX_p : std_logic_vector(1 downto 0); -- DDR2 SODIMM signal M1_DDR2_addr : std_logic_vector(15 downto 0); signal M1_DDR2_ba : std_logic_vector(2 downto 0); signal M1_DDR2_cas_n : std_logic; signal M1_DDR2_cke : std_logic_vector(1 downto 0); signal M1_DDR2_clk : std_logic_vector(1 downto 0); signal M1_DDR2_clk_n : std_logic_vector(1 downto 0); signal M1_DDR2_cs_n : std_logic_vector(1 downto 0); signal M1_DDR2_dm : std_logic_vector(7 downto 0); signal M1_DDR2_dq : std_logic_vector(63 downto 0); signal M1_DDR2_dqs : std_logic_vector(7 downto 0); signal M1_DDR2_dqsn : std_logic_vector(7 downto 0); signal M1_DDR2_odt : std_logic_vector(1 downto 0); signal M1_DDR2_ras_n : std_logic; -- signal M1_DDR2_SA : std_logic_vector(1 downto 0); -- signal M1_DDR2_SCL : std_logic; -- signal M1_DDR2_SDA : std_logic; signal M1_DDR2_we_n : std_logic; signal M1_DDR2_oct_rdn : std_logic; signal M1_DDR2_oct_rup : std_logic; -- DDR2 SODIMM --signal M2_DDR2_addr : std_logic_vector(15 downto 0); --signal M2_DDR2_ba : std_logic_vector(2 downto 0); --signal M2_DDR2_cas_n : std_logic; --signal M2_DDR2_cke : std_logic_vector(1 downto 0); --signal M2_DDR2_clk : std_logic_vector(1 downto 0); --signal M2_DDR2_clk_n : std_logic_vector(1 downto 0); --signal M2_DDR2_cs_n : std_logic_vector(1 downto 0); --signal M2_DDR2_dm : std_logic_vector(7 downto 0); --signal M2_DDR2_dq : std_logic_vector(63 downto 0); --signal M2_DDR2_dqs : std_logic_vector(7 downto 0); --signal M2_DDR2_dqsn : std_logic_vector(7 downto 0); --signal M2_DDR2_odt : std_logic_vector(1 downto 0); --signal M2_DDR2_ras_n : std_logic; --signal M2_DDR2_SA : std_logic_vector(1 downto 0); --signal M2_DDR2_SCL : std_logic; --signal M2_DDR2_SDA : std_logic; --signal M2_DDR2_we_n : std_logic; -- GPIO signal GPIO0_D : std_logic_vector(35 downto 0); -- signal GPIO1_D : std_logic_vector(35 downto 0); -- Ext I/O signal EXT_IO : std_logic; -- HSMC A -- signal HSMA_CLKIN_n1 : std_logic; -- signal HSMA_CLKIN_n2 : std_logic; -- signal HSMA_CLKIN_p1 : std_logic; -- signal HSMA_CLKIN_p2 : std_logic; -- signal HSMA_CLKIN0 : std_logic; signal HSMA_CLKOUT_n2 : std_logic; signal HSMA_CLKOUT_p2 : std_logic; -- signal HSMA_D : std_logic_vector(3 downto 0); -- HSMA_GXB_RX_p : std_logic_vector(3 downto 0); -- HSMA_GXB_TX_p : std_logic_vector(3 downto 0); -- signal HSMA_OUT_n1 : std_logic; -- signal HSMA_OUT_p1 : std_logic; -- signal HSMA_OUT0 : std_logic; -- HSMA_REFCLK_p : in std_logic; -- signal HSMA_RX_n : std_logic_vector(16 downto 0); -- signal HSMA_RX_p : std_logic_vector(16 downto 0); -- signal HSMA_TX_n : std_logic_vector(16 downto 0); -- signal HSMA_TX_p : std_logic_vector(16 downto 0); -- HSMC_B -- signal HSMB_CLKIN_n1 : std_logic; -- signal HSMB_CLKIN_n2 : std_logic; -- signal HSMB_CLKIN_p1 : std_logic; -- signal HSMB_CLKIN_p2 : std_logic; -- signal HSMB_CLKIN0 : std_logic; -- signal HSMB_CLKOUT_n2 : std_logic; -- signal HSMB_CLKOUT_p2 : std_logic; -- signal HSMB_D : std_logic_vector(3 downto 0); -- signal HSMB_GXB_RX_p : in std_logic_vector(3 downto 0); -- signal HSMB_GXB_TX_p : out std_logic_vector(3 downto 0); -- signal HSMB_OUT_n1 : std_logic; -- signal HSMB_OUT_p1 : std_logic; -- signal HSMB_OUT0 : std_logic; -- signal HSMB_REFCLK_p : in std_logic; -- signal HSMB_RX_n : std_logic_vector(16 downto 0); -- signal HSMB_RX_p : std_logic_vector(16 downto 0); -- signal HSMB_TX_n : std_logic_vector(16 downto 0); -- signal HSMB_TX_p : std_logic_vector(16 downto 0); -- HSMC i2c -- signal HSMC_SCL : std_logic; -- signal HSMC_SDA : std_logic; -- Display -- signal SEG0_D : std_logic_vector(6 downto 0); -- signal SEG1_D : std_logic_vector(6 downto 0); -- signal SEG0_DP : std_ulogic; -- signal SEG1_DP : std_ulogic; -- UART signal UART_CTS : std_ulogic; signal UART_RTS : std_ulogic; signal UART_RXD : std_logic; signal UART_TXD : std_logic; signal dsuen, dsubren, dsuact : std_ulogic; signal dsurst : std_ulogic; signal rst_125 : std_logic; constant lresp : boolean := false; constant slips : integer := 11; signal ETH_RX_p_0_d : std_logic; signal ETH_RX_p_1_d : std_logic; begin -- clock and reset -- 50 MHz clocks OSC_50_BANK2 <= not OSC_50_BANK2 after 10 ns; OSC_50_BANK3 <= not OSC_50_BANK3 after 10 ns; OSC_50_BANK4 <= not OSC_50_BANK4 after 10 ns; OSC_50_BANK5 <= not OSC_50_BANK5 after 10 ns; OSC_50_BANK6 <= not OSC_50_BANK6 after 10 ns; OSC_50_BANK7 <= not OSC_50_BANK7 after 10 ns; -- 100 MHz PLL_CLKIN_p <= not PLL_CLKIN_p after 5 ns; SMA_CLKIN_p <= not SMA_CLKIN_p after 10 ns; GCLKIN <= not GCLKIN after 10 ns; clk_125 <= not clk_125 after 4 ns; CPU_RESET_n <= '0', '1' after 200 ns; -- various interfaces -- MAX_CONF_D <= (others => 'H'); -- MAX_I2C_SDAT <= 'H'; BUTTON <= "HHHH"; SW <= (others => 'H'); SLIDE_SW <= (others => 'L'); -- TEMP_SMDAT <= 'H'; -- TEMP_INT_n <= 'H'; CSENSE_SCK <= 'H'; CSENSE_SDO <= 'H'; EEP_SDA <= 'H'; -- SD_CMD <= 'H'; -- SD_DAT <= (others => 'H'); -- SD_WP_n <= 'H'; GPIO0_D <= (others => 'H'); -- GPIO1_D <= (others => 'H'); EXT_IO <= 'H'; LED(0) <= 'H'; -- HSMC_SDA <= 'H'; UART_RTS <= '1'; UART_RXD <= 'H'; -- LEON3 SoC d3 : entity work.leon3mp generic map ( fabtech, memtech, padtech, clktech, disas, dbguart, pclow) port map ( OSC_50_BANK2, OSC_50_BANK3, OSC_50_BANK4, OSC_50_BANK5, OSC_50_BANK6, OSC_50_BANK7, PLL_CLKIN_p, SMA_CLKIN_p, -- SMA_GXBCLK_p GCLKIN, -- GCLKOUT_FPGA, SMA_CLKOUT_p, -- cpu reset CPU_RESET_n, -- max i/o -- MAX_CONF_D, MAX_I2C_SCLK, MAX_I2C_SDAT, -- LEDs LED, -- buttons BUTTON, -- switches SW, -- slide switches SLIDE_SW, -- temperature -- TEMP_SMCLK, TEMP_SMDAT, TEMP_INT_n, -- current CSENSE_ADC_FO, CSENSE_SCK, CSENSE_SDI, CSENSE_SDO, CSENSE_CS_n, -- fan FAN_CTRL, -- eeprom EEP_SCL, EEP_SDA, -- sdcard -- SD_CLK, SD_CMD, SD_DAT, SD_WP_n, -- Ethernet interfaces ETH_INT_n, ETH_MDC, ETH_MDIO, ETH_RST_n, ETH_RX_p, ETH_TX_p, -- PCIe interfaces -- PCIE_PREST_n, PCIE_REFCLK_p, PCIE_RX_p, PCIE_SMBCLK, -- PCIE_SMBDAT, PCIE_TX_p PCIE_WAKE_n -- Flash and SRAM, shared signals FSM_A, FSM_D, -- Flash control FLASH_ADV_n, FLASH_CE_n, FLASH_CLK, FLASH_OE_n, FLASH_RESET_n, FLASH_RYBY_n, FLASH_WE_n, -- SSRAM control SSRAM_ADV, SSRAM_BWA_n, SSRAM_BWB_n, SSRAM_CE_n, SSRAM_CKE_n, SSRAM_CLK, SSRAM_OE_n, SSRAM_WE_n, -- USB OTG -- OTG_A, OTG_CS_n, OTG_D, OTG_DC_DACK, OTG_DC_DRE, OTG_DC_IRQ, -- OTG_HC_DACK, OTG_HC_DREQ, OTG_HC_IRQ, OTG_OE_n, OTG_RESET_n, -- OTG_WE_n, -- SATA -- SATA_REFCLK_p, SATA_HOST_RX_p, SATA_HOST_TX_p, SATA_DEVICE_RX_p, SATA_DEVICE_TX_p, -- DDR2 SODIMM M1_DDR2_addr, M1_DDR2_ba, M1_DDR2_cas_n, M1_DDR2_cke, M1_DDR2_clk, M1_DDR2_clk_n, M1_DDR2_cs_n, M1_DDR2_dm, M1_DDR2_dq, M1_DDR2_dqs, M1_DDR2_dqsn, M1_DDR2_odt, M1_DDR2_ras_n, -- M1_DDR2_SA, M1_DDR2_SCL, M1_DDR2_SDA, M1_DDR2_we_n, M1_DDR2_oct_rdn, M1_DDR2_oct_rup, -- DDR2 SODIMM -- M2_DDR2_addr, M2_DDR2_ba, M2_DDR2_cas_n, M2_DDR2_cke, M2_DDR2_clk, M2_DDR2_clk_n -- M2_DDR2_cs_n, M2_DDR2_dm, M2_DDR2_dq, M2_DDR2_dqs, M2_DDR2_dqsn, M2_DDR2_odt, -- M2_DDR2_ras_n, M2_DDR2_SA, M2_DDR2_SCL, M2_DDR2_SDA M2_DDR2_we_n -- GPIO GPIO0_D, -- GPIO1_D, -- Ext I/O -- EXT_IO, -- HSMC A -- HSMA_CLKIN_n1, HSMA_CLKIN_n2, HSMA_CLKIN_p1, HSMA_CLKIN_p2, HSMA_CLKIN0, HSMA_CLKOUT_n2, HSMA_CLKOUT_p2, -- HSMA_D, -- HSMA_GXB_RX_p, HSMA_GXB_TX_p, -- HSMA_OUT_n1, HSMA_OUT_p1, HSMA_OUT0, -- HSMA_REFCLK_p, -- HSMA_RX_n, HSMA_RX_p, HSMA_TX_n, HSMA_TX_p, -- HSMC_B -- HSMB_CLKIN_n1, HSMB_CLKIN_n2, HSMB_CLKIN_p1, HSMB_CLKIN_p2, HSMB_CLKIN0, -- HSMB_CLKOUT_n2, HSMB_CLKOUT_p2, HSMB_D, -- HSMB_GXB_RX_p, HSMB_GXB_TX_p, -- HSMB_OUT_n1, HSMB_OUT_p1, HSMB_OUT0, -- HSMB_REFCLK_p, -- HSMB_RX_n, HSMB_RX_p, HSMB_TX_n, HSMB_TX_p, -- HSMC i2c -- HSMC_SCL, HSMC_SDA, -- Display -- SEG0_D, SEG1_D, SEG0_DP, SEG1_DP, -- UART UART_CTS, UART_RTS, UART_RXD, UART_TXD ); ethsim0 : if CFG_GRETH /= 0 generate rst_125 <= not CPU_RESET_n; -- delaying rx line ETH_RX_p(0) <= transport ETH_RX_p_0_d after 0.8 ns * slips; p0: ser_phy generic map( address => 0, extended_regs => 1, aneg => 1, fd_10 => 1, hd_10 => 1, base100_t4 => 1, base100_x_fd => 1, base100_x_hd => 1, base100_t2_fd => 1, base100_t2_hd => 1, base1000_x_fd => CFG_GRETH1G, base1000_x_hd => CFG_GRETH1G, base1000_t_fd => CFG_GRETH1G, base1000_t_hd => CFG_GRETH1G, fabtech => fabtech, memtech => memtech ) port map( rstn => CPU_RESET_n, clk_125 => clk_125, rst_125 => rst_125, eth_rx_p => ETH_RX_p_0_d, eth_tx_p => ETH_TX_p(0), mdio => ETH_MDIO(0), mdc => ETH_MDC(0) ); end generate; ethsim1 : if CFG_GRETH2 /= 0 generate -- delaying rx line ETH_RX_p(1) <= transport ETH_RX_p_1_d after 0.8 ns * slips; p1: ser_phy generic map( address => 1, extended_regs => 1, aneg => 1, fd_10 => 1, hd_10 => 1, base100_t4 => 1, base100_x_fd => 1, base100_x_hd => 1, base100_t2_fd => 1, base100_t2_hd => 1, base1000_x_fd => CFG_GRETH21G, base1000_x_hd => CFG_GRETH21G, base1000_t_fd => CFG_GRETH21G, base1000_t_hd => CFG_GRETH21G, fabtech => fabtech, memtech => memtech ) port map( rstn => CPU_RESET_n, clk_125 => clk_125, rst_125 => rst_125, eth_rx_p => ETH_RX_p_1_d, eth_tx_p => ETH_TX_p(1), mdio => ETH_MDIO(1), mdc => ETH_MDC(1) ); end generate; prom0 : sram16 generic map (index => 4, abits => romdepth, fname => promfile) port map (FSM_A(romdepth downto 1), FSM_D, FLASH_CE_n, FLASH_CE_n, FLASH_CE_n, FLASH_WE_n, FLASH_OE_n); FLASH_RYBY_n <= 'H'; test0 : grtestmod generic map ( width => 16 ) port map ( CPU_RESET_n, OSC_50_BANK3, LED(0), FSM_A(20 downto 1), FSM_D, '0', FLASH_OE_n, FLASH_WE_n); iuerr : process begin wait for 2500 ns; if to_x01(LED(0)) = '1' then wait on LED(0); end if; assert (to_x01(LED(0)) = '1') report "*** IU in error mode, simulation halted ***" severity failure ; end process; FSM_D <= buskeep(FSM_D) after 5 ns; dsucom : process procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is variable w32 : std_logic_vector(31 downto 0); variable c8 : std_logic_vector(7 downto 0); constant txp : time := 320 * 1 ns; begin dsutx <= '1'; dsurst <= '0'; wait for 2500 ns; dsurst <= '1'; wait; wait for 5000 ns; txc(dsutx, 16#55#, txp); -- sync uart-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp);-- wait for 25000 ns; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp);-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp);-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp);-- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp); txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp);-- txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp);-- wait; txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#aa#, txp); txa(dsutx, 16#00#, 16#55#, 16#00#, 16#55#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#00#, 16#00#, 16#0a#, 16#a0#, txp); txa(dsutx, 16#01#, 16#02#, 16#09#, 16#33#, txp);-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2e#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#80#, 16#00#, 16#02#, 16#10#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp); txc(dsutx, 16#c0#, txp); txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp); txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp); txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);-- txc(dsutx, 16#c0#, txp); txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp); txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);-- txc(dsutx, 16#80#, txp); txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp);-- txc(dsutx, 16#a0#, txp); txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp); rxi(dsurx, w32, txp, lresp);-- end;-- begin-- dsucfg(UART_TXD, UART_RXD);-- wait; end process; end ;
gpl-2.0
a478b78f815296e0d48c750f300eb5b3
0.570457
2.75835
false
false
false
false
aortiz49/MIPS-Processor
Testbenches/mux5_tb.vhd
1
1,049
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity mux5_tb is end mux5_tb; architecture TB of mux5_tb is component mux5 port( in0 : in std_logic_vector(4 downto 0); in1 : in std_logic_vector(4 downto 0); sel : in std_logic; output : out std_logic_vector(4 downto 0)); end component; signal in0 : std_logic_vector(4 downto 0); signal in1 : std_logic_vector(4 downto 0); signal sel : std_logic := '1'; signal output : std_logic_vector(4 downto 0); begin -- TB UUT: entity work.mux5 port map(in0 => in0, in1 => in1, sel => sel, output => output); process variable temp : std_logic_vector(5 downto 0); begin for i in 0 to 63 loop in1 <= std_logic_vector(to_unsigned(i,5)); for j in 0 to 63 loop in0 <= std_logic_vector(to_unsigned(j,5)); sel<= not sel; wait for 10 ns; end loop; -- j end loop; -- i wait; report "SIMULATION FINISHED!"; wait; end process; end TB;
mit
7680c57aec77f95e6ffbb2c34105e8ea
0.590086
2.775132
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/lib/gaisler/spacewire/spacewire.vhd
1
31,638
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; library techmap; use techmap.gencomp.all; package spacewire is type grspw_in_type is record d : std_logic_vector(3 downto 0); dv : std_logic_vector(3 downto 0); s : std_logic_vector(1 downto 0); dconnect : std_logic_vector(3 downto 0); tickin : std_ulogic; tickinraw : std_ulogic; timein : std_logic_vector(7 downto 0); clkdiv10 : std_logic_vector(7 downto 0); rmapen : std_ulogic; rmapnodeaddr : std_logic_vector(7 downto 0); dcrstval : std_logic_vector(9 downto 0); timerrstval : std_logic_vector(11 downto 0); nd : std_logic_vector(9 downto 0); intpreload : std_logic_vector(30 downto 0); inttreload : std_logic_vector(30 downto 0); intiareload : std_logic_vector(30 downto 0); intcreload : std_logic_vector(30 downto 0); irqtxdefault : std_logic_vector(4 downto 0); pnpen : std_ulogic; pnpuvendid : std_logic_vector(15 downto 0); pnpuprodid : std_logic_vector(15 downto 0); pnpusn : std_logic_vector(31 downto 0); end record; type grspw_out_type is record d : std_logic_vector(3 downto 0); s : std_logic_vector(3 downto 0); tickout : std_ulogic; tickoutraw : std_ulogic; tickindone : std_ulogic; timeout : std_logic_vector(7 downto 0); linkdis : std_ulogic; rmapact : std_ulogic; rxdataout : std_logic_vector(8 downto 0); rxdav : std_ulogic; loopback : std_ulogic; rxrst : std_ulogic; end record; constant grspw_in_none : grspw_in_type := ((others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', (others => '0'), (others => '0'), (others => '0')); constant grspw_out_none : grspw_out_type := ((others => '0'), (others => '0'), '0', '0', '0', (others => '0'), '0', '0', (others => '0'), '0', '0', '0'); type grspw_codec_in_type is record --spw d : std_logic_vector(3 downto 0); dv : std_logic_vector(3 downto 0); dconnect : std_logic_vector(3 downto 0); --link fsm linkdisabled : std_ulogic; linkstart : std_ulogic; autostart : std_ulogic; portsel : std_ulogic; noportforce : std_ulogic; rdivisor : std_logic_vector(7 downto 0); idivisor : std_logic_vector(7 downto 0); --rx iface rxiread : std_ulogic; rxififorst : std_ulogic; --tx iface txiwrite : std_ulogic; txichar : std_logic_vector(8 downto 0); txififorst : std_ulogic; --time iface tickin : std_ulogic; timein : std_logic_vector(7 downto 0); -- input timing testing testd : std_logic_vector(1 downto 0); tests : std_logic_vector(1 downto 0); testinput : std_ulogic; end record; type grspw_codec_out_type is record --spw do : std_logic_vector(3 downto 0); so : std_logic_vector(3 downto 0); --link fsm state : std_logic_vector(2 downto 0); actport : std_ulogic; dconnecterr : std_ulogic; crederr : std_ulogic; escerr : std_ulogic; parerr : std_ulogic; --rx iface rxicharav : std_ulogic; rxicharcnt : std_logic_vector(11 downto 0); rxichar : std_logic_vector(8 downto 0); --tx iface txicharcnt : std_logic_vector(11 downto 0); txifull : std_ulogic; txiempty : std_ulogic; txififorst : std_ulogic; --time iface tickin_done : std_ulogic; tickin_busy : std_ulogic; tickout : std_ulogic; timeout : std_logic_vector(7 downto 0); --memory error merror : std_ulogic; --credit counters ocredcnt : std_logic_vector(5 downto 0); credcnt : std_logic_vector(5 downto 0); --misc powerdown : std_ulogic; powerdownrx : std_ulogic; --memory error, separate signals rxmerror : std_ulogic; txmerror : std_ulogic; end record; type grspw_dma_in_type is record --rx iface rxiread : std_ulogic; --tx iface txiwrite : std_ulogic; txichar : std_logic_vector(8 downto 0); txififorst : std_ulogic; --internal time iface itickin : std_ulogic; itimein : std_logic_vector(7 downto 0); --time iface tickin : std_ulogic; timein : std_logic_vector(7 downto 0); --rmap iface rmapen : std_ulogic; rmapnodeaddr : std_logic_vector(7 downto 0); --internal interrupt iface intdisc : std_ulogic; inttimeout : std_logic_vector(63 downto 0); -- configuration signals tcflagmode : std_ulogic; en64int : std_ulogic; inten : std_ulogic; end record; type grspw_dma_out_type is record --rx iface rxicharav : std_ulogic; rxichar : std_logic_vector(8 downto 0); --tx iface txicharcnt : std_logic_vector(11 downto 0); txifull : std_ulogic; txififorst : std_ulogic; --internal time iface itickout : std_ulogic; itimeout : std_logic_vector(7 downto 0); --time iface tickout : std_ulogic; timeout : std_logic_vector(7 downto 0); --memory error merror : std_ulogic; --memory error, separate signals rxmerror : std_ulogic; txmerror : std_ulogic; end record; type grspw_fifo_in_type is record enbridge : std_ulogic; --rx iface rxiread : std_ulogic; --tx iface txiwrite : std_ulogic; txichar : std_logic_vector(8 downto 0); txififorst : std_ulogic; --internal time iface itickin : std_ulogic; itimein : std_logic_vector(7 downto 0); --time iface tickin : std_ulogic; timein : std_logic_vector(7 downto 0); rmapen : std_ulogic; --external interface etxwrite : std_ulogic; etxchar : std_logic_vector(8 downto 0); erxread : std_ulogic; end record; type grspw_fifo_out_type is record --rx iface rxicharcnt : std_logic_vector(11 downto 0); rxicharav : std_ulogic; rxichar : std_logic_vector(8 downto 0); --tx iface txicharcnt : std_logic_vector(11 downto 0); txifull : std_ulogic; txififorst : std_ulogic; --internal time iface itickout : std_ulogic; itimeout : std_logic_vector(7 downto 0); --time iface tickout : std_ulogic; timeout : std_logic_vector(7 downto 0); --external interface erxcharav : std_ulogic; erxaempty : std_ulogic; etxfull : std_ulogic; etxafull : std_ulogic; erxchar : std_logic_vector(8 downto 0); --memory errors merror : std_ulogic; --memory error, separate signals rxmerror : std_ulogic; txmerror : std_ulogic; end record; type grspw_router_fifo_char_type is array (0 to 30) of std_logic_vector(8 downto 0); type grspw_router_time_type is array (0 to 30) of std_logic_vector(7 downto 0); type grspw_router_in_type is record rmapen : std_logic_vector(30 downto 0); idivisor : std_logic_vector(7 downto 0); txwrite : std_logic_vector(30 downto 0); txchar : grspw_router_fifo_char_type; rxread : std_logic_vector(30 downto 0); tickin : std_logic_vector(30 downto 0); timein : grspw_router_time_type; reload : std_logic_vector(31 downto 0); reloadn : std_logic_vector(31 downto 0); timeren : std_ulogic; timecodeen : std_ulogic; cfglock : std_ulogic; selfaddren : std_ulogic; linkstartreq : std_logic_vector(31 downto 1); autodconnect : std_logic_vector(31 downto 1); instanceid : std_logic_vector(7 downto 0); enbridge : std_logic_vector(30 downto 0); enexttime : std_logic_vector(30 downto 0); auxtickin : std_ulogic; auxtimeinen : std_ulogic; auxtimein : std_logic_vector(7 downto 0); irqtimeoutreload : std_logic_vector(31 downto 0); ahbso : ahb_slv_out_type; interruptcodeen : std_ulogic; pnpen : std_ulogic; timecodefilt : std_ulogic; interruptfwd : std_ulogic; spillifnrdy : std_logic_vector(31 downto 1); timecoderegen : std_ulogic; gpi : std_logic_vector(127 downto 0); staticrouteen : std_ulogic; spwclklock : std_ulogic; irqgenreload : std_logic_vector(31 downto 0); interruptmode : std_ulogic; -- input timing testing testd : std_logic_vector(61 downto 0); tests : std_logic_vector(61 downto 0); testinput : std_ulogic; end record; type grspw_router_out_type is record rxcharav : std_logic_vector(30 downto 0); rxaempty : std_logic_vector(30 downto 0); txfull : std_logic_vector(30 downto 0); txafull : std_logic_vector(30 downto 0); rxchar : grspw_router_fifo_char_type; auxtickout : std_ulogic; auxtimeout : std_logic_vector(7 downto 0); auxtickindone : std_ulogic; tickout : std_logic_vector(30 downto 0); timeout : grspw_router_time_type; gerror : std_ulogic; lerror : std_ulogic; merror : std_ulogic; linkrun : std_logic_vector(30 downto 0); porterr : std_logic_vector(31 downto 0); spwen : std_logic_vector(30 downto 0); ahbsi : ahb_slv_in_type; powerdown : std_logic_vector(30 downto 0); powerdownrx : std_logic_vector(30 downto 0); gpo : std_logic_vector(127 downto 0); end record; constant grspw_router_in_none : grspw_router_in_type := ((others => '0'), (others => '0'), (others => '0'), (others => (others => '0')), (others => '0'), (others => '0'), (others => (others => '0')), (others => '0'), (others => '0'), '0', '0', '0', '0', (others => '0') , (others => '0'), (others => '0'), (others => '0'), (others => '0'), '0', '0', (others => '0'), (others => '0'), ahbs_none, '0', '0', '0', '0', (others => '0'), '0', (others => '0'), '0', '1', (others => '0'), '0', (others => '0'), (others => '0'), '0'); constant grspw_router_out_none : grspw_router_out_type := ((others => '0'), (others => '0'), (others => '0'), (others => '0'), (others => (others => '0')), '0', (others => '0'), '0', (others => '0'), (others => (others => '0')), '0', '0', '0', (others => '0'), (others => '0'), (others => '0'), ahbs_in_none, (others => '0'), (others => '0'), (others => '0')); type spw_ahb_mst_out_vector is array (natural range <>) of ahb_mst_out_type; type spw_ahb_mst_in_vector is array (natural range <>) of ahb_mst_in_type; type spw_apb_slv_out_vector is array (natural range <>) of apb_slv_out_type; component grspw_phy is generic( tech : integer := 0; rxclkbuftype : integer range 0 to 2 := 0; scantest : integer range 0 to 1 := 0 ); port( rxrst : in std_ulogic; di : in std_ulogic; si : in std_ulogic; rxclko : out std_ulogic; do : out std_ulogic; ndo : out std_logic_vector(4 downto 0); dconnect : out std_logic_vector(1 downto 0); testen : in std_ulogic := '0'; testclk : in std_ulogic := '0' ); end component; component grspw2_phy is generic( scantest : integer; tech : integer; input_type : integer; input_level : integer := 0; input_voltage : integer := x33v; rxclkbuftype : integer range 0 to 2 := 0 ); port( rstn : in std_ulogic; rxclki : in std_ulogic; rxclkin : in std_ulogic; nrxclki : in std_ulogic; di : in std_ulogic; si : in std_ulogic; --used as df when input_type=3 do : out std_logic_vector(1 downto 0); dov : out std_logic_vector(1 downto 0); dconnect : out std_logic_vector(1 downto 0); rxclko : out std_ulogic; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0'; -- input timing testing testdo : out std_logic_vector(1 downto 0); testso : out std_logic_vector(1 downto 0) ); end component; component grspw2 is generic( tech : integer range 0 to NTECH := inferred; hindex : integer range 0 to NAHBMST-1 := 0; pindex : integer range 0 to NAPBSLV-1 := 0; paddr : integer range 0 to 16#FFF# := 0; pmask : integer range 0 to 16#FFF# := 16#FFF#; pirq : integer range 0 to NAHBIRQ-1 := 0; rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 64 := 32; fifosize2 : integer range 16 to 64 := 64; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; ft : integer range 0 to 2 := 0; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 1 := 1; ports : integer range 1 to 2 := 1; dmachan : integer range 1 to 4 := 1; memtech : integer range 0 to NTECH := DEFMEMTECH; input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; netlist : integer range 0 to 1 := 0; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0; interruptdist : integer range 0 to 32 := 0; intscalerbits : integer range 0 to 31 := 0; intisrtimerbits : integer range 0 to 31 := 0; intiatimerbits : integer range 0 to 31 := 0; intctimerbits : integer range 0 to 31 := 0; tickinasync : integer range 0 to 1 := 0; pnp : integer range 0 to 2 := 0; pnpvendid : integer range 0 to 16#FFFF# := 0; pnpprodid : integer range 0 to 16#FFFF# := 0; pnpmajorver : integer range 0 to 16#FF# := 0; pnpminorver : integer range 0 to 16#FF# := 0; pnppatch : integer range 0 to 16#FF# := 0; num_txdesc : integer range 64 to 512 := 64; num_rxdesc : integer range 128 to 1024 := 128 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; swni : in grspw_in_type; swno : out grspw_out_type ); end component; component grspw is generic( tech : integer range 0 to NTECH := DEFFABTECH; hindex : integer range 0 to NAHBMST-1 := 0; pindex : integer range 0 to NAPBSLV-1 := 0; paddr : integer range 0 to 16#FFF# := 0; pmask : integer range 0 to 16#FFF# := 16#FFF#; pirq : integer range 0 to NAHBIRQ-1 := 0; sysfreq : integer := 10000; usegen : integer range 0 to 1 := 1; nsync : integer range 1 to 2 := 1; rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 32 := 32; fifosize2 : integer range 16 to 64 := 64; rxclkbuftype : integer range 0 to 2 := 0; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; ft : integer range 0 to 2 := 0; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 1 := 1; netlist : integer range 0 to 1 := 0; ports : integer range 1 to 2 := 1; memtech : integer range 0 to NTECH := DEFMEMTECH; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk : in std_logic_vector(1 downto 0); txclk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; swni : in grspw_in_type; swno : out grspw_out_type); end component; type grspw_in_type_vector is array (natural range <>) of grspw_in_type; type grspw_out_type_vector is array (natural range <>) of grspw_out_type; component grspwm is generic( tech : integer range 0 to NTECH := DEFFABTECH; hindex : integer range 0 to NAHBMST-1 := 0; pindex : integer range 0 to NAPBSLV-1 := 0; paddr : integer range 0 to 16#FFF# := 0; pmask : integer range 0 to 16#FFF# := 16#FFF#; pirq : integer range 0 to NAHBIRQ-1 := 0; sysfreq : integer := 10000; -- spw1 usegen : integer range 0 to 1 := 1; -- spw1 nsync : integer range 1 to 2 := 1; rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 64 := 32; fifosize2 : integer range 16 to 64 := 64; rxclkbuftype : integer range 0 to 2 := 0; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; ft : integer range 0 to 2 := 0; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 1 := 1; netlist : integer range 0 to 1 := 0; -- spw1 ports : integer range 1 to 2 := 1; dmachan : integer range 1 to 4 := 1; -- spw2 memtech : integer range 0 to NTECH := DEFMEMTECH; spwcore : integer range 1 to 2 := 2; input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; nodeaddr : integer range 0 to 255 := 254; destkey : integer range 0 to 255 := 0; interruptdist : integer range 0 to 32 := 0; -- spw2 intscalerbits : integer range 0 to 31 := 0; -- spw2 intisrtimerbits : integer range 0 to 31 := 0; -- spw2 intiatimerbits : integer range 0 to 31 := 0; -- spw2 intctimerbits : integer range 0 to 31 := 0; -- spw2 tickinasync : integer range 0 to 2 := 0; -- spw2 pnp : integer range 0 to 2 := 0; -- spw2 pnpvendid : integer range 0 to 16#FFF# := 0; -- spw2 pnpprodid : integer range 0 to 16#FFF# := 0; -- spw2 pnpmajorver : integer range 0 to 16#FF# := 0; -- spw2 pnpminorver : integer range 0 to 16#FF# := 0; -- spw2 pnppatch : integer range 0 to 16#FF# := 0; -- spw2 num_txdesc : integer range 64 to 512 := 64; -- spw2 num_rxdesc : integer range 128 to 1024 := 128 -- spw2 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; swni : in grspw_in_type; swno : out grspw_out_type ); end component; component grspw_codec is generic( ports : integer range 1 to 2 := 1; input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; fifosize : integer range 16 to 2048 := 64; tech : integer; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 1 := 0; ft : integer range 0 to 2 := 0; inputtest : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; lii : in grspw_codec_in_type; lio : out grspw_codec_out_type; testin : in std_logic_vector(testin_width-1 downto 0) := testin_none ); end component; component grspw_codec_clockgate is generic( tech : integer; scantest : integer range 0 to 1 := 0; ports : integer range 1 to 2 := 1; output_type : integer range 0 to 2 := 0; clkgate : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk0 : in std_ulogic; rxclk1 : in std_ulogic; txclk : in std_ulogic; txclkn : in std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; disableclk : in std_ulogic; disablerxclk0 : in std_ulogic; disablerxclk1 : in std_ulogic; disabletxclk : in std_ulogic; disabletxclkn : in std_ulogic; gclk : out std_ulogic; grxclk0 : out std_ulogic; grxclk1 : out std_ulogic; gtxclk : out std_ulogic; gtxclkn : out std_ulogic; grst : out std_ulogic ); end component; component grspwrouter is generic( input_type : integer range 0 to 4 := 0; output_type : integer range 0 to 2 := 0; rxtx_sameclk : integer range 0 to 1 := 0; fifosize : integer range 16 to 2048 := 64; tech : integer; scantest : integer range 0 to 1 := 0; techfifo : integer range 0 to 255 := 0; ft : integer range 0 to 2 := 0; spwen : integer range 0 to 1 := 1; ambaen : integer range 0 to 1 := 0; fifoen : integer range 0 to 1 := 0; spwports : integer range 0 to 31 := 2; ambaports : integer range 0 to 16 := 0; fifoports : integer range 0 to 31 := 0; arbitration : integer range 0 to 1 := 0; --0=rrobin, 1=priority rmap : integer range 0 to 16#FFFF# := 0; rmapcrc : integer range 0 to 16#FFFF# := 0; fifosize2 : integer range 4 to 32 := 32; almostsize : integer range 1 to 32 := 8; rxunaligned : integer range 0 to 16#FFFF# := 0; rmapbufs : integer range 2 to 8 := 4; dmachan : integer range 1 to 4 := 1; hindex : integer range 0 to NAHBMST-1 := 0; pindex : integer range 0 to NAPBSLV-1 := 0; paddr : integer range 0 to 16#FFF# := 0; pmask : integer range 0 to 16#FFF# := 16#FFF#; pirq : integer range 0 to NAHBIRQ-1 := 0; cfghindex : integer range 0 to NAHBSLV-1 := 0; cfghaddr : integer range 0 to 16#FFF# := 0; cfghmask : integer range 0 to 16#FFF# := 16#FF0#; ahbslven : integer range 0 to 1 := 0; timerbits : integer range 0 to 31 := 0; pnp : integer range 0 to 2 := 0; autoscrub : integer range 0 to 1 := 0; sim : integer range 0 to 1 := 0; dualport : integer range 0 to 1 := 0; charcntbits : integer range 0 to 32 := 0; pktcntbits : integer range 0 to 32 := 0; prescalermin : integer := 250; spacewired : integer range 0 to 1 := 0; interruptdist : integer range 0 to 2 := 0; apbctrl : integer range 0 to 1 := 0; rmapmaxsize : integer range 4 to 512 := 4; gpolbits : integer range 0 to 128 := 0; gpopbits : integer range 0 to 128 := 0; gpibits : integer range 0 to 128 := 0; customport : integer range 0 to 1 := 0; codecclkgate : integer range 0 to 1 := 0; inputtest : integer range 0 to 1 := 0; spwpnpvendid : integer range 0 to 16#FFFF# := 0; spwpnpprodid : integer range 0 to 16#FFFF# := 0; porttimerbits : integer range 1 to 32 := 10; irqtimerbits : integer range 1 to 32 := 10; auxtimeen : integer range 0 to 1 := 1; num_txdesc : integer range 64 to 512 := 64; num_rxdesc : integer range 128 to 1024 := 128; auxasync : integer range 0 to 1 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; rxclk : in std_logic_vector(spwports*(1+dualport)-spwen downto 0); txclk : in std_ulogic; txclkn : in std_ulogic; testen : in std_ulogic; testrst : in std_ulogic; scanen : in std_ulogic; testoen : in std_ulogic; di : in std_logic_vector(spwports*(2+2*dualport)-spwen downto 0); dvi : in std_logic_vector(spwports*(2+2*dualport)-spwen downto 0); dconnect : in std_logic_vector(spwports*(2+2*dualport)-spwen downto 0); do : out std_logic_vector(spwports*(2+2*dualport)-spwen downto 0); so : out std_logic_vector(spwports*(2+2*dualport)-spwen downto 0); ahbmi : in spw_ahb_mst_in_vector(0 to ambaports*ambaen-ambaen); ahbmo : out spw_ahb_mst_out_vector(0 to ambaports*ambaen-ambaen); apbi : in apb_slv_in_type; apbo : out spw_apb_slv_out_vector(0 to ambaports*ambaen-ambaen); ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type; ri : in grspw_router_in_type; ro : out grspw_router_out_type ); end component grspwrouter; component grspw2_dma is generic( hindex : integer range 0 to NAHBMST-1 := 0; pindex : integer range 0 to NAPBSLV-1:= 0; pirq : integer range 0 to NAHBIRQ-1 := 0; paddr : integer range 0 to 16#FFF# := 0; pmask : integer range 0 to 16#FFF# := 16#FFF#; rmap : integer range 0 to 2 := 0; rmapcrc : integer range 0 to 1 := 0; fifosize1 : integer range 4 to 32 := 32; fifosize2 : integer range 16 to 2048 := 64; rxunaligned : integer range 0 to 1 := 0; rmapbufs : integer range 2 to 8 := 4; scantest : integer range 0 to 1 := 0; dmachan : integer range 1 to 4 := 1; tech : integer range 0 to NTECH := inferred; techfifo : integer range 0 to 7 := 1; ft : integer range 0 to 2 := 0; nodeaddr : integer range 0 to 255 := 254; num_txdesc : integer range 64 to 512 := 64; num_rxdesc : integer range 128 to 1024 := 128; interruptdist : integer range 0 to 2 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; --ahb mst ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; --apb apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; --link lii : in grspw_dma_in_type; lio : out grspw_dma_out_type; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0'; testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none ); end component; component grspw2_fifo is generic( fifosize : integer range 16 to 2048 := 64; almostsize : integer range 1 to 32 := 8; scantest : integer range 0 to 1 := 0; tech : integer range 0 to NTECH := inferred; techfifo : integer range 0 to 1 := 1; ft : integer range 0 to 2 := 0 ); port( rst : in std_ulogic; clk : in std_ulogic; --link lii : in grspw_fifo_in_type; lio : out grspw_fifo_out_type; testrst : in std_ulogic := '0'; testen : in std_ulogic := '0'; testin : in std_logic_vector(testin_width-1 downto 0) := testin_none ); end component; type grspw_router_fifo_in_type is record txwrite : std_ulogic; txchar : std_logic_vector(8 downto 0); rxread : std_ulogic; tickin : std_ulogic; timein : std_logic_vector(7 downto 0); enbridge : std_ulogic; enexttime : std_ulogic; end record; type grspw_router_fifo_out_type is record rxcharav : std_ulogic; rxaempty : std_ulogic; txfull : std_ulogic; txafull : std_ulogic; rxchar : std_logic_vector(8 downto 0); tickout : std_ulogic; timeout : std_logic_vector(7 downto 0); end record; component grspw2_sist is generic( pindex : integer range 0 to NAPBSLV-1:= 0; pirq : integer range 0 to NAHBIRQ-1 := 0; paddr : integer range 0 to 16#FFF# := 0; pmask : integer range 0 to 16#FFF# := 16#FFF#); port( rstn : in std_ulogic; clk : in std_ulogic; enable : in std_ulogic; -- amba apb apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; -- fifo i/f fifoi : in grspw_router_fifo_out_type; fifoo : out grspw_router_fifo_in_type); end component; end package;
gpl-2.0
5fa80ea174fd96ceccd70328a9748b9d
0.531702
3.645351
false
true
false
false
skrasser/papilio_synth
hdl/synth.vhd
1
3,034
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity synth is port (audio : out STD_LOGIC; led : out STD_LOGIC_VECTOR(7 downto 0); switch : in STD_LOGIC_VECTOR(7 downto 0); clk : in STD_LOGIC ); end synth; architecture behavioral of synth is component dac port (pulse : out STD_LOGIC; data : in STD_LOGIC_VECTOR(7 downto 0); clk : in STD_LOGIC ); end component; component oscillator port (data : out STD_LOGIC_VECTOR(7 downto 0); freq : in STD_LOGIC_VECTOR(15 downto 0); waveform : in STD_LOGIC; clk : in STD_LOGIC ); end component; component envelope port (data_in : in STD_LOGIC_VECTOR(7 downto 0); data_out : out STD_LOGIC_VECTOR(7 downto 0); attack : in STD_LOGIC_VECTOR(3 downto 0); -- attack rate delay : in STD_LOGIC_VECTOR(3 downto 0); -- delay rate sustain : in STD_LOGIC_VECTOR(3 downto 0); -- sustain level release : in STD_LOGIC_VECTOR(3 downto 0); -- release rate gate : in STD_LOGIC; clk : in STD_LOGIC ); end component; signal data : STD_LOGIC_VECTOR(7 downto 0); signal vol : STD_LOGIC_VECTOR(7 downto 0); signal rdata1 : STD_LOGIC_VECTOR(7 downto 0); signal data1 : STD_LOGIC_VECTOR(7 downto 0); signal freq1 : STD_LOGIC_VECTOR(15 downto 0) := x"1d1e"; signal rdata2 : STD_LOGIC_VECTOR(7 downto 0); signal data2 : STD_LOGIC_VECTOR(7 downto 0); signal freq2 : STD_LOGIC_VECTOR(15 downto 0) := x"1150"; signal waveform : STD_LOGIC_VECTOR(2 downto 1); signal gate : STD_LOGIC_VECTOR(2 downto 1); signal at1 : std_logic_vector(3 downto 0) := x"8"; signal de1 : std_logic_vector(3 downto 0) := x"8"; signal su1 : std_logic_vector(3 downto 0) := x"d"; signal re1 : std_logic_vector(3 downto 0) := x"a"; signal at2 : std_logic_vector(3 downto 0) := x"6"; signal de2 : std_logic_vector(3 downto 0) := x"3"; signal su2 : std_logic_vector(3 downto 0) := x"9"; signal re2 : std_logic_vector(3 downto 0) := x"9"; begin dac1: dac port map (audio, data, clk); osc1: oscillator port map (rdata1, freq1, waveform(1), clk); adsr1: envelope port map (rdata1, data1, at1, de1, su1, re1, gate(1), clk); osc2: oscillator port map (rdata2, freq2, waveform(2), clk); adsr2: envelope port map (rdata2, data2, at2, de2, su2, re2, gate(2), clk); vol <= switch(7 downto 4) & "0000"; waveform <= switch (1 downto 0); gate <= switch (3 downto 2); process(clk) variable mixed : STD_LOGIC_VECTOR(8 downto 0); variable scled : STD_LOGIC_VECTOR(15 downto 0); begin if rising_edge(clk) then mixed := std_logic_vector(unsigned('0' & data1) + unsigned(data2)); scled := std_logic_vector(unsigned(mixed(8 downto 1)) * unsigned(vol)); data <= scled(15 downto 8); end if; end process; led <= data; end behavioral;
mit
c3e98e8b94d6f1ae58ad40381259ec4b
0.604483
3.203801
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/designs/leon3-xilinx-ml50x/ahbrom.vhd
15
5,978
---------------------------------------------------------------------------- -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2004 GAISLER RESEARCH -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- See the file COPYING for the full details of the license. -- ----------------------------------------------------------------------------- -- Entity: ahbrom -- File: ahbrom.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: AHB rom. 0/1-waitstate read ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; use grlib.devices.all; entity ahbrom is generic ( hindex : integer := 0; haddr : integer := 0; hmask : integer := 16#fff#; pipe : integer := 0; tech : integer := 0; kbytes : integer := 1); port ( rst : in std_ulogic; clk : in std_ulogic; ahbsi : in ahb_slv_in_type; ahbso : out ahb_slv_out_type ); end; architecture rtl of ahbrom is constant abits : integer := 9; constant bytes : integer := 272; constant hconfig : ahb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBROM, 0, 0, 0), 4 => ahb_membar(haddr, '1', '1', hmask), others => zero32); signal romdata : std_logic_vector(31 downto 0); signal addr : std_logic_vector(abits-1 downto 2); signal hsel, hready : std_ulogic; begin ahbso.hresp <= "00"; ahbso.hsplit <= (others => '0'); ahbso.hirq <= (others => '0'); ahbso.hconfig <= hconfig; ahbso.hindex <= hindex; reg : process (clk) begin if rising_edge(clk) then addr <= ahbsi.haddr(abits-1 downto 2); end if; end process; p0 : if pipe = 0 generate ahbso.hrdata <= ahbdrivedata(romdata); ahbso.hready <= '1'; end generate; p1 : if pipe = 1 generate reg2 : process (clk) begin if rising_edge(clk) then hsel <= ahbsi.hsel(hindex) and ahbsi.htrans(1); hready <= ahbsi.hready; ahbso.hready <= (not rst) or (hsel and hready) or (ahbsi.hsel(hindex) and not ahbsi.htrans(1) and ahbsi.hready); ahbso.hrdata <= ahbdrivedata(romdata); end if; end process; end generate; comb : process (addr) begin case conv_integer(addr) is when 16#00000# => romdata <= X"81D82000"; when 16#00001# => romdata <= X"03000004"; when 16#00002# => romdata <= X"821060C0"; when 16#00003# => romdata <= X"81884000"; when 16#00004# => romdata <= X"81900000"; when 16#00005# => romdata <= X"81980000"; when 16#00006# => romdata <= X"81800000"; when 16#00007# => romdata <= X"01000000"; when 16#00008# => romdata <= X"03000040"; when 16#00009# => romdata <= X"8210600F"; when 16#0000A# => romdata <= X"C2A00040"; when 16#0000B# => romdata <= X"87444000"; when 16#0000C# => romdata <= X"8608E01F"; when 16#0000D# => romdata <= X"88100000"; when 16#0000E# => romdata <= X"8A100000"; when 16#0000F# => romdata <= X"8C100000"; when 16#00010# => romdata <= X"8E100000"; when 16#00011# => romdata <= X"A0100000"; when 16#00012# => romdata <= X"A2100000"; when 16#00013# => romdata <= X"A4100000"; when 16#00014# => romdata <= X"A6100000"; when 16#00015# => romdata <= X"A8100000"; when 16#00016# => romdata <= X"AA100000"; when 16#00017# => romdata <= X"AC100000"; when 16#00018# => romdata <= X"AE100000"; when 16#00019# => romdata <= X"90100000"; when 16#0001A# => romdata <= X"92100000"; when 16#0001B# => romdata <= X"94100000"; when 16#0001C# => romdata <= X"96100000"; when 16#0001D# => romdata <= X"98100000"; when 16#0001E# => romdata <= X"9A100000"; when 16#0001F# => romdata <= X"9C100000"; when 16#00020# => romdata <= X"9E100000"; when 16#00021# => romdata <= X"86A0E001"; when 16#00022# => romdata <= X"16BFFFEF"; when 16#00023# => romdata <= X"81E00000"; when 16#00024# => romdata <= X"82102002"; when 16#00025# => romdata <= X"81904000"; when 16#00026# => romdata <= X"03000004"; when 16#00027# => romdata <= X"821060E0"; when 16#00028# => romdata <= X"81884000"; when 16#00029# => romdata <= X"01000000"; when 16#0002A# => romdata <= X"01000000"; when 16#0002B# => romdata <= X"01000000"; when 16#0002C# => romdata <= X"87444000"; when 16#0002D# => romdata <= X"8730E01C"; when 16#0002E# => romdata <= X"8688E00F"; when 16#0002F# => romdata <= X"12800006"; when 16#00030# => romdata <= X"033FFC00"; when 16#00031# => romdata <= X"82106100"; when 16#00032# => romdata <= X"0539A81B"; when 16#00033# => romdata <= X"8410A260"; when 16#00034# => romdata <= X"C4204000"; when 16#00035# => romdata <= X"3D1003FF"; when 16#00036# => romdata <= X"BC17A3E0"; when 16#00037# => romdata <= X"9C27A060"; when 16#00038# => romdata <= X"03100000"; when 16#00039# => romdata <= X"81C04000"; when 16#0003A# => romdata <= X"01000000"; when 16#0003B# => romdata <= X"01000000"; when 16#0003C# => romdata <= X"01000000"; when 16#0003D# => romdata <= X"01000000"; when 16#0003E# => romdata <= X"01000000"; when 16#0003F# => romdata <= X"01000000"; when 16#00040# => romdata <= X"00000000"; when 16#00041# => romdata <= X"00000000"; when 16#00042# => romdata <= X"00000000"; when 16#00043# => romdata <= X"00000000"; when 16#00044# => romdata <= X"00000000"; when others => romdata <= (others => '-'); end case; end process; -- pragma translate_off bootmsg : report_version generic map ("ahbrom" & tost(hindex) & ": 32-bit AHB ROM Module, " & tost(bytes/4) & " words, " & tost(abits-2) & " address bits" ); -- pragma translate_on end;
gpl-2.0
403255b0bb5ebead172f17fa80959847
0.585146
3.394662
false
false
false
false
elkhadiy/xph-leons
grlib-gpl-1.4.1-b4156/boards/altera-c5ekit/lpddr2ctrl1.vhd
3
40,037
-- megafunction wizard: %LPDDR2 SDRAM Controller with UniPHY v13.0% -- GENERATION: XML -- lpddr2ctrl1.vhd -- Generated using ACDS version 13.0sp1 232 at 2013.09.05.17:05:47 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity lpddr2ctrl1 is port ( pll_ref_clk : in std_logic := '0'; -- pll_ref_clk.clk global_reset_n : in std_logic := '0'; -- global_reset.reset_n soft_reset_n : in std_logic := '0'; -- soft_reset.reset_n afi_clk : out std_logic; -- afi_clk.clk afi_half_clk : out std_logic; -- afi_half_clk.clk afi_reset_n : out std_logic; -- afi_reset.reset_n afi_reset_export_n : out std_logic; -- afi_reset_export.reset_n mem_ca : out std_logic_vector(9 downto 0); -- memory.mem_ca mem_ck : out std_logic_vector(0 downto 0); -- .mem_ck mem_ck_n : out std_logic_vector(0 downto 0); -- .mem_ck_n mem_cke : out std_logic_vector(0 downto 0); -- .mem_cke mem_cs_n : out std_logic_vector(0 downto 0); -- .mem_cs_n mem_dm : out std_logic_vector(1 downto 0); -- .mem_dm mem_dq : inout std_logic_vector(15 downto 0) := (others => '0'); -- .mem_dq mem_dqs : inout std_logic_vector(1 downto 0) := (others => '0'); -- .mem_dqs mem_dqs_n : inout std_logic_vector(1 downto 0) := (others => '0'); -- .mem_dqs_n avl_ready : out std_logic; -- avl.waitrequest_n avl_burstbegin : in std_logic := '0'; -- .beginbursttransfer avl_addr : in std_logic_vector(24 downto 0) := (others => '0'); -- .address avl_rdata_valid : out std_logic; -- .readdatavalid avl_rdata : out std_logic_vector(63 downto 0); -- .readdata avl_wdata : in std_logic_vector(63 downto 0) := (others => '0'); -- .writedata avl_be : in std_logic_vector(7 downto 0) := (others => '0'); -- .byteenable avl_read_req : in std_logic := '0'; -- .read avl_write_req : in std_logic := '0'; -- .write avl_size : in std_logic_vector(2 downto 0) := (others => '0'); -- .burstcount local_init_done : out std_logic; -- status.local_init_done local_cal_success : out std_logic; -- .local_cal_success local_cal_fail : out std_logic; -- .local_cal_fail oct_rzqin : in std_logic := '0'; -- oct.rzqin pll_mem_clk : out std_logic; -- pll_sharing.pll_mem_clk pll_write_clk : out std_logic; -- .pll_write_clk pll_write_clk_pre_phy_clk : out std_logic; -- .pll_write_clk_pre_phy_clk pll_addr_cmd_clk : out std_logic; -- .pll_addr_cmd_clk pll_locked : out std_logic; -- .pll_locked pll_avl_clk : out std_logic; -- .pll_avl_clk pll_config_clk : out std_logic; -- .pll_config_clk pll_mem_phy_clk : out std_logic; -- .pll_mem_phy_clk afi_phy_clk : out std_logic; -- .afi_phy_clk pll_avl_phy_clk : out std_logic -- .pll_avl_phy_clk ); end entity lpddr2ctrl1; architecture rtl of lpddr2ctrl1 is component lpddr2ctrl1_0002 is port ( pll_ref_clk : in std_logic := 'X'; -- clk global_reset_n : in std_logic := 'X'; -- reset_n soft_reset_n : in std_logic := 'X'; -- reset_n afi_clk : out std_logic; -- clk afi_half_clk : out std_logic; -- clk afi_reset_n : out std_logic; -- reset_n afi_reset_export_n : out std_logic; -- reset_n mem_ca : out std_logic_vector(9 downto 0); -- mem_ca mem_ck : out std_logic_vector(0 downto 0); -- mem_ck mem_ck_n : out std_logic_vector(0 downto 0); -- mem_ck_n mem_cke : out std_logic_vector(0 downto 0); -- mem_cke mem_cs_n : out std_logic_vector(0 downto 0); -- mem_cs_n mem_dm : out std_logic_vector(1 downto 0); -- mem_dm mem_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- mem_dq mem_dqs : inout std_logic_vector(1 downto 0) := (others => 'X'); -- mem_dqs mem_dqs_n : inout std_logic_vector(1 downto 0) := (others => 'X'); -- mem_dqs_n avl_ready : out std_logic; -- waitrequest_n avl_burstbegin : in std_logic := 'X'; -- beginbursttransfer avl_addr : in std_logic_vector(24 downto 0) := (others => 'X'); -- address avl_rdata_valid : out std_logic; -- readdatavalid avl_rdata : out std_logic_vector(63 downto 0); -- readdata avl_wdata : in std_logic_vector(63 downto 0) := (others => 'X'); -- writedata avl_be : in std_logic_vector(7 downto 0) := (others => 'X'); -- byteenable avl_read_req : in std_logic := 'X'; -- read avl_write_req : in std_logic := 'X'; -- write avl_size : in std_logic_vector(2 downto 0) := (others => 'X'); -- burstcount local_init_done : out std_logic; -- local_init_done local_cal_success : out std_logic; -- local_cal_success local_cal_fail : out std_logic; -- local_cal_fail oct_rzqin : in std_logic := 'X'; -- rzqin pll_mem_clk : out std_logic; -- pll_mem_clk pll_write_clk : out std_logic; -- pll_write_clk pll_write_clk_pre_phy_clk : out std_logic; -- pll_write_clk_pre_phy_clk pll_addr_cmd_clk : out std_logic; -- pll_addr_cmd_clk pll_locked : out std_logic; -- pll_locked pll_avl_clk : out std_logic; -- pll_avl_clk pll_config_clk : out std_logic; -- pll_config_clk pll_mem_phy_clk : out std_logic; -- pll_mem_phy_clk afi_phy_clk : out std_logic; -- afi_phy_clk pll_avl_phy_clk : out std_logic -- pll_avl_phy_clk ); end component lpddr2ctrl1_0002; begin lpddr2ctrl1_inst : component lpddr2ctrl1_0002 port map ( pll_ref_clk => pll_ref_clk, -- pll_ref_clk.clk global_reset_n => global_reset_n, -- global_reset.reset_n soft_reset_n => soft_reset_n, -- soft_reset.reset_n afi_clk => afi_clk, -- afi_clk.clk afi_half_clk => afi_half_clk, -- afi_half_clk.clk afi_reset_n => afi_reset_n, -- afi_reset.reset_n afi_reset_export_n => afi_reset_export_n, -- afi_reset_export.reset_n mem_ca => mem_ca, -- memory.mem_ca mem_ck => mem_ck, -- .mem_ck mem_ck_n => mem_ck_n, -- .mem_ck_n mem_cke => mem_cke, -- .mem_cke mem_cs_n => mem_cs_n, -- .mem_cs_n mem_dm => mem_dm, -- .mem_dm mem_dq => mem_dq, -- .mem_dq mem_dqs => mem_dqs, -- .mem_dqs mem_dqs_n => mem_dqs_n, -- .mem_dqs_n avl_ready => avl_ready, -- avl.waitrequest_n avl_burstbegin => avl_burstbegin, -- .beginbursttransfer avl_addr => avl_addr, -- .address avl_rdata_valid => avl_rdata_valid, -- .readdatavalid avl_rdata => avl_rdata, -- .readdata avl_wdata => avl_wdata, -- .writedata avl_be => avl_be, -- .byteenable avl_read_req => avl_read_req, -- .read avl_write_req => avl_write_req, -- .write avl_size => avl_size, -- .burstcount local_init_done => local_init_done, -- status.local_init_done local_cal_success => local_cal_success, -- .local_cal_success local_cal_fail => local_cal_fail, -- .local_cal_fail oct_rzqin => oct_rzqin, -- oct.rzqin pll_mem_clk => pll_mem_clk, -- pll_sharing.pll_mem_clk pll_write_clk => pll_write_clk, -- .pll_write_clk pll_write_clk_pre_phy_clk => pll_write_clk_pre_phy_clk, -- .pll_write_clk_pre_phy_clk pll_addr_cmd_clk => pll_addr_cmd_clk, -- .pll_addr_cmd_clk pll_locked => pll_locked, -- .pll_locked pll_avl_clk => pll_avl_clk, -- .pll_avl_clk pll_config_clk => pll_config_clk, -- .pll_config_clk pll_mem_phy_clk => pll_mem_phy_clk, -- .pll_mem_phy_clk afi_phy_clk => afi_phy_clk, -- .afi_phy_clk pll_avl_phy_clk => pll_avl_phy_clk -- .pll_avl_phy_clk ); end architecture rtl; -- of lpddr2ctrl1 -- Retrieval info: <?xml version="1.0"?> --<!-- -- Generated by Altera MegaWizard Launcher Utility version 1.0 -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- ************************************************************ -- Copyright (C) 1991-2013 Altera Corporation -- Any megafunction design, and related net list (encrypted or decrypted), -- support information, device programming or simulation file, and any other -- associated documentation or information provided by Altera or a partner -- under Altera's Megafunction Partnership Program may be used only to -- program PLD devices (but not masked PLD devices) from Altera. Any other -- use of such megafunction design, net list, support information, device -- programming or simulation file, or any other related documentation or -- information is prohibited for any other purpose, including, but not -- limited to modification, reverse engineering, de-compiling, or use with -- any other silicon devices, unless such use is explicitly licensed under -- a separate agreement with Altera or a megafunction partner. Title to -- the intellectual property, including patents, copyrights, trademarks, -- trade secrets, or maskworks, embodied in any such megafunction design, -- net list, support information, device programming or simulation file, or -- any other related documentation or information provided by Altera or a -- megafunction partner, remains with Altera, the megafunction partner, or -- their respective licensors. No other licenses, including any licenses -- needed under any third party's intellectual property, are provided herein. ----> -- Retrieval info: <instance entity-name="altera_mem_if_lpddr2_emif" version="13.0" > -- Retrieval info: <generic name="MEM_VENDOR" value="Micron" /> -- Retrieval info: <generic name="MEM_FORMAT" value="DISCRETE" /> -- Retrieval info: <generic name="DISCRETE_FLY_BY" value="true" /> -- Retrieval info: <generic name="DEVICE_DEPTH" value="1" /> -- Retrieval info: <generic name="MEM_MIRROR_ADDRESSING" value="0" /> -- Retrieval info: <generic name="MEM_CLK_FREQ_MAX" value="400.0" /> -- Retrieval info: <generic name="MEM_ROW_ADDR_WIDTH" value="14" /> -- Retrieval info: <generic name="MEM_COL_ADDR_WIDTH" value="10" /> -- Retrieval info: <generic name="MEM_DQ_WIDTH" value="16" /> -- Retrieval info: <generic name="MEM_DQ_PER_DQS" value="8" /> -- Retrieval info: <generic name="MEM_BANKADDR_WIDTH" value="3" /> -- Retrieval info: <generic name="MEM_IF_DM_PINS_EN" value="true" /> -- Retrieval info: <generic name="MEM_IF_DQSN_EN" value="true" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_DIMMS" value="1" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_RANKS_PER_DIMM" value="1" /> -- Retrieval info: <generic name="MEM_NUMBER_OF_RANKS_PER_DEVICE" value="1" /> -- Retrieval info: <generic name="MEM_RANK_MULTIPLICATION_FACTOR" value="1" /> -- Retrieval info: <generic name="MEM_CK_WIDTH" value="1" /> -- Retrieval info: <generic name="MEM_CS_WIDTH" value="1" /> -- Retrieval info: <generic name="MEM_CLK_EN_WIDTH" value="1" /> -- Retrieval info: <generic name="ALTMEMPHY_COMPATIBLE_MODE" value="false" /> -- Retrieval info: <generic name="NEXTGEN" value="true" /> -- Retrieval info: <generic name="MEM_IF_BOARD_BASE_DELAY" value="10" /> -- Retrieval info: <generic name="MEM_IF_SIM_VALID_WINDOW" value="0" /> -- Retrieval info: <generic name="MEM_GUARANTEED_WRITE_INIT" value="false" /> -- Retrieval info: <generic name="MEM_VERBOSE" value="true" /> -- Retrieval info: <generic name="PINGPONGPHY_EN" value="false" /> -- Retrieval info: <generic name="REFRESH_BURST_VALIDATION" value="false" /> -- Retrieval info: <generic name="MEM_BL" value="8" /> -- Retrieval info: <generic name="MEM_BT" value="Sequential" /> -- Retrieval info: <generic name="MEM_DRV_STR" value="40" /> -- Retrieval info: <generic name="MEM_DLL_EN" value="true" /> -- Retrieval info: <generic name="MEM_ATCL" value="0" /> -- Retrieval info: <generic name="MEM_TCL" value="7" /> -- Retrieval info: <generic name="MEM_AUTO_LEVELING_MODE" value="true" /> -- Retrieval info: <generic name="MEM_USER_LEVELING_MODE" value="Leveling" /> -- Retrieval info: <generic name="MEM_INIT_EN" value="false" /> -- Retrieval info: <generic name="MEM_INIT_FILE" value="" /> -- Retrieval info: <generic name="DAT_DATA_WIDTH" value="32" /> -- Retrieval info: <generic name="TIMING_TIS" value="290" /> -- Retrieval info: <generic name="TIMING_TIH" value="290" /> -- Retrieval info: <generic name="TIMING_TDS" value="270" /> -- Retrieval info: <generic name="TIMING_TDH" value="270" /> -- Retrieval info: <generic name="TIMING_TDQSQ" value="240" /> -- Retrieval info: <generic name="TIMING_TQHS" value="280" /> -- Retrieval info: <generic name="TIMING_TDQSCK" value="5500" /> -- Retrieval info: <generic name="TIMING_TDQSCKDS" value="450" /> -- Retrieval info: <generic name="TIMING_TDQSCKDM" value="900" /> -- Retrieval info: <generic name="TIMING_TDQSCKDL" value="1200" /> -- Retrieval info: <generic name="TIMING_TDQSS" value="1.0" /> -- Retrieval info: <generic name="TIMING_TDQSH" value="0.4" /> -- Retrieval info: <generic name="TIMING_TDSH" value="0.2" /> -- Retrieval info: <generic name="TIMING_TDSS" value="0.2" /> -- Retrieval info: <generic name="MEM_TINIT_US" value="200" /> -- Retrieval info: <generic name="MEM_TMRD_CK" value="2" /> -- Retrieval info: <generic name="MEM_TRAS_NS" value="70.0" /> -- Retrieval info: <generic name="MEM_TRCD_NS" value="18.0" /> -- Retrieval info: <generic name="MEM_TRP_NS" value="18.0" /> -- Retrieval info: <generic name="MEM_TREFI_US" value="3.9" /> -- Retrieval info: <generic name="MEM_TRFC_NS" value="60.0" /> -- Retrieval info: <generic name="CFG_TCCD_NS" value="2.5" /> -- Retrieval info: <generic name="MEM_TWR_NS" value="15.0" /> -- Retrieval info: <generic name="MEM_TWTR" value="2" /> -- Retrieval info: <generic name="MEM_TFAW_NS" value="50.0" /> -- Retrieval info: <generic name="MEM_TRRD_NS" value="10.0" /> -- Retrieval info: <generic name="MEM_TRTP_NS" value="7.5" /> -- Retrieval info: <generic name="RATE" value="Half" /> -- Retrieval info: <generic name="MEM_CLK_FREQ" value="300.0" /> -- Retrieval info: <generic name="USE_MEM_CLK_FREQ" value="false" /> -- Retrieval info: <generic name="FORCE_DQS_TRACKING" value="AUTO" /> -- Retrieval info: <generic name="FORCE_SHADOW_REGS" value="AUTO" /> -- Retrieval info: <generic name="MRS_MIRROR_PING_PONG_ATSO" value="false" /> -- Retrieval info: <generic name="SYS_INFO_DEVICE_FAMILY" value="Cyclone V" /> -- Retrieval info: <generic name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM" value="" /> -- Retrieval info: <generic name="DEVICE_FAMILY_PARAM" value="" /> -- Retrieval info: <generic name="SPEED_GRADE" value="7" /> -- Retrieval info: <generic name="IS_ES_DEVICE" value="false" /> -- Retrieval info: <generic name="DISABLE_CHILD_MESSAGING" value="false" /> -- Retrieval info: <generic name="HARD_EMIF" value="false" /> -- Retrieval info: <generic name="HHP_HPS" value="false" /> -- Retrieval info: <generic name="HHP_HPS_VERIFICATION" value="false" /> -- Retrieval info: <generic name="HHP_HPS_SIMULATION" value="false" /> -- Retrieval info: <generic name="HPS_PROTOCOL" value="DEFAULT" /> -- Retrieval info: <generic name="CUT_NEW_FAMILY_TIMING" value="true" /> -- Retrieval info: <generic name="POWER_OF_TWO_BUS" value="false" /> -- Retrieval info: <generic name="SOPC_COMPAT_RESET" value="false" /> -- Retrieval info: <generic name="AVL_MAX_SIZE" value="4" /> -- Retrieval info: <generic name="BYTE_ENABLE" value="true" /> -- Retrieval info: <generic name="ENABLE_CTRL_AVALON_INTERFACE" value="true" /> -- Retrieval info: <generic name="CTL_DEEP_POWERDN_EN" value="false" /> -- Retrieval info: <generic name="CTL_SELF_REFRESH_EN" value="false" /> -- Retrieval info: <generic name="AUTO_POWERDN_EN" value="false" /> -- Retrieval info: <generic name="AUTO_PD_CYCLES" value="0" /> -- Retrieval info: <generic name="CTL_USR_REFRESH_EN" value="false" /> -- Retrieval info: <generic name="CTL_AUTOPCH_EN" value="false" /> -- Retrieval info: <generic name="CTL_ZQCAL_EN" value="false" /> -- Retrieval info: <generic name="ADDR_ORDER" value="0" /> -- Retrieval info: <generic name="CTL_LOOK_AHEAD_DEPTH" value="4" /> -- Retrieval info: <generic name="CONTROLLER_LATENCY" value="5" /> -- Retrieval info: <generic name="CFG_REORDER_DATA" value="true" /> -- Retrieval info: <generic name="STARVE_LIMIT" value="10" /> -- Retrieval info: <generic name="CTL_CSR_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_CSR_CONNECTION" value="INTERNAL_JTAG" /> -- Retrieval info: <generic name="CTL_ECC_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_HRB_ENABLED" value="false" /> -- Retrieval info: <generic name="CTL_ECC_AUTO_CORRECTION_ENABLED" value="false" /> -- Retrieval info: <generic name="MULTICAST_EN" value="false" /> -- Retrieval info: <generic name="CTL_DYNAMIC_BANK_ALLOCATION" value="false" /> -- Retrieval info: <generic name="CTL_DYNAMIC_BANK_NUM" value="4" /> -- Retrieval info: <generic name="DEBUG_MODE" value="false" /> -- Retrieval info: <generic name="ENABLE_BURST_MERGE" value="false" /> -- Retrieval info: <generic name="CTL_ENABLE_BURST_INTERRUPT" value="false" /> -- Retrieval info: <generic name="CTL_ENABLE_BURST_TERMINATE" value="false" /> -- Retrieval info: <generic name="LOCAL_ID_WIDTH" value="8" /> -- Retrieval info: <generic name="WRBUFFER_ADDR_WIDTH" value="6" /> -- Retrieval info: <generic name="MAX_PENDING_WR_CMD" value="8" /> -- Retrieval info: <generic name="MAX_PENDING_RD_CMD" value="16" /> -- Retrieval info: <generic name="USE_MM_ADAPTOR" value="true" /> -- Retrieval info: <generic name="USE_AXI_ADAPTOR" value="false" /> -- Retrieval info: <generic name="HCX_COMPAT_MODE" value="false" /> -- Retrieval info: <generic name="CTL_CMD_QUEUE_DEPTH" value="8" /> -- Retrieval info: <generic name="CTL_CSR_READ_ONLY" value="1" /> -- Retrieval info: <generic name="CFG_DATA_REORDERING_TYPE" value="INTER_BANK" /> -- Retrieval info: <generic name="NUM_OF_PORTS" value="1" /> -- Retrieval info: <generic name="ENABLE_BONDING" value="false" /> -- Retrieval info: <generic name="ENABLE_USER_ECC" value="false" /> -- Retrieval info: <generic name="AVL_DATA_WIDTH_PORT" value="32,32,32,32,32,32" /> -- Retrieval info: <generic name="PRIORITY_PORT" value="1,1,1,1,1,1" /> -- Retrieval info: <generic name="WEIGHT_PORT" value="0,0,0,0,0,0" /> -- Retrieval info: <generic name="CPORT_TYPE_PORT" value="Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional" /> -- Retrieval info: <generic name="ENABLE_EMIT_BFM_MASTER" value="false" /> -- Retrieval info: <generic name="FORCE_SEQUENCER_TCL_DEBUG_MODE" value="false" /> -- Retrieval info: <generic name="ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT" value="false" /> -- Retrieval info: <generic name="REF_CLK_FREQ" value="125.0" /> -- Retrieval info: <generic name="REF_CLK_FREQ_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="REF_CLK_FREQ_MIN_PARAM" value="0.0" /> -- Retrieval info: <generic name="REF_CLK_FREQ_MAX_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_DR_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_DR_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_DR_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_DR_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_DR_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_MEM_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_MEM_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_MEM_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_WRITE_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_ADDR_CMD_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_HALF_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_NIOS_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CONFIG_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_P2C_READ_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_C2P_WRITE_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_HR_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_HR_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_HR_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_HR_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_FREQ_PARAM" value="0.0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_PHASE_PS_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM" value="" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_MULT_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_AFI_PHY_CLK_DIV_PARAM" value="0" /> -- Retrieval info: <generic name="PLL_CLK_PARAM_VALID" value="false" /> -- Retrieval info: <generic name="ENABLE_EXTRA_REPORTING" value="false" /> -- Retrieval info: <generic name="NUM_EXTRA_REPORT_PATH" value="10" /> -- Retrieval info: <generic name="ENABLE_ISS_PROBES" value="false" /> -- Retrieval info: <generic name="CALIB_REG_WIDTH" value="8" /> -- Retrieval info: <generic name="USE_SEQUENCER_BFM" value="false" /> -- Retrieval info: <generic name="DEFAULT_FAST_SIM_MODEL" value="true" /> -- Retrieval info: <generic name="PLL_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_PLL_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="EXPORT_AFI_HALF_CLK" value="false" /> -- Retrieval info: <generic name="ABSTRACT_REAL_COMPARE_TEST" value="false" /> -- Retrieval info: <generic name="INCLUDE_BOARD_DELAY_MODEL" value="false" /> -- Retrieval info: <generic name="INCLUDE_MULTIRANK_BOARD_DELAY_MODEL" value="false" /> -- Retrieval info: <generic name="USE_FAKE_PHY" value="false" /> -- Retrieval info: <generic name="FORCE_MAX_LATENCY_COUNT_WIDTH" value="0" /> -- Retrieval info: <generic name="ENABLE_NON_DESTRUCTIVE_CALIB" value="false" /> -- Retrieval info: <generic name="TRACKING_ERROR_TEST" value="false" /> -- Retrieval info: <generic name="TRACKING_WATCH_TEST" value="false" /> -- Retrieval info: <generic name="MARGIN_VARIATION_TEST" value="false" /> -- Retrieval info: <generic name="EXTRA_SETTINGS" value="" /> -- Retrieval info: <generic name="MEM_DEVICE" value="MISSING_MODEL" /> -- Retrieval info: <generic name="FORCE_SYNTHESIS_LANGUAGE" value="" /> -- Retrieval info: <generic name="FORCED_NUM_WRITE_FR_CYCLE_SHIFTS" value="0" /> -- Retrieval info: <generic name="SEQUENCER_TYPE" value="NIOS" /> -- Retrieval info: <generic name="ADVERTIZE_SEQUENCER_SW_BUILD_FILES" value="false" /> -- Retrieval info: <generic name="FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT" value="false" /> -- Retrieval info: <generic name="PHY_ONLY" value="false" /> -- Retrieval info: <generic name="SEQ_MODE" value="0" /> -- Retrieval info: <generic name="ADVANCED_CK_PHASES" value="false" /> -- Retrieval info: <generic name="COMMAND_PHASE" value="0.0" /> -- Retrieval info: <generic name="MEM_CK_PHASE" value="0.0" /> -- Retrieval info: <generic name="P2C_READ_CLOCK_ADD_PHASE" value="0.0" /> -- Retrieval info: <generic name="C2P_WRITE_CLOCK_ADD_PHASE" value="0.0" /> -- Retrieval info: <generic name="ACV_PHY_CLK_ADD_FR_PHASE" value="0.0" /> -- Retrieval info: <generic name="PLL_LOCATION" value="Top_Bottom" /> -- Retrieval info: <generic name="SKIP_MEM_INIT" value="false" /> -- Retrieval info: <generic name="READ_DQ_DQS_CLOCK_SOURCE" value="INVERTED_DQS_BUS" /> -- Retrieval info: <generic name="DQ_INPUT_REG_USE_CLKN" value="false" /> -- Retrieval info: <generic name="DQS_DQSN_MODE" value="DIFFERENTIAL" /> -- Retrieval info: <generic name="AFI_DEBUG_INFO_WIDTH" value="32" /> -- Retrieval info: <generic name="CALIBRATION_MODE" value="Full" /> -- Retrieval info: <generic name="NIOS_ROM_DATA_WIDTH" value="32" /> -- Retrieval info: <generic name="READ_FIFO_SIZE" value="8" /> -- Retrieval info: <generic name="PHY_CSR_ENABLED" value="false" /> -- Retrieval info: <generic name="PHY_CSR_CONNECTION" value="INTERNAL_JTAG" /> -- Retrieval info: <generic name="USER_DEBUG_LEVEL" value="0" /> -- Retrieval info: <generic name="TIMING_BOARD_DERATE_METHOD" value="AUTO" /> -- Retrieval info: <generic name="TIMING_BOARD_CK_CKN_SLEW_RATE" value="2.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_SLEW_RATE" value="1.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQS_DQSN_SLEW_RATE" value="2.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_SLEW_RATE" value="1.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TIS" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TIH" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TDS" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_TDH" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_ISI_METHOD" value="AUTO" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_EYE_REDUCTION_SU" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_EYE_REDUCTION_H" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_EYE_REDUCTION" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME" value="0.0" /> -- Retrieval info: <generic name="PACKAGE_DESKEW" value="false" /> -- Retrieval info: <generic name="AC_PACKAGE_DESKEW" value="false" /> -- Retrieval info: <generic name="TIMING_BOARD_MAX_CK_DELAY" value="0.6" /> -- Retrieval info: <generic name="TIMING_BOARD_MAX_DQS_DELAY" value="0.6" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_CKDQS_DIMM_MIN" value="-0.01" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_CKDQS_DIMM_MAX" value="0.01" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_BETWEEN_DIMMS" value="0.05" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_WITHIN_DQS" value="0.02" /> -- Retrieval info: <generic name="TIMING_BOARD_SKEW_BETWEEN_DQS" value="0.02" /> -- Retrieval info: <generic name="TIMING_BOARD_DQ_TO_DQS_SKEW" value="0.0" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_SKEW" value="0.02" /> -- Retrieval info: <generic name="TIMING_BOARD_AC_TO_CK_SKEW" value="0.0" /> -- Retrieval info: <generic name="ENABLE_EXPORT_SEQ_DEBUG_BRIDGE" value="false" /> -- Retrieval info: <generic name="CORE_DEBUG_CONNECTION" value="EXPORT" /> -- Retrieval info: <generic name="ADD_EXTERNAL_SEQ_DEBUG_NIOS" value="false" /> -- Retrieval info: <generic name="ED_EXPORT_SEQ_DEBUG" value="false" /> -- Retrieval info: <generic name="ADD_EFFICIENCY_MONITOR" value="false" /> -- Retrieval info: <generic name="ENABLE_ABS_RAM_MEM_INIT" value="false" /> -- Retrieval info: <generic name="ABS_RAM_MEM_INIT_FILENAME" value="meminit" /> -- Retrieval info: <generic name="DLL_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_DLL_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="OCT_SHARING_MODE" value="None" /> -- Retrieval info: <generic name="NUM_OCT_SHARING_INTERFACES" value="1" /> -- Retrieval info: <generic name="AUTO_DEVICE" value="Unknown" /> -- Retrieval info: </instance> -- IPFS_FILES : lpddr2ctrl1.vho -- RELATED_FILES: lpddr2ctrl1.vhd, lpddr2ctrl1_0002.v, lpddr2ctrl1_pll0.sv, lpddr2ctrl1_p0_clock_pair_generator.v, lpddr2ctrl1_p0_read_valid_selector.v, lpddr2ctrl1_p0_addr_cmd_datapath.v, lpddr2ctrl1_p0_reset.v, lpddr2ctrl1_p0_acv_ldc.v, lpddr2ctrl1_p0_memphy.sv, lpddr2ctrl1_p0_reset_sync.v, lpddr2ctrl1_p0_new_io_pads.v, lpddr2ctrl1_p0_fr_cycle_shifter.v, lpddr2ctrl1_p0_fr_cycle_extender.v, lpddr2ctrl1_p0_read_datapath.sv, lpddr2ctrl1_p0_write_datapath.v, lpddr2ctrl1_p0_simple_ddio_out.sv, lpddr2ctrl1_p0_phy_csr.sv, lpddr2ctrl1_p0_iss_probe.v, lpddr2ctrl1_p0_flop_mem.v, lpddr2ctrl1_p0_addr_cmd_pads.v, lpddr2ctrl1_p0.sv, lpddr2ctrl1_p0_altdqdqs.v, altdq_dqs2_acv_cyclonev_lpddr2.sv, afi_mux_lpddr2.v, lpddr2ctrl1_s0.v, rw_manager_bitcheck.v, rw_manager_datamux.v, lpddr2ctrl1_s0_id_router.sv, altera_merlin_traffic_limiter.sv, lpddr2ctrl1_s0_cmd_xbar_demux_001.sv, sequencer_trk_mgr.sv, lpddr2ctrl1_s0_addr_router_002.sv, sequencer_scc_sv_wrapper.sv, lpddr2ctrl1_s0_rsp_xbar_demux_003.sv, rw_manager_ac_ROM_reg.v, altera_merlin_burst_uncompressor.sv, rw_manager_read_datapath.v, rw_manager_lfsr12.v, rw_manager_lfsr36.v, rw_manager_data_broadcast.v, altera_avalon_mm_bridge.v, lpddr2ctrl1_s0_irq_mapper.sv, rw_manager_lfsr72.v, sequencer_reg_file.sv, rw_manager_di_buffer_wrap.v, rw_manager_jumplogic.v, altera_merlin_slave_agent.sv, altera_merlin_slave_translator.sv, altera_mem_if_sequencer_mem_no_ifdef_params.sv, lpddr2ctrl1_s0_cmd_xbar_mux.sv, sequencer_data_mgr.sv, sequencer_scc_reg_file.v, rw_manager_ram.v, rw_manager_lpddr2.v, rw_manager_ram_csr.v, rw_manager_generic.sv, altera_avalon_sc_fifo.v, altera_avalon_st_pipeline_base.v, lpddr2ctrl1_s0_id_router_003.sv, lpddr2ctrl1_s0_rsp_xbar_mux_003.sv, rw_manager_write_decoder.v, sequencer_scc_acv_wrapper.sv, rw_manager_ac_ROM_no_ifdef_params.v, lpddr2ctrl1_s0_id_router_001.sv, rw_manager_core.sv, sequencer_phy_mgr.sv, lpddr2ctrl1_s0_cmd_xbar_demux_003.sv, sequencer_scc_acv_phase_decode.v, lpddr2ctrl1_s0_addr_router.sv, sequencer_scc_siii_phase_decode.v, lpddr2ctrl1_s0_cmd_xbar_demux.sv, lpddr2ctrl1_s0_rsp_xbar_demux.sv, altera_mem_if_sequencer_cpu_cv_synth_cpu_inst.v, rw_manager_dm_decoder.v, lpddr2ctrl1_s0_addr_router_001.sv, rw_manager_inst_ROM_reg.v, rw_manager_data_decoder.v, sequencer_scc_mgr.sv, sequencer_scc_siii_wrapper.sv, lpddr2ctrl1_s0_rsp_xbar_mux.sv, altera_merlin_master_translator.sv, altera_mem_if_sequencer_cpu_cv_synth_cpu_inst_test_bench.v, lpddr2ctrl1_s0_addr_router_003.sv, lpddr2ctrl1_s0_id_router_002.sv, rw_manager_di_buffer.v, rw_manager_pattern_fifo.v, altera_merlin_master_agent.sv, altera_merlin_arbitrator.sv, sequencer_scc_sv_phase_decode.v, rw_manager_inst_ROM_no_ifdef_params.v, altera_mem_if_sequencer_rst.sv, lpddr2ctrl1_c0.v, altera_mem_if_oct_cyclonev.sv, altera_mem_if_dll_cyclonev.sv, alt_mem_ddrx_addr_cmd.v, alt_mem_ddrx_addr_cmd_wrap.v, alt_mem_ddrx_ddr2_odt_gen.v, alt_mem_ddrx_ddr3_odt_gen.v, alt_mem_ddrx_lpddr2_addr_cmd.v, alt_mem_ddrx_odt_gen.v, alt_mem_ddrx_rdwr_data_tmg.v, alt_mem_ddrx_arbiter.v, alt_mem_ddrx_burst_gen.v, alt_mem_ddrx_cmd_gen.v, alt_mem_ddrx_csr.v, alt_mem_ddrx_buffer.v, alt_mem_ddrx_buffer_manager.v, alt_mem_ddrx_burst_tracking.v, alt_mem_ddrx_dataid_manager.v, alt_mem_ddrx_fifo.v, alt_mem_ddrx_list.v, alt_mem_ddrx_rdata_path.v, alt_mem_ddrx_wdata_path.v, alt_mem_ddrx_define.iv, alt_mem_ddrx_ecc_decoder.v, alt_mem_ddrx_ecc_decoder_32_syn.v, alt_mem_ddrx_ecc_decoder_64_syn.v, alt_mem_ddrx_ecc_encoder.v, alt_mem_ddrx_ecc_encoder_32_syn.v, alt_mem_ddrx_ecc_encoder_64_syn.v, alt_mem_ddrx_ecc_encoder_decoder_wrapper.v, alt_mem_ddrx_axi_st_converter.v, alt_mem_ddrx_input_if.v, alt_mem_ddrx_rank_timer.v, alt_mem_ddrx_sideband.v, alt_mem_ddrx_tbp.v, alt_mem_ddrx_timing_param.v, alt_mem_ddrx_controller.v, alt_mem_ddrx_controller_st_top.v, alt_mem_if_nextgen_lpddr2_controller_core.sv, alt_mem_ddrx_mm_st_converter.v
gpl-2.0
885a60508fd0a4750de74fa12a049c6a
0.585159
3.202704
false
false
false
false