Unnamed: 0
int64 1
143k
| directory
stringlengths 39
203
| repo_id
float64 143k
552M
| file_name
stringlengths 3
107
| extension
stringclasses 6
values | no_lines
int64 5
304k
| max_line_len
int64 15
21.6k
| generation_keywords
stringclasses 3
values | license_whitelist_keywords
stringclasses 16
values | license_blacklist_keywords
stringclasses 4
values | icarus_module_spans
stringlengths 8
6.16k
⌀ | icarus_exception
stringlengths 12
124
⌀ | verilator_xml_output_path
stringlengths 60
60
⌀ | verilator_exception
stringlengths 33
1.53M
⌀ | file_index
int64 0
315k
| snippet_type
stringclasses 2
values | snippet
stringlengths 21
9.27M
| snippet_def
stringlengths 9
30.3k
| snippet_body
stringlengths 10
9.27M
| gh_stars
int64 0
1.61k
|
---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|---|
139,964 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv | 90,320,290 | uart_frame.sv | sv | 104 | 78 | [] | ['apache license'] | ['all rights reserved'] | null | line:32: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:34: Unsupported: classes\nclass uart_frame extends uvm_sequence_item; \n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:34: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_frame extends uvm_sequence_item; \n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:37: syntax error, unexpected rand\n rand bit [7:0] payload;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:39: syntax error, unexpected rand\n rand bit [1:0] stop_bits;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:40: syntax error, unexpected rand\n rand bit [3:0] error_bits;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:43: syntax error, unexpected rand\n rand parity_e parity_type;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:44: syntax error, unexpected rand\n rand int delay;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:47: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_delay {delay >= 0; delay < 20;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:47: syntax error, unexpected IDENTIFIER\n constraint default_delay {delay >= 0; delay < 20;}\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:48: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_start_bit { start_bit == 1\'b0;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_stop_bits { stop_bits == 2\'b11;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_parity_type { parity_type==GOOD_PARITY;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_error_bits { error_bits == 4\'b0000;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:55: Define or directive not defined: \'`uvm_object_utils_begin\'\n `uvm_object_utils_begin(uart_frame) \n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:56: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(start_bit, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:57: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(payload, UVM_DEFAULT) \n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:58: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(parity, UVM_DEFAULT) \n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:59: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(stop_bits, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:60: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(error_bits, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:61: Define or directive not defined: \'`uvm_field_enum\'\n `uvm_field_enum(parity_e,parity_type, UVM_DEFAULT + UVM_NOCOMPARE) \n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:62: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(delay, UVM_DEFAULT + UVM_DEC + UVM_NOCOMPARE + UVM_NOCOPY)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:63: Define or directive not defined: \'`uvm_object_utils_end\'\n `uvm_object_utils_end\n ^~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:66: Unsupported: new constructor\n function new(string name = "uart_frame");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:67: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:67: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:67: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:101: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: Exiting due to 27 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,258 | function | function bit calc_parity(int unsigned num_of_data_bits=8,
bit[1:0] ParityMode=0);
bit temp_parity;
if (num_of_data_bits == 6)
temp_parity = ^payload[5:0];
else if (num_of_data_bits == 7)
temp_parity = ^payload[6:0];
else
temp_parity = ^payload;
case(ParityMode[0])
0: temp_parity = ~temp_parity;
1: temp_parity = temp_parity;
endcase
case(ParityMode[1])
0: temp_parity = temp_parity;
1: temp_parity = ~ParityMode[0];
endcase
if (parity_type == BAD_PARITY)
calc_parity = ~temp_parity;
else
calc_parity = temp_parity;
endfunction | function bit calc_parity(int unsigned num_of_data_bits=8,
bit[1:0] ParityMode=0); |
bit temp_parity;
if (num_of_data_bits == 6)
temp_parity = ^payload[5:0];
else if (num_of_data_bits == 7)
temp_parity = ^payload[6:0];
else
temp_parity = ^payload;
case(ParityMode[0])
0: temp_parity = ~temp_parity;
1: temp_parity = temp_parity;
endcase
case(ParityMode[1])
0: temp_parity = temp_parity;
1: temp_parity = ~ParityMode[0];
endcase
if (parity_type == BAD_PARITY)
calc_parity = ~temp_parity;
else
calc_parity = temp_parity;
endfunction | 0 |
139,965 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv | 90,320,290 | uart_frame.sv | sv | 104 | 78 | [] | ['apache license'] | ['all rights reserved'] | null | line:32: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:34: Unsupported: classes\nclass uart_frame extends uvm_sequence_item; \n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:34: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_frame extends uvm_sequence_item; \n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:37: syntax error, unexpected rand\n rand bit [7:0] payload;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:39: syntax error, unexpected rand\n rand bit [1:0] stop_bits;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:40: syntax error, unexpected rand\n rand bit [3:0] error_bits;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:43: syntax error, unexpected rand\n rand parity_e parity_type;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:44: syntax error, unexpected rand\n rand int delay;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:47: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_delay {delay >= 0; delay < 20;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:47: syntax error, unexpected IDENTIFIER\n constraint default_delay {delay >= 0; delay < 20;}\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:48: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_start_bit { start_bit == 1\'b0;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_stop_bits { stop_bits == 2\'b11;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_parity_type { parity_type==GOOD_PARITY;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint default_error_bits { error_bits == 4\'b0000;}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:55: Define or directive not defined: \'`uvm_object_utils_begin\'\n `uvm_object_utils_begin(uart_frame) \n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:56: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(start_bit, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:57: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(payload, UVM_DEFAULT) \n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:58: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(parity, UVM_DEFAULT) \n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:59: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(stop_bits, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:60: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(error_bits, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:61: Define or directive not defined: \'`uvm_field_enum\'\n `uvm_field_enum(parity_e,parity_type, UVM_DEFAULT + UVM_NOCOMPARE) \n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:62: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(delay, UVM_DEFAULT + UVM_DEC + UVM_NOCOMPARE + UVM_NOCOPY)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:63: Define or directive not defined: \'`uvm_object_utils_end\'\n `uvm_object_utils_end\n ^~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:66: Unsupported: new constructor\n function new(string name = "uart_frame");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:67: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:67: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:67: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/interface_uvc_lib/uart/sv/uart_frame.sv:101: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: Exiting due to 27 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,258 | function | function void post_randomize();
parity = calc_parity();
endfunction | function void post_randomize(); |
parity = calc_parity();
endfunction | 0 |
139,966 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv | 90,320,290 | uart_ctrl_interrupt_seq_lib.sv | sv | 84 | 110 | [] | [] | [] | null | line:17: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:17: Unsupported: classes\nclass apb_interrupt_seq extends uvm_sequence #(apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:17: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_interrupt_seq extends uvm_sequence #(apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:18: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_interrupt_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:19: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:21: Unsupported: new constructor\n function new(string name="apb_interrupt_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:22: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:22: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:22: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:25: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:27: syntax error, unexpected \'@\'\n @p_sequencer.vif.ua_int;\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:29: Define or directive not defined: \'`uvm_info\'\n `uvm_info("INTERRUPT_SEQ", "Doing INTERRUPT Sequence", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:29: syntax error, unexpected \',\'\n `uvm_info("INTERRUPT_SEQ", "Doing INTERRUPT Sequence", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:34: syntax error, unexpected endclass\nendclass : apb_interrupt_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:39: Unsupported: classes\nclass apb_interrupt_from_uart extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:39: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_interrupt_from_uart extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:41: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_interrupt_from_uart)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:42: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:45: Unsupported: new constructor\n function new(string name="apb_interrupt_from_uart");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:46: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:46: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:46: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:49: syntax error, unexpected rand\n rand bit [31:0] read_addr;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:51: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:54: syntax error, unexpected \'@\'\n @p_sequencer.vif.ua_int;\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:56: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Executing apb_interrupt_from_uart sequence", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:56: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Executing apb_interrupt_from_uart sequence", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:57: Define or directive not defined: \'`CISR_REG\'\n read_addr = `CISR_REG; \n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:58: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:58: syntax error, unexpected \',\'\n `uvm_do_with(req, \n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:60: syntax error, unexpected \';\'\n req.direction == APB_READ;} )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:61: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", $sformatf("CISR_REG value is %h", req.data), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:63: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rtrig - No support yet", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:66: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rempty - Stop reading from RX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:66: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rempty - Stop reading from RX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:70: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rful - Start reading from RX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:70: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rful - Start reading from RX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:74: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tempty - Start sending transactions to TX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:74: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tempty - Start sending transactions to TX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:78: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tful - Stop sending transactions to TX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:78: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tful - Stop sending transactions to TX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:83: syntax error, unexpected endclass\nendclass : apb_interrupt_from_uart\n^~~~~~~~\n%Error: Exiting due to 41 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,284 | function | function new(string name="apb_interrupt_seq");
super.new(name);
endfunction | function new(string name="apb_interrupt_seq"); |
super.new(name);
endfunction | 0 |
139,967 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv | 90,320,290 | uart_ctrl_interrupt_seq_lib.sv | sv | 84 | 110 | [] | [] | [] | null | line:17: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:17: Unsupported: classes\nclass apb_interrupt_seq extends uvm_sequence #(apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:17: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_interrupt_seq extends uvm_sequence #(apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:18: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_interrupt_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:19: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:21: Unsupported: new constructor\n function new(string name="apb_interrupt_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:22: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:22: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:22: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:25: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:27: syntax error, unexpected \'@\'\n @p_sequencer.vif.ua_int;\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:29: Define or directive not defined: \'`uvm_info\'\n `uvm_info("INTERRUPT_SEQ", "Doing INTERRUPT Sequence", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:29: syntax error, unexpected \',\'\n `uvm_info("INTERRUPT_SEQ", "Doing INTERRUPT Sequence", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:34: syntax error, unexpected endclass\nendclass : apb_interrupt_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:39: Unsupported: classes\nclass apb_interrupt_from_uart extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:39: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_interrupt_from_uart extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:41: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_interrupt_from_uart)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:42: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:45: Unsupported: new constructor\n function new(string name="apb_interrupt_from_uart");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:46: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:46: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:46: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:49: syntax error, unexpected rand\n rand bit [31:0] read_addr;\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:51: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:54: syntax error, unexpected \'@\'\n @p_sequencer.vif.ua_int;\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:56: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Executing apb_interrupt_from_uart sequence", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:56: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Executing apb_interrupt_from_uart sequence", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:57: Define or directive not defined: \'`CISR_REG\'\n read_addr = `CISR_REG; \n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:58: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:58: syntax error, unexpected \',\'\n `uvm_do_with(req, \n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:60: syntax error, unexpected \';\'\n req.direction == APB_READ;} )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:61: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", $sformatf("CISR_REG value is %h", req.data), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:63: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rtrig - No support yet", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:66: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rempty - Stop reading from RX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:66: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rempty - Stop reading from RX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:70: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rful - Start reading from RX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:70: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: rful - Start reading from RX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:74: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tempty - Start sending transactions to TX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:74: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tempty - Start sending transactions to TX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:78: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tful - Stop sending transactions to TX fifo", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:78: syntax error, unexpected \',\'\n `uvm_info("UART_INTERRUPT_SEQ", "Interrupt: tful - Stop sending transactions to TX fifo", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_interrupt_seq_lib.sv:83: syntax error, unexpected endclass\nendclass : apb_interrupt_from_uart\n^~~~~~~~\n%Error: Exiting due to 41 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,284 | function | function new(string name="apb_interrupt_from_uart");
super.new(name);
endfunction | function new(string name="apb_interrupt_from_uart"); |
super.new(name);
endfunction | 0 |
139,968 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv | 90,320,290 | uart_ctrl_reg_seq_lib.sv | sv | 202 | 96 | [] | [] | [] | null | line:14: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: Unsupported: classes\nclass apb_config_reg_seq extends uvm_sequence;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_config_reg_seq extends uvm_sequence;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: syntax error, unexpected IDENTIFIER\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:22: Unsupported: new constructor\n function new(string name="apb_config_reg_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:26: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: syntax error, unexpected \',\'\n `uvm_info(get_type_name(),\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \',\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:39: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:52: syntax error, unexpected endfunction, expecting endtask\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:57: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(base_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:66: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:70: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:72: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:83: syntax error, unexpected virtual\n virtual function void get_model();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:84: syntax error, unexpected IDENTIFIER\n uvm_object temp_object;\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:87: syntax error, unexpected ::\n if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:88: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_object)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:89: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:93: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_reg_block)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:94: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:97: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NO_REG_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:108: syntax error, unexpected endtask\n endtask : pre_start\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:117: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(uart_ctrl_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:119: syntax error, unexpected function\n function new(string name="uart_ctrl_config_reg_seq");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:121: syntax error, unexpected endfunction\n endfunction : new\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: Define or directive not defined: \'`uvm_info\'\n `uvm_info("CONFIG_SEQ",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: syntax error, unexpected \',\'\n `uvm_info("CONFIG_SEQ",\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:131: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch0.write(.status(status), .value(\'h01), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:133: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch1.write(.status(status), .value(\'h00), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:135: Unsupported: this\n reg_model.uart_ctrl_rf.ua_lcr.write(.status(status), .value(\'h0f), .parent(this));\n ^~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,285 | function | function new(string name="apb_config_reg_seq");
super.new(name);
endfunction | function new(string name="apb_config_reg_seq"); |
super.new(name);
endfunction | 0 |
139,969 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv | 90,320,290 | uart_ctrl_reg_seq_lib.sv | sv | 202 | 96 | [] | [] | [] | null | line:14: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: Unsupported: classes\nclass apb_config_reg_seq extends uvm_sequence;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_config_reg_seq extends uvm_sequence;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: syntax error, unexpected IDENTIFIER\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:22: Unsupported: new constructor\n function new(string name="apb_config_reg_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:26: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: syntax error, unexpected \',\'\n `uvm_info(get_type_name(),\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \',\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:39: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:52: syntax error, unexpected endfunction, expecting endtask\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:57: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(base_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:66: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:70: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:72: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:83: syntax error, unexpected virtual\n virtual function void get_model();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:84: syntax error, unexpected IDENTIFIER\n uvm_object temp_object;\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:87: syntax error, unexpected ::\n if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:88: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_object)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:89: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:93: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_reg_block)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:94: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:97: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NO_REG_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:108: syntax error, unexpected endtask\n endtask : pre_start\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:117: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(uart_ctrl_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:119: syntax error, unexpected function\n function new(string name="uart_ctrl_config_reg_seq");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:121: syntax error, unexpected endfunction\n endfunction : new\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: Define or directive not defined: \'`uvm_info\'\n `uvm_info("CONFIG_SEQ",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: syntax error, unexpected \',\'\n `uvm_info("CONFIG_SEQ",\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:131: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch0.write(.status(status), .value(\'h01), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:133: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch1.write(.status(status), .value(\'h00), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:135: Unsupported: this\n reg_model.uart_ctrl_rf.ua_lcr.write(.status(status), .value(\'h0f), .parent(this));\n ^~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,285 | function | function new(string name="base_reg_seq");
super.new(name);
endfunction | function new(string name="base_reg_seq"); |
super.new(name);
endfunction | 0 |
139,970 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv | 90,320,290 | uart_ctrl_reg_seq_lib.sv | sv | 202 | 96 | [] | [] | [] | null | line:14: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: Unsupported: classes\nclass apb_config_reg_seq extends uvm_sequence;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_config_reg_seq extends uvm_sequence;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: syntax error, unexpected IDENTIFIER\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:22: Unsupported: new constructor\n function new(string name="apb_config_reg_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:26: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: syntax error, unexpected \',\'\n `uvm_info(get_type_name(),\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \',\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:39: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:52: syntax error, unexpected endfunction, expecting endtask\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:57: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(base_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:66: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:70: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:72: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:83: syntax error, unexpected virtual\n virtual function void get_model();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:84: syntax error, unexpected IDENTIFIER\n uvm_object temp_object;\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:87: syntax error, unexpected ::\n if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:88: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_object)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:89: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:93: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_reg_block)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:94: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:97: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NO_REG_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:108: syntax error, unexpected endtask\n endtask : pre_start\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:117: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(uart_ctrl_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:119: syntax error, unexpected function\n function new(string name="uart_ctrl_config_reg_seq");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:121: syntax error, unexpected endfunction\n endfunction : new\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: Define or directive not defined: \'`uvm_info\'\n `uvm_info("CONFIG_SEQ",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: syntax error, unexpected \',\'\n `uvm_info("CONFIG_SEQ",\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:131: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch0.write(.status(status), .value(\'h01), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:133: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch1.write(.status(status), .value(\'h00), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:135: Unsupported: this\n reg_model.uart_ctrl_rf.ua_lcr.write(.status(status), .value(\'h0f), .parent(this));\n ^~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,285 | function | function void get_model();
uvm_object temp_object;
uvm_reg_block temp_reg_block;
if (reg_model==null) begin
if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))
if (!($cast(reg_model, temp_object)))
`uvm_fatal("BAD_CONFIG",
"Sequence reg model is not derived from uart_ctrl_reg_model_c.")
else if (uvm_config_db#(uvm_reg_block)::get(get_sequencer(),
"", "reg_model", temp_reg_block))
if (!($cast(reg_model, temp_reg_block)))
`uvm_fatal("BAD_CONFIG",
"Sequence reg model is not derived from uart_ctrl_reg_model_c.")
else
`uvm_fatal("NO_REG_CONFIG",
"Sequence register model is not set. Exiting..")
end
endfunction | function void get_model(); |
uvm_object temp_object;
uvm_reg_block temp_reg_block;
if (reg_model==null) begin
if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))
if (!($cast(reg_model, temp_object)))
`uvm_fatal("BAD_CONFIG",
"Sequence reg model is not derived from uart_ctrl_reg_model_c.")
else if (uvm_config_db#(uvm_reg_block)::get(get_sequencer(),
"", "reg_model", temp_reg_block))
if (!($cast(reg_model, temp_reg_block)))
`uvm_fatal("BAD_CONFIG",
"Sequence reg model is not derived from uart_ctrl_reg_model_c.")
else
`uvm_fatal("NO_REG_CONFIG",
"Sequence register model is not set. Exiting..")
end
endfunction | 0 |
139,971 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv | 90,320,290 | uart_ctrl_reg_seq_lib.sv | sv | 202 | 96 | [] | [] | [] | null | line:14: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: Unsupported: classes\nclass apb_config_reg_seq extends uvm_sequence;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_config_reg_seq extends uvm_sequence;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: syntax error, unexpected IDENTIFIER\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:22: Unsupported: new constructor\n function new(string name="apb_config_reg_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:26: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: syntax error, unexpected \',\'\n `uvm_info(get_type_name(),\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \',\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:39: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:52: syntax error, unexpected endfunction, expecting endtask\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:57: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(base_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:66: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:70: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:72: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:83: syntax error, unexpected virtual\n virtual function void get_model();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:84: syntax error, unexpected IDENTIFIER\n uvm_object temp_object;\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:87: syntax error, unexpected ::\n if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:88: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_object)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:89: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:93: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_reg_block)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:94: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:97: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NO_REG_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:108: syntax error, unexpected endtask\n endtask : pre_start\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:117: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(uart_ctrl_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:119: syntax error, unexpected function\n function new(string name="uart_ctrl_config_reg_seq");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:121: syntax error, unexpected endfunction\n endfunction : new\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: Define or directive not defined: \'`uvm_info\'\n `uvm_info("CONFIG_SEQ",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: syntax error, unexpected \',\'\n `uvm_info("CONFIG_SEQ",\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:131: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch0.write(.status(status), .value(\'h01), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:133: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch1.write(.status(status), .value(\'h00), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:135: Unsupported: this\n reg_model.uart_ctrl_rf.ua_lcr.write(.status(status), .value(\'h0f), .parent(this));\n ^~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,285 | function | function new(string name="uart_ctrl_config_reg_seq");
super.new(name);
endfunction | function new(string name="uart_ctrl_config_reg_seq"); |
super.new(name);
endfunction | 0 |
139,972 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv | 90,320,290 | uart_ctrl_reg_seq_lib.sv | sv | 202 | 96 | [] | [] | [] | null | line:14: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: Unsupported: classes\nclass apb_config_reg_seq extends uvm_sequence;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_config_reg_seq extends uvm_sequence;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: syntax error, unexpected IDENTIFIER\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:22: Unsupported: new constructor\n function new(string name="apb_config_reg_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:26: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: syntax error, unexpected \',\'\n `uvm_info(get_type_name(),\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \',\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:39: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:52: syntax error, unexpected endfunction, expecting endtask\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:57: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(base_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:66: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:70: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:72: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:83: syntax error, unexpected virtual\n virtual function void get_model();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:84: syntax error, unexpected IDENTIFIER\n uvm_object temp_object;\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:87: syntax error, unexpected ::\n if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:88: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_object)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:89: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:93: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_reg_block)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:94: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:97: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NO_REG_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:108: syntax error, unexpected endtask\n endtask : pre_start\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:117: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(uart_ctrl_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:119: syntax error, unexpected function\n function new(string name="uart_ctrl_config_reg_seq");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:121: syntax error, unexpected endfunction\n endfunction : new\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: Define or directive not defined: \'`uvm_info\'\n `uvm_info("CONFIG_SEQ",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: syntax error, unexpected \',\'\n `uvm_info("CONFIG_SEQ",\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:131: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch0.write(.status(status), .value(\'h01), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:133: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch1.write(.status(status), .value(\'h00), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:135: Unsupported: this\n reg_model.uart_ctrl_rf.ua_lcr.write(.status(status), .value(\'h0f), .parent(this));\n ^~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,285 | function | function new(string name="uart_ctrl_1stopbit_reg_seq");
super.new(name);
endfunction | function new(string name="uart_ctrl_1stopbit_reg_seq"); |
super.new(name);
endfunction | 0 |
139,973 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv | 90,320,290 | uart_ctrl_reg_seq_lib.sv | sv | 202 | 96 | [] | [] | [] | null | line:14: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: Unsupported: classes\nclass apb_config_reg_seq extends uvm_sequence;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:14: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_config_reg_seq extends uvm_sequence;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:20: syntax error, unexpected IDENTIFIER\n constraint c1 {temp_data[7] == 1\'b1; }\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:22: Unsupported: new constructor\n function new(string name="apb_config_reg_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:26: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:27: syntax error, unexpected \',\'\n `uvm_info(get_type_name(),\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:31: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:33: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 0; write_data == \'h01; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:35: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 1; write_data == \'h00; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \',\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:38: syntax error, unexpected \';\'\n `uvm_do_with(write_seq, { start_addr == 3; write_data == temp_data; } )\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:39: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:51: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:52: syntax error, unexpected endfunction, expecting endtask\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:57: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(base_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:66: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:70: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:72: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:83: syntax error, unexpected virtual\n virtual function void get_model();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:84: syntax error, unexpected IDENTIFIER\n uvm_object temp_object;\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:87: syntax error, unexpected ::\n if (uvm_config_object::get(get_sequencer(), "", "reg_model", temp_object))\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:88: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_object)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:89: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:93: Unsupported or unknown PLI call: $cast\n if (!($cast(reg_model, temp_reg_block)))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:94: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("BAD_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:97: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NO_REG_CONFIG",\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:108: syntax error, unexpected endtask\n endtask : pre_start\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:117: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(uart_ctrl_config_reg_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:119: syntax error, unexpected function\n function new(string name="uart_ctrl_config_reg_seq");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:120: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:121: syntax error, unexpected endfunction\n endfunction : new\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: Define or directive not defined: \'`uvm_info\'\n `uvm_info("CONFIG_SEQ",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:125: syntax error, unexpected \',\'\n `uvm_info("CONFIG_SEQ",\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:131: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch0.write(.status(status), .value(\'h01), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:133: Unsupported: this\n reg_model.uart_ctrl_rf.ua_div_latch1.write(.status(status), .value(\'h00), .parent(this));\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_reg_seq_lib.sv:135: Unsupported: this\n reg_model.uart_ctrl_rf.ua_lcr.write(.status(status), .value(\'h0f), .parent(this));\n ^~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,285 | function | function new(string name="uart_cfg_rxtx_fifo_cov_reg_seq");
super.new(name);
endfunction | function new(string name="uart_cfg_rxtx_fifo_cov_reg_seq"); |
super.new(name);
endfunction | 0 |
139,974 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv | 90,320,290 | uart_ctrl_seq_lib.sv | sv | 330 | 157 | [] | [] | [] | null | line:15: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: Unsupported: classes\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:17: Unsupported: new constructor\n function new(string name="apb_base_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:22: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_pkg::apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:27: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:31: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:33: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:36: syntax error, unexpected endclass\nendclass : apb_base_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: classes\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: extends\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:41: Unsupported: new constructor\n function new(string name="apb_to_uart_rd_after_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: syntax error, unexpected IDENTIFIER\n constraint del_ct { (del <= 10); }\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:54: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Starting...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:56: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:57: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:62: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:63: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:75: Unsupported: new constructor\n function new(string name="apb_to_uart_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: syntax error, unexpected IDENTIFIER\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:86: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:87: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:91: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:93: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), $sformatf("Starting %0d Writes...", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:97: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_APB_SEQLIB", $sformatf("Breaking from apb_to_uart_wr since tfifo is not empty yet, pending num_of_wr = %d", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:101: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:205: Unsupported: new constructor\n function new(string name="read_rx_fifo_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: syntax error, unexpected \'(\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:215: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_rd_ct { (num_of_rd <= 150); }\n ^~~~~~~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,286 | function | function new(string name="apb_base_seq");
super.new(name);
endfunction | function new(string name="apb_base_seq"); |
super.new(name);
endfunction | 0 |
139,975 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv | 90,320,290 | uart_ctrl_seq_lib.sv | sv | 330 | 157 | [] | [] | [] | null | line:15: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: Unsupported: classes\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:17: Unsupported: new constructor\n function new(string name="apb_base_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:22: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_pkg::apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:27: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:31: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:33: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:36: syntax error, unexpected endclass\nendclass : apb_base_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: classes\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: extends\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:41: Unsupported: new constructor\n function new(string name="apb_to_uart_rd_after_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: syntax error, unexpected IDENTIFIER\n constraint del_ct { (del <= 10); }\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:54: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Starting...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:56: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:57: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:62: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:63: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:75: Unsupported: new constructor\n function new(string name="apb_to_uart_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: syntax error, unexpected IDENTIFIER\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:86: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:87: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:91: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:93: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), $sformatf("Starting %0d Writes...", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:97: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_APB_SEQLIB", $sformatf("Breaking from apb_to_uart_wr since tfifo is not empty yet, pending num_of_wr = %d", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:101: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:205: Unsupported: new constructor\n function new(string name="read_rx_fifo_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: syntax error, unexpected \'(\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:215: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_rd_ct { (num_of_rd <= 150); }\n ^~~~~~~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,286 | function | function new(string name="apb_to_uart_rd_after_wr");
super.new(name);
endfunction | function new(string name="apb_to_uart_rd_after_wr"); |
super.new(name);
endfunction | 0 |
139,976 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv | 90,320,290 | uart_ctrl_seq_lib.sv | sv | 330 | 157 | [] | [] | [] | null | line:15: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: Unsupported: classes\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:17: Unsupported: new constructor\n function new(string name="apb_base_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:22: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_pkg::apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:27: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:31: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:33: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:36: syntax error, unexpected endclass\nendclass : apb_base_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: classes\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: extends\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:41: Unsupported: new constructor\n function new(string name="apb_to_uart_rd_after_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: syntax error, unexpected IDENTIFIER\n constraint del_ct { (del <= 10); }\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:54: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Starting...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:56: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:57: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:62: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:63: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:75: Unsupported: new constructor\n function new(string name="apb_to_uart_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: syntax error, unexpected IDENTIFIER\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:86: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:87: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:91: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:93: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), $sformatf("Starting %0d Writes...", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:97: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_APB_SEQLIB", $sformatf("Breaking from apb_to_uart_wr since tfifo is not empty yet, pending num_of_wr = %d", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:101: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:205: Unsupported: new constructor\n function new(string name="read_rx_fifo_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: syntax error, unexpected \'(\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:215: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_rd_ct { (num_of_rd <= 150); }\n ^~~~~~~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,286 | function | function new(string name="apb_to_uart_wr");
super.new(name);
endfunction | function new(string name="apb_to_uart_wr"); |
super.new(name);
endfunction | 0 |
139,977 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv | 90,320,290 | uart_ctrl_seq_lib.sv | sv | 330 | 157 | [] | [] | [] | null | line:15: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: Unsupported: classes\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:17: Unsupported: new constructor\n function new(string name="apb_base_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:22: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_pkg::apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:27: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:31: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:33: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:36: syntax error, unexpected endclass\nendclass : apb_base_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: classes\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: extends\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:41: Unsupported: new constructor\n function new(string name="apb_to_uart_rd_after_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: syntax error, unexpected IDENTIFIER\n constraint del_ct { (del <= 10); }\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:54: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Starting...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:56: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:57: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:62: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:63: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:75: Unsupported: new constructor\n function new(string name="apb_to_uart_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: syntax error, unexpected IDENTIFIER\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:86: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:87: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:91: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:93: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), $sformatf("Starting %0d Writes...", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:97: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_APB_SEQLIB", $sformatf("Breaking from apb_to_uart_wr since tfifo is not empty yet, pending num_of_wr = %d", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:101: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:205: Unsupported: new constructor\n function new(string name="read_rx_fifo_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: syntax error, unexpected \'(\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:215: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_rd_ct { (num_of_rd <= 150); }\n ^~~~~~~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,286 | function | function new(string name="read_rx_fifo_seq");
super.new(name);
endfunction | function new(string name="read_rx_fifo_seq"); |
super.new(name);
endfunction | 0 |
139,978 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv | 90,320,290 | uart_ctrl_seq_lib.sv | sv | 330 | 157 | [] | [] | [] | null | line:15: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: Unsupported: classes\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:17: Unsupported: new constructor\n function new(string name="apb_base_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:22: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_pkg::apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:27: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:31: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:33: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:36: syntax error, unexpected endclass\nendclass : apb_base_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: classes\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: extends\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:41: Unsupported: new constructor\n function new(string name="apb_to_uart_rd_after_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: syntax error, unexpected IDENTIFIER\n constraint del_ct { (del <= 10); }\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:54: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Starting...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:56: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:57: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:62: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:63: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:75: Unsupported: new constructor\n function new(string name="apb_to_uart_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: syntax error, unexpected IDENTIFIER\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:86: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:87: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:91: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:93: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), $sformatf("Starting %0d Writes...", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:97: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_APB_SEQLIB", $sformatf("Breaking from apb_to_uart_wr since tfifo is not empty yet, pending num_of_wr = %d", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:101: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:205: Unsupported: new constructor\n function new(string name="read_rx_fifo_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: syntax error, unexpected \'(\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:215: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_rd_ct { (num_of_rd <= 150); }\n ^~~~~~~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,286 | function | function new(string name="read_rx_fifo_then_error_reg_seq");
super.new(name);
endfunction | function new(string name="read_rx_fifo_then_error_reg_seq"); |
super.new(name);
endfunction | 0 |
139,979 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv | 90,320,290 | uart_ctrl_seq_lib.sv | sv | 330 | 157 | [] | [] | [] | null | line:15: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: Unsupported: classes\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:15: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_base_seq extends uvm_sequence #(apb_pkg::apb_transfer);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:17: Unsupported: new constructor\n function new(string name="apb_base_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:18: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:21: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_base_seq)\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:22: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(apb_pkg::apb_master_sequencer)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:27: Unsupported: this\n starting_phase.raise_objection(this, {"Running sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:31: syntax error, unexpected virtual\n virtual task post_body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:33: Unsupported: this\n starting_phase.drop_objection(this, {"Completed sequence \'",\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:36: syntax error, unexpected endclass\nendclass : apb_base_seq\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: classes\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:39: Unsupported: extends\nclass apb_to_uart_rd_after_wr extends apb_base_seq;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:41: Unsupported: new constructor\n function new(string name="apb_to_uart_rd_after_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:42: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:46: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_rd_after_wr)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:50: syntax error, unexpected IDENTIFIER\n constraint del_ct { (del <= 10); }\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:51: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:54: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Starting...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:56: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:57: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:62: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:63: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:75: Unsupported: new constructor\n function new(string name="apb_to_uart_wr");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:76: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:80: syntax error, unexpected \'(\'\n `uvm_object_utils(apb_to_uart_wr)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:85: syntax error, unexpected IDENTIFIER\n constraint num_of_wr_ct { (num_of_wr <= 150); }\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:86: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint del_ct { (del <= 10); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:87: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint addr_ct {(start_addr[1:0] == 0); }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:91: Define or directive not defined: \'`TX_FIFO_REG\'\n start_addr = `TX_FIFO_REG;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:93: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), $sformatf("Starting %0d Writes...", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:97: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_APB_SEQLIB", $sformatf("Breaking from apb_to_uart_wr since tfifo is not empty yet, pending num_of_wr = %d", num_of_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:101: Define or directive not defined: \'`uvm_do_with\'\n `uvm_do_with(req, \n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:205: Unsupported: new constructor\n function new(string name="read_rx_fifo_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:206: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:210: syntax error, unexpected \'(\'\n `uvm_object_utils(read_rx_fifo_seq)\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/sv/sequence_lib/uart_ctrl_seq_lib.sv:215: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_of_rd_ct { (num_of_rd <= 150); }\n ^~~~~~~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,286 | function | function new(string name="apb_interrupt_from_uart");
super.new(name);
endfunction | function new(string name="apb_interrupt_from_uart"); |
super.new(name);
endfunction | 0 |
139,980 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv | 90,320,290 | uart_ctrl_tb.sv | sv | 195 | 110 | [] | ['apache license'] | ['all rights reserved'] | null | None: at end of input | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:28: Cannot find include file: uart_ctrl_reg_seq_lib.sv\n`include "uart_ctrl_reg_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.v\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.sv\n uart_ctrl_reg_seq_lib.sv\n uart_ctrl_reg_seq_lib.sv.v\n uart_ctrl_reg_seq_lib.sv.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv.v\n obj_dir/uart_ctrl_reg_seq_lib.sv.sv\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:31: Cannot find include file: uart_ctrl_seq_lib.sv\n`include "uart_ctrl_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:32: Cannot find include file: uart_ctrl_virtual_seq_lib.sv\n`include "uart_ctrl_virtual_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: Unsupported: classes\nclass uart_ctrl_tb extends uvm_env;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_tb extends uvm_env;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:56: syntax error, unexpected IDENTIFIER\n uvm_table_printer printer = new();\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:59: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_tb)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:60: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(reg_model, UVM_DEFAULT | UVM_REFERENCE)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:61: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:62: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:63: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: Unsupported: new constructor\n function new(input string name, input uvm_component parent=null);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent=null);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:71: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void start_of_simulation_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:72: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:73: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:74: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:76: syntax error, unexpected extern\n extern virtual function void update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:81: Unsupported: this\n uvm_test_done.set_drain_time(this, 1000);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:83: syntax error, unexpected endfunction, expecting endtask\n endfunction : start_of_simulation_phase\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: scoped class reference\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:75: Unsupported: Out of class block function declaration\n extern virtual task reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:90: Define or directive not defined: \'`uvm_info\'\n `uvm_info("NOCONFIG", "No uart_ctrl_config, creating...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:94: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Printing cfg:\\n", cfg.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: scoped class reference\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Hierarchical class references\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: scoped class reference\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: syntax error, unexpected IDENTIFIER, expecting \')\'\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:168: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:171: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"REGISTER MODEL:\\n", reg_model.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Hierarchical class references\n task uart_ctrl_tb::reset_reg_model();\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: scoped class reference\n task uart_ctrl_tb::reset_reg_model();\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:180: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 0);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: syntax error, unexpected \',\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:183: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: scoped class reference\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:188: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Update Config\\n", uart_ctrl_cfg.sprint()}, UVM_HIGH)\n ^~~~~~~~~\n%Error: Exiting due to 48 error(s)\n' | 308,288 | function | function new(input string name, input uvm_component parent=null);
super.new(name,parent);
endfunction | function new(input string name, input uvm_component parent=null); |
super.new(name,parent);
endfunction | 0 |
139,981 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv | 90,320,290 | uart_ctrl_tb.sv | sv | 195 | 110 | [] | ['apache license'] | ['all rights reserved'] | null | None: at end of input | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:28: Cannot find include file: uart_ctrl_reg_seq_lib.sv\n`include "uart_ctrl_reg_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.v\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.sv\n uart_ctrl_reg_seq_lib.sv\n uart_ctrl_reg_seq_lib.sv.v\n uart_ctrl_reg_seq_lib.sv.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv.v\n obj_dir/uart_ctrl_reg_seq_lib.sv.sv\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:31: Cannot find include file: uart_ctrl_seq_lib.sv\n`include "uart_ctrl_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:32: Cannot find include file: uart_ctrl_virtual_seq_lib.sv\n`include "uart_ctrl_virtual_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: Unsupported: classes\nclass uart_ctrl_tb extends uvm_env;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_tb extends uvm_env;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:56: syntax error, unexpected IDENTIFIER\n uvm_table_printer printer = new();\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:59: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_tb)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:60: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(reg_model, UVM_DEFAULT | UVM_REFERENCE)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:61: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:62: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:63: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: Unsupported: new constructor\n function new(input string name, input uvm_component parent=null);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent=null);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:71: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void start_of_simulation_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:72: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:73: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:74: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:76: syntax error, unexpected extern\n extern virtual function void update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:81: Unsupported: this\n uvm_test_done.set_drain_time(this, 1000);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:83: syntax error, unexpected endfunction, expecting endtask\n endfunction : start_of_simulation_phase\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: scoped class reference\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:75: Unsupported: Out of class block function declaration\n extern virtual task reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:90: Define or directive not defined: \'`uvm_info\'\n `uvm_info("NOCONFIG", "No uart_ctrl_config, creating...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:94: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Printing cfg:\\n", cfg.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: scoped class reference\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Hierarchical class references\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: scoped class reference\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: syntax error, unexpected IDENTIFIER, expecting \')\'\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:168: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:171: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"REGISTER MODEL:\\n", reg_model.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Hierarchical class references\n task uart_ctrl_tb::reset_reg_model();\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: scoped class reference\n task uart_ctrl_tb::reset_reg_model();\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:180: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 0);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: syntax error, unexpected \',\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:183: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: scoped class reference\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:188: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Update Config\\n", uart_ctrl_cfg.sprint()}, UVM_HIGH)\n ^~~~~~~~~\n%Error: Exiting due to 48 error(s)\n' | 308,288 | function | function void start_of_simulation_phase(uvm_phase phase);
extern virtual function void build_phase(uvm_phase phase);
extern virtual function void connect_phase(uvm_phase phase);
extern virtual task run_phase(uvm_phase phase);
extern virtual task reset_reg_model();
extern virtual function void update_config(uart_ctrl_config uart_ctrl_cfg);
endclass : uart_ctrl_tb
function void uart_ctrl_tb::start_of_simulation_phase(uvm_phase phase);
uvm_test_done.set_drain_time(this, 1000);
uvm_test_done.set_report_verbosity_level(UVM_HIGH);
endfunction | function void start_of_simulation_phase(uvm_phase phase); |
extern virtual function void build_phase(uvm_phase phase);
extern virtual function void connect_phase(uvm_phase phase);
extern virtual task run_phase(uvm_phase phase);
extern virtual task reset_reg_model();
extern virtual function void update_config(uart_ctrl_config uart_ctrl_cfg);
endclass : uart_ctrl_tb
function void uart_ctrl_tb::start_of_simulation_phase(uvm_phase phase);
uvm_test_done.set_drain_time(this, 1000);
uvm_test_done.set_report_verbosity_level(UVM_HIGH);
endfunction | 0 |
139,982 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv | 90,320,290 | uart_ctrl_tb.sv | sv | 195 | 110 | [] | ['apache license'] | ['all rights reserved'] | null | None: at end of input | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:28: Cannot find include file: uart_ctrl_reg_seq_lib.sv\n`include "uart_ctrl_reg_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.v\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.sv\n uart_ctrl_reg_seq_lib.sv\n uart_ctrl_reg_seq_lib.sv.v\n uart_ctrl_reg_seq_lib.sv.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv.v\n obj_dir/uart_ctrl_reg_seq_lib.sv.sv\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:31: Cannot find include file: uart_ctrl_seq_lib.sv\n`include "uart_ctrl_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:32: Cannot find include file: uart_ctrl_virtual_seq_lib.sv\n`include "uart_ctrl_virtual_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: Unsupported: classes\nclass uart_ctrl_tb extends uvm_env;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_tb extends uvm_env;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:56: syntax error, unexpected IDENTIFIER\n uvm_table_printer printer = new();\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:59: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_tb)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:60: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(reg_model, UVM_DEFAULT | UVM_REFERENCE)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:61: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:62: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:63: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: Unsupported: new constructor\n function new(input string name, input uvm_component parent=null);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent=null);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:71: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void start_of_simulation_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:72: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:73: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:74: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:76: syntax error, unexpected extern\n extern virtual function void update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:81: Unsupported: this\n uvm_test_done.set_drain_time(this, 1000);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:83: syntax error, unexpected endfunction, expecting endtask\n endfunction : start_of_simulation_phase\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: scoped class reference\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:75: Unsupported: Out of class block function declaration\n extern virtual task reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:90: Define or directive not defined: \'`uvm_info\'\n `uvm_info("NOCONFIG", "No uart_ctrl_config, creating...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:94: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Printing cfg:\\n", cfg.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: scoped class reference\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Hierarchical class references\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: scoped class reference\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: syntax error, unexpected IDENTIFIER, expecting \')\'\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:168: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:171: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"REGISTER MODEL:\\n", reg_model.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Hierarchical class references\n task uart_ctrl_tb::reset_reg_model();\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: scoped class reference\n task uart_ctrl_tb::reset_reg_model();\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:180: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 0);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: syntax error, unexpected \',\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:183: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: scoped class reference\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:188: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Update Config\\n", uart_ctrl_cfg.sprint()}, UVM_HIGH)\n ^~~~~~~~~\n%Error: Exiting due to 48 error(s)\n' | 308,288 | function | function void uart_ctrl_tb::build_phase(uvm_phase phase);
super.build_phase(phase);
if (cfg == null)
if (!uvm_config_db#(uart_ctrl_config)::get(this, "", "cfg", cfg)) begin
`uvm_info("NOCONFIG", "No uart_ctrl_config, creating...", UVM_LOW)
cfg = uart_ctrl_config::type_id::create("cfg", this);
cfg.apb_cfg.add_master("master", UVM_ACTIVE);
cfg.apb_cfg.add_slave("uart0", 32'h000000, 32'h81FFFF, 0, UVM_PASSIVE);
`uvm_info(get_type_name(), {"Printing cfg:\n", cfg.sprint()}, UVM_MEDIUM)
end
uvm_config_object::set(this, "apb0", "cfg", cfg.apb_cfg);
uvm_config_object::set(this, "uart0", "cfg", cfg.uart_cfg);
uvm_config_object::set(this, "uart_ctrl0", "cfg", cfg);
uvm_config_object::set(this, "virtual_sequencer", "cfg", cfg);
uvm_config_object::set(this, "uart_ctrl0", "apb_slave_cfg", cfg.apb_cfg.slave_configs[0]);
if (reg_model == null) begin
if (coverage_enable == 1) uvm_reg::include_coverage("*", UVM_CVR_ALL);
reg_model = uart_ctrl_reg_model_c::type_id::create("reg_model");
reg_model.build();
reg_model.lock_model();
end
uvm_config_object::set(this, "*", "reg_model", reg_model);
apb0 = apb_pkg::apb_env::type_id::create("apb0",this);
uart0 = uart_pkg::uart_env::type_id::create("uart0",this);
uart_ctrl0 = uart_ctrl_env::type_id::create("uart_ctrl0",this);
virtual_sequencer = uart_ctrl_virtual_sequencer::type_id::create("virtual_sequencer",this);
endfunction | function void uart_ctrl_tb::build_phase(uvm_phase phase); |
super.build_phase(phase);
if (cfg == null)
if (!uvm_config_db#(uart_ctrl_config)::get(this, "", "cfg", cfg)) begin
`uvm_info("NOCONFIG", "No uart_ctrl_config, creating...", UVM_LOW)
cfg = uart_ctrl_config::type_id::create("cfg", this);
cfg.apb_cfg.add_master("master", UVM_ACTIVE);
cfg.apb_cfg.add_slave("uart0", 32'h000000, 32'h81FFFF, 0, UVM_PASSIVE);
`uvm_info(get_type_name(), {"Printing cfg:\n", cfg.sprint()}, UVM_MEDIUM)
end
uvm_config_object::set(this, "apb0", "cfg", cfg.apb_cfg);
uvm_config_object::set(this, "uart0", "cfg", cfg.uart_cfg);
uvm_config_object::set(this, "uart_ctrl0", "cfg", cfg);
uvm_config_object::set(this, "virtual_sequencer", "cfg", cfg);
uvm_config_object::set(this, "uart_ctrl0", "apb_slave_cfg", cfg.apb_cfg.slave_configs[0]);
if (reg_model == null) begin
if (coverage_enable == 1) uvm_reg::include_coverage("*", UVM_CVR_ALL);
reg_model = uart_ctrl_reg_model_c::type_id::create("reg_model");
reg_model.build();
reg_model.lock_model();
end
uvm_config_object::set(this, "*", "reg_model", reg_model);
apb0 = apb_pkg::apb_env::type_id::create("apb0",this);
uart0 = uart_pkg::uart_env::type_id::create("uart0",this);
uart_ctrl0 = uart_ctrl_env::type_id::create("uart_ctrl0",this);
virtual_sequencer = uart_ctrl_virtual_sequencer::type_id::create("virtual_sequencer",this);
endfunction | 0 |
139,983 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv | 90,320,290 | uart_ctrl_tb.sv | sv | 195 | 110 | [] | ['apache license'] | ['all rights reserved'] | null | None: at end of input | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:28: Cannot find include file: uart_ctrl_reg_seq_lib.sv\n`include "uart_ctrl_reg_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.v\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.sv\n uart_ctrl_reg_seq_lib.sv\n uart_ctrl_reg_seq_lib.sv.v\n uart_ctrl_reg_seq_lib.sv.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv.v\n obj_dir/uart_ctrl_reg_seq_lib.sv.sv\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:31: Cannot find include file: uart_ctrl_seq_lib.sv\n`include "uart_ctrl_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:32: Cannot find include file: uart_ctrl_virtual_seq_lib.sv\n`include "uart_ctrl_virtual_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: Unsupported: classes\nclass uart_ctrl_tb extends uvm_env;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_tb extends uvm_env;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:56: syntax error, unexpected IDENTIFIER\n uvm_table_printer printer = new();\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:59: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_tb)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:60: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(reg_model, UVM_DEFAULT | UVM_REFERENCE)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:61: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:62: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:63: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: Unsupported: new constructor\n function new(input string name, input uvm_component parent=null);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent=null);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:71: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void start_of_simulation_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:72: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:73: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:74: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:76: syntax error, unexpected extern\n extern virtual function void update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:81: Unsupported: this\n uvm_test_done.set_drain_time(this, 1000);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:83: syntax error, unexpected endfunction, expecting endtask\n endfunction : start_of_simulation_phase\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: scoped class reference\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:75: Unsupported: Out of class block function declaration\n extern virtual task reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:90: Define or directive not defined: \'`uvm_info\'\n `uvm_info("NOCONFIG", "No uart_ctrl_config, creating...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:94: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Printing cfg:\\n", cfg.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: scoped class reference\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Hierarchical class references\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: scoped class reference\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: syntax error, unexpected IDENTIFIER, expecting \')\'\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:168: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:171: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"REGISTER MODEL:\\n", reg_model.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Hierarchical class references\n task uart_ctrl_tb::reset_reg_model();\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: scoped class reference\n task uart_ctrl_tb::reset_reg_model();\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:180: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 0);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: syntax error, unexpected \',\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:183: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: scoped class reference\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:188: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Update Config\\n", uart_ctrl_cfg.sprint()}, UVM_HIGH)\n ^~~~~~~~~\n%Error: Exiting due to 48 error(s)\n' | 308,288 | function | function void uart_ctrl_tb::connect_phase(uvm_phase phase);
super.connect_phase(phase);
reg_model.default_map.set_sequencer(apb0.master.sequencer, uart_ctrl0.reg2apb);
virtual_sequencer.apb_seqr = apb0.master.sequencer;
if (uart0.Tx.cfg.is_tx_active == UVM_ACTIVE)
virtual_sequencer.uart_seqr = uart0.Tx.sequencer;
uart_ctrl0.set_slave_config(cfg.apb_cfg.slave_configs[0], 0);
uart0.Rx.monitor.frame_collected_port.connect(uart_ctrl0.monitor.uart_rx_in);
uart0.Tx.monitor.frame_collected_port.connect(uart_ctrl0.monitor.uart_tx_in);
apb0.bus_monitor.item_collected_port.connect(uart_ctrl0.monitor.apb_in);
apb0.bus_monitor.item_collected_port.connect(uart_ctrl0.apb_in);
apb0.bus_monitor.item_collected_port.connect(uart_ctrl0.apb_predictor.bus_in);
uart_ctrl0.uart_cfg_out.connect(uart0.dut_cfg_port_in);
endfunction | function void uart_ctrl_tb::connect_phase(uvm_phase phase); |
super.connect_phase(phase);
reg_model.default_map.set_sequencer(apb0.master.sequencer, uart_ctrl0.reg2apb);
virtual_sequencer.apb_seqr = apb0.master.sequencer;
if (uart0.Tx.cfg.is_tx_active == UVM_ACTIVE)
virtual_sequencer.uart_seqr = uart0.Tx.sequencer;
uart_ctrl0.set_slave_config(cfg.apb_cfg.slave_configs[0], 0);
uart0.Rx.monitor.frame_collected_port.connect(uart_ctrl0.monitor.uart_rx_in);
uart0.Tx.monitor.frame_collected_port.connect(uart_ctrl0.monitor.uart_tx_in);
apb0.bus_monitor.item_collected_port.connect(uart_ctrl0.monitor.apb_in);
apb0.bus_monitor.item_collected_port.connect(uart_ctrl0.apb_in);
apb0.bus_monitor.item_collected_port.connect(uart_ctrl0.apb_predictor.bus_in);
uart_ctrl0.uart_cfg_out.connect(uart0.dut_cfg_port_in);
endfunction | 0 |
139,984 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv | 90,320,290 | uart_ctrl_tb.sv | sv | 195 | 110 | [] | ['apache license'] | ['all rights reserved'] | null | None: at end of input | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:28: Cannot find include file: uart_ctrl_reg_seq_lib.sv\n`include "uart_ctrl_reg_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.v\n data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv,data/full_repos/permissive/90320290/uart_ctrl_reg_seq_lib.sv.sv\n uart_ctrl_reg_seq_lib.sv\n uart_ctrl_reg_seq_lib.sv.v\n uart_ctrl_reg_seq_lib.sv.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv\n obj_dir/uart_ctrl_reg_seq_lib.sv.v\n obj_dir/uart_ctrl_reg_seq_lib.sv.sv\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:31: Cannot find include file: uart_ctrl_seq_lib.sv\n`include "uart_ctrl_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:32: Cannot find include file: uart_ctrl_virtual_seq_lib.sv\n`include "uart_ctrl_virtual_seq_lib.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: Unsupported: classes\nclass uart_ctrl_tb extends uvm_env;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:37: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_tb extends uvm_env;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:56: syntax error, unexpected IDENTIFIER\n uvm_table_printer printer = new();\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:59: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_tb)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:60: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(reg_model, UVM_DEFAULT | UVM_REFERENCE)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:61: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:62: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_DEFAULT)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:63: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: Unsupported: new constructor\n function new(input string name, input uvm_component parent=null);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:66: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent=null);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:71: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void start_of_simulation_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:72: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:73: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:74: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:76: syntax error, unexpected extern\n extern virtual function void update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:81: Unsupported: this\n uvm_test_done.set_drain_time(this, 1000);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:83: syntax error, unexpected endfunction, expecting endtask\n endfunction : start_of_simulation_phase\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: scoped class reference\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:75: Unsupported: Out of class block function declaration\n extern virtual task reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:90: Define or directive not defined: \'`uvm_info\'\n `uvm_info("NOCONFIG", "No uart_ctrl_config, creating...", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:94: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Printing cfg:\\n", cfg.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: scoped class reference\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:85: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::build_phase(uvm_phase phase);\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Hierarchical class references\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: scoped class reference\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:129: Unsupported: Out of class block function declaration\n function void uart_ctrl_tb::connect_phase(uvm_phase phase);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: syntax error, unexpected IDENTIFIER, expecting \')\'\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:168: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(),\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:171: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"REGISTER MODEL:\\n", reg_model.sprint()}, UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Hierarchical class references\n task uart_ctrl_tb::reset_reg_model();\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: scoped class reference\n task uart_ctrl_tb::reset_reg_model();\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:163: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::run_phase(uvm_phase phase);\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:180: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 0);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:181: syntax error, unexpected \',\'\n `uvm_info(get_type_name(), "Resetting Registers", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:183: Unsupported: wait statements\n wait (uart_ctrl_top.reset == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: Hierarchical class references\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: Unsupported: scoped class reference\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:178: Unsupported: Out of class block function declaration\n task uart_ctrl_tb::reset_reg_model();\n ^\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:187: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/sv/uart_ctrl_tb.sv:188: Define or directive not defined: \'`uvm_info\'\n `uvm_info(get_type_name(), {"Update Config\\n", uart_ctrl_cfg.sprint()}, UVM_HIGH)\n ^~~~~~~~~\n%Error: Exiting due to 48 error(s)\n' | 308,288 | function | function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg);
`uvm_info(get_type_name(), {"Update Config\n", uart_ctrl_cfg.sprint()}, UVM_HIGH)
cfg = uart_ctrl_cfg;
uart_ctrl0.update_config(uart_ctrl_cfg, 0);
uart0.update_config(uart_ctrl_cfg.uart_cfg);
apb0.update_config(uart_ctrl_cfg.apb_cfg);
endfunction | function void uart_ctrl_tb::update_config(uart_ctrl_config uart_ctrl_cfg); |
`uvm_info(get_type_name(), {"Update Config\n", uart_ctrl_cfg.sprint()}, UVM_HIGH)
cfg = uart_ctrl_cfg;
uart_ctrl0.update_config(uart_ctrl_cfg, 0);
uart0.update_config(uart_ctrl_cfg.uart_cfg);
apb0.update_config(uart_ctrl_cfg.apb_cfg);
endfunction | 0 |
139,985 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv | 90,320,290 | apb_uart_rx_tx_data_aa.sv | sv | 49 | 92 | [] | ['apache license'] | ['all rights reserved'] | null | line:29: before: "class" | null | 1: b"%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:29: Unsupported: classes\nclass uart_frame_aa extends uart_pkg::uart_frame;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:29: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_frame_aa extends uart_pkg::uart_frame;\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:30: Define or directive not defined: '`uvm_object_utils'\n `uvm_object_utils(uart_frame_aa) \n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:31: Unsupported: SystemVerilog 2005 reserved word not implemented: 'constraint'\n constraint payload_ct { payload == 8'haa; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:34: Unsupported: classes\nclass apb_uart_rx_tx_data_aa extends apb_uart_rx_tx;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:34: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_uart_rx_tx_data_aa extends apb_uart_rx_tx;\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:36: Define or directive not defined: '`uvm_component_utils'\n `uvm_component_utils(apb_uart_rx_tx_data_aa)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:38: Unsupported: new constructor\n function new(input string name, \n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:39: syntax error, unexpected IDENTIFIER, expecting ')'\n input uvm_component parent=null);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:43: syntax error, unexpected IDENTIFIER, expecting ')'\n virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: Exiting due to 10 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,292 | function | function new(input string name,
input uvm_component parent=null);
super.new(name,parent);
endfunction | function new(input string name,
input uvm_component parent=null); |
super.new(name,parent);
endfunction | 0 |
139,986 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv | 90,320,290 | apb_uart_rx_tx_data_aa.sv | sv | 49 | 92 | [] | ['apache license'] | ['all rights reserved'] | null | line:29: before: "class" | null | 1: b"%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:29: Unsupported: classes\nclass uart_frame_aa extends uart_pkg::uart_frame;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:29: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_frame_aa extends uart_pkg::uart_frame;\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:30: Define or directive not defined: '`uvm_object_utils'\n `uvm_object_utils(uart_frame_aa) \n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:31: Unsupported: SystemVerilog 2005 reserved word not implemented: 'constraint'\n constraint payload_ct { payload == 8'haa; }\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:34: Unsupported: classes\nclass apb_uart_rx_tx_data_aa extends apb_uart_rx_tx;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:34: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass apb_uart_rx_tx_data_aa extends apb_uart_rx_tx;\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:36: Define or directive not defined: '`uvm_component_utils'\n `uvm_component_utils(apb_uart_rx_tx_data_aa)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:38: Unsupported: new constructor\n function new(input string name, \n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:39: syntax error, unexpected IDENTIFIER, expecting ')'\n input uvm_component parent=null);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/apb_uart_rx_tx_data_aa.sv:43: syntax error, unexpected IDENTIFIER, expecting ')'\n virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: Exiting due to 10 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,292 | function | function void build_phase(uvm_phase phase);
set_type_override_by_type(uart_pkg::uart_frame::get_type(), uart_frame_aa::get_type());
super.build_phase(phase);
endfunction | function void build_phase(uvm_phase phase); |
set_type_override_by_type(uart_pkg::uart_frame::get_type(), uart_frame_aa::get_type());
super.build_phase(phase);
endfunction | 0 |
139,987 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv | 90,320,290 | error_reg_seq.sv | sv | 34 | 98 | [] | [] | [] | null | line:13: before: "class" | null | 1: b"%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:13: Unsupported: classes\nclass error_reg_seq_test extends uvm_test;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:13: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass error_reg_seq_test extends uvm_test;\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:17: Define or directive not defined: '`uvm_component_utils'\n `uvm_component_utils(error_reg_seq_test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:19: Unsupported: new constructor\n function new(input string name, input uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:19: syntax error, unexpected IDENTIFIER, expecting ')'\n function new(input string name, input uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:23: syntax error, unexpected IDENTIFIER, expecting ')'\n virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: Exiting due to 6 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,293 | function | function new(input string name, input uvm_component parent);
super.new(name,parent);
endfunction | function new(input string name, input uvm_component parent); |
super.new(name,parent);
endfunction | 0 |
139,988 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv | 90,320,290 | error_reg_seq.sv | sv | 34 | 98 | [] | [] | [] | null | line:13: before: "class" | null | 1: b"%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:13: Unsupported: classes\nclass error_reg_seq_test extends uvm_test;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:13: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass error_reg_seq_test extends uvm_test;\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:17: Define or directive not defined: '`uvm_component_utils'\n `uvm_component_utils(error_reg_seq_test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:19: Unsupported: new constructor\n function new(input string name, input uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:19: syntax error, unexpected IDENTIFIER, expecting ')'\n function new(input string name, input uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/error_reg_seq.sv:23: syntax error, unexpected IDENTIFIER, expecting ')'\n virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: Exiting due to 6 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,293 | function | function void build_phase(uvm_phase phase);
super.build_phase(phase);
set_config_int("uart_ctrl_tb0.uart0.Tx.monitor", "checks_enable", 0);
uvm_config_db#(uvm_object_wrapper)::set(this, "uart_ctrl_tb0.virtual_sequencer.run_phase",
"default_sequence", error_reg_vseq::type_id::get());
uart_ctrl_tb0 = uart_ctrl_tb::type_id::create("uart_ctrl_tb0",this);
endfunction | function void build_phase(uvm_phase phase); |
super.build_phase(phase);
set_config_int("uart_ctrl_tb0.uart0.Tx.monitor", "checks_enable", 0);
uvm_config_db#(uvm_object_wrapper)::set(this, "uart_ctrl_tb0.virtual_sequencer.run_phase",
"default_sequence", error_reg_vseq::type_id::get());
uart_ctrl_tb0 = uart_ctrl_tb::type_id::create("uart_ctrl_tb0",this);
endfunction | 0 |
139,989 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv | 90,320,290 | uart_data_auto_lab1.sv | sv | 42 | 92 | [] | [] | [] | null | line:13: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:13: Unsupported: classes\nclass uart_uvm_lab1 extends uart_pkg::uart_frame;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:13: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_uvm_lab1 extends uart_pkg::uart_frame;\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:15: Define or directive not defined: \'`uvm_object_utils_begin\'\n `uvm_object_utils_begin(uart_uvm_lab1) \n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:16: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(start_bit, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:17: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(payload, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:18: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(stop_bits, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:19: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(error_bits, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:20: Define or directive not defined: \'`uvm_object_utils_end\'\n `uvm_object_utils_end\n ^~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:22: Unsupported: new constructor\n function new(string name = "");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:26: syntax error, unexpected endclass\nendclass : uart_uvm_lab1\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:28: Unsupported: classes\nclass uart_data_automation_lab1 extends apb_uart_rx_tx;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:28: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_data_automation_lab1 extends apb_uart_rx_tx;\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:30: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(uart_data_automation_lab1)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:32: Unsupported: new constructor\n function new(input string name, input uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:32: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:36: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: Exiting due to 19 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,298 | function | function new(string name = "");
super.new(name);
endfunction | function new(string name = ""); |
super.new(name);
endfunction | 0 |
139,990 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv | 90,320,290 | uart_data_auto_lab1.sv | sv | 42 | 92 | [] | [] | [] | null | line:13: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:13: Unsupported: classes\nclass uart_uvm_lab1 extends uart_pkg::uart_frame;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:13: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_uvm_lab1 extends uart_pkg::uart_frame;\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:15: Define or directive not defined: \'`uvm_object_utils_begin\'\n `uvm_object_utils_begin(uart_uvm_lab1) \n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:16: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(start_bit, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:17: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(payload, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:18: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(stop_bits, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:19: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(error_bits, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:20: Define or directive not defined: \'`uvm_object_utils_end\'\n `uvm_object_utils_end\n ^~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:22: Unsupported: new constructor\n function new(string name = "");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:26: syntax error, unexpected endclass\nendclass : uart_uvm_lab1\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:28: Unsupported: classes\nclass uart_data_automation_lab1 extends apb_uart_rx_tx;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:28: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_data_automation_lab1 extends apb_uart_rx_tx;\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:30: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(uart_data_automation_lab1)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:32: Unsupported: new constructor\n function new(input string name, input uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:32: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:36: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: Exiting due to 19 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,298 | function | function new(input string name, input uvm_component parent);
super.new(name,parent);
endfunction | function new(input string name, input uvm_component parent); |
super.new(name,parent);
endfunction | 0 |
139,991 | data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv | 90,320,290 | uart_data_auto_lab1.sv | sv | 42 | 92 | [] | [] | [] | null | line:13: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:13: Unsupported: classes\nclass uart_uvm_lab1 extends uart_pkg::uart_frame;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:13: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_uvm_lab1 extends uart_pkg::uart_frame;\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:15: Define or directive not defined: \'`uvm_object_utils_begin\'\n `uvm_object_utils_begin(uart_uvm_lab1) \n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:16: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(start_bit, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:17: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(payload, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:18: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(stop_bits, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:19: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(error_bits, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:20: Define or directive not defined: \'`uvm_object_utils_end\'\n `uvm_object_utils_end\n ^~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:22: Unsupported: new constructor\n function new(string name = "");\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:23: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:26: syntax error, unexpected endclass\nendclass : uart_uvm_lab1\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:28: Unsupported: classes\nclass uart_data_automation_lab1 extends apb_uart_rx_tx;\n^~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:28: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_data_automation_lab1 extends apb_uart_rx_tx;\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:30: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(uart_data_automation_lab1)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:32: Unsupported: new constructor\n function new(input string name, input uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:32: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(input string name, input uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/soc_verification_lib/sv_cb_ex_lib/uart_ctrl/tb/tests/uart_data_auto_lab1.sv:36: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual function void build_phase(uvm_phase phase);\n ^~~~~\n%Error: Exiting due to 19 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,298 | function | function void build_phase(uvm_phase phase);
set_type_override_by_type(uart_pkg::uart_frame::get_type(), uart_uvm_lab1::get_type());
super.build_phase(phase);
endfunction | function void build_phase(uvm_phase phase); |
set_type_override_by_type(uart_pkg::uart_frame::get_type(), uart_uvm_lab1::get_type());
super.build_phase(phase);
endfunction | 0 |
139,992 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv | 90,320,290 | ex10-10_perf_coverage.sv | sv | 68 | 89 | [] | [] | [] | null | line:6: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: Unsupported: classes\nclass uart_ctrl_cover extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_cover extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:8: Unsupported: virtual interface\n virtual interface uart_ctrl_if vif;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:14: syntax error, unexpected IDENTIFIER\n uvm_analysis_imp_apb #(apb_transfer, uart_ctrl_cover) apb_in;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:20: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:21: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:22: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:24: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:26: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:27: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:30: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:34: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup rx_delay_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:35: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n clocks : coverpoint rx_clks_delay {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:36: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ZERO = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:37: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ONE = {1};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:38: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins TWO = {2};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:39: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins GT_TWO = default;\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:41: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:44: syntax error, unexpected \'@\'\n @(vif.clock) clk_period = $time;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: Unsupported: new constructor\n function new(string name , uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(string name , uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:56: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_rx(uart_frame frame);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:60: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_apb(apb_transfer transfer);\n ^~~~~~~~\n%Error: Exiting due to 23 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,300 | function | function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))
`uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})
endfunction | function void connect_phase(uvm_phase phase); |
super.connect_phase(phase);
if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))
`uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})
endfunction | 0 |
139,993 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv | 90,320,290 | ex10-10_perf_coverage.sv | sv | 68 | 89 | [] | [] | [] | null | line:6: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: Unsupported: classes\nclass uart_ctrl_cover extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_cover extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:8: Unsupported: virtual interface\n virtual interface uart_ctrl_if vif;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:14: syntax error, unexpected IDENTIFIER\n uvm_analysis_imp_apb #(apb_transfer, uart_ctrl_cover) apb_in;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:20: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:21: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:22: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:24: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:26: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:27: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:30: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:34: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup rx_delay_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:35: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n clocks : coverpoint rx_clks_delay {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:36: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ZERO = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:37: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ONE = {1};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:38: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins TWO = {2};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:39: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins GT_TWO = default;\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:41: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:44: syntax error, unexpected \'@\'\n @(vif.clock) clk_period = $time;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: Unsupported: new constructor\n function new(string name , uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(string name , uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:56: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_rx(uart_frame frame);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:60: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_apb(apb_transfer transfer);\n ^~~~~~~~\n%Error: Exiting due to 23 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,300 | function | function new(string name , uvm_component parent);
super.new(name, parent);
if (coverage_enable) begin
rx_delay_cg = new();
rx_delay_cg.set_inst_name ({get_full_name(), ".rx_delay_cg"});
end
endfunction | function new(string name , uvm_component parent); |
super.new(name, parent);
if (coverage_enable) begin
rx_delay_cg = new();
rx_delay_cg.set_inst_name ({get_full_name(), ".rx_delay_cg"});
end
endfunction | 0 |
139,994 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv | 90,320,290 | ex10-10_perf_coverage.sv | sv | 68 | 89 | [] | [] | [] | null | line:6: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: Unsupported: classes\nclass uart_ctrl_cover extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_cover extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:8: Unsupported: virtual interface\n virtual interface uart_ctrl_if vif;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:14: syntax error, unexpected IDENTIFIER\n uvm_analysis_imp_apb #(apb_transfer, uart_ctrl_cover) apb_in;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:20: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:21: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:22: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:24: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:26: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:27: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:30: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:34: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup rx_delay_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:35: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n clocks : coverpoint rx_clks_delay {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:36: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ZERO = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:37: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ONE = {1};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:38: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins TWO = {2};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:39: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins GT_TWO = default;\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:41: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:44: syntax error, unexpected \'@\'\n @(vif.clock) clk_period = $time;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: Unsupported: new constructor\n function new(string name , uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(string name , uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:56: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_rx(uart_frame frame);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:60: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_apb(apb_transfer transfer);\n ^~~~~~~~\n%Error: Exiting due to 23 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,300 | function | function void write_rx(uart_frame frame);
rx_time_q.push_front($time);
endfunction | function void write_rx(uart_frame frame); |
rx_time_q.push_front($time);
endfunction | 0 |
139,995 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv | 90,320,290 | ex10-10_perf_coverage.sv | sv | 68 | 89 | [] | [] | [] | null | line:6: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: Unsupported: classes\nclass uart_ctrl_cover extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:6: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_cover extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:8: Unsupported: virtual interface\n virtual interface uart_ctrl_if vif;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:14: syntax error, unexpected IDENTIFIER\n uvm_analysis_imp_apb #(apb_transfer, uart_ctrl_cover) apb_in;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:20: Define or directive not defined: \'`uvm_component_utils_begin\'\n `uvm_component_utils_begin(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:21: Define or directive not defined: \'`uvm_field_int\'\n `uvm_field_int(coverage_enable, UVM_ALL_ON)\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:22: Define or directive not defined: \'`uvm_component_utils_end\'\n `uvm_component_utils_end\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:24: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:26: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:27: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:30: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:34: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup rx_delay_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:35: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n clocks : coverpoint rx_clks_delay {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:36: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ZERO = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:37: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins ONE = {1};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:38: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins TWO = {2};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:39: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins GT_TWO = default;\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:41: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:44: syntax error, unexpected \'@\'\n @(vif.clock) clk_period = $time;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: Unsupported: new constructor\n function new(string name , uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:48: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(string name , uvm_component parent);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:56: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_rx(uart_frame frame);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-10_perf_coverage.sv:60: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void write_apb(apb_transfer transfer);\n ^~~~~~~~\n%Error: Exiting due to 23 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,300 | function | function void write_apb(apb_transfer transfer);
if ((transfer.addr == uart_cfg.fifo_address) &&
(transfer.direction == APB_READ)) begin
rx_clks_delay = ($time - rx_time_q.pop_back())/clk_period;
if (coverage_enable) rx_delay_cg.sample();
end
endfunction | function void write_apb(apb_transfer transfer); |
if ((transfer.addr == uart_cfg.fifo_address) &&
(transfer.direction == APB_READ)) begin
rx_clks_delay = ($time - rx_time_q.pop_back())/clk_period;
if (coverage_enable) rx_delay_cg.sample();
end
endfunction | 0 |
139,996 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv | 90,320,290 | ex10-11_backdoor_seq.sv | sv | 32 | 94 | [] | [] | [] | null | line:5: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:5: Unsupported: classes\nclass uart_ctrl_config_bd_seq extends base_reg_seq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:5: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_config_bd_seq extends base_reg_seq;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:7: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(uart_ctrl_config_bd_seq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:8: Unsupported: new constructor\n function new(string name="uart_ctrl_config_bd_seq");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:9: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:9: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:9: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:12: syntax error, unexpected virtual\n virtual task body();\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:13: syntax error, unexpected IDENTIFIER\n uvm_status_e status;\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:17: syntax error, unexpected ::\n void\'(uvm_config_int::get(uvm_root::get(), get_full_name(),\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:20: Define or directive not defined: \'`uvm_info\'\n `uvm_info("REG_CONFIG", $sformatf("Configuration(%s) Starting...", path.name()), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:20: syntax error, unexpected \',\'\n `uvm_info("REG_CONFIG", $sformatf("Configuration(%s) Starting...", path.name()), UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-11_backdoor_seq.sv:31: syntax error, unexpected endclass\nendclass : uart_ctrl_config_bd_seq\n^~~~~~~~\n%Error: Exiting due to 13 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,301 | function | function new(string name="uart_ctrl_config_bd_seq");
super.new(name);
endfunction | function new(string name="uart_ctrl_config_bd_seq"); |
super.new(name);
endfunction | 0 |
139,997 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv | 90,320,290 | ex10-2_monitor_build_connect.sv | sv | 33 | 90 | [] | [] | [] | null | line:7: before: "function" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:7: syntax error, unexpected ::, expecting \'(\' or \';\'\nfunction void uart_ctrl_monitor::build_phase(uvm_phase phase);\n ^~\n : ... Perhaps \'uart_ctrl_monitor\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:14: Define or directive not defined: \'`uvm_info\'\n `uvm_info("NOCONFIG", "uart_ctrl_cfg is null...creating ", UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:23: syntax error, unexpected ::, expecting \'(\' or \';\'\nfunction void uart_ctrl_monitor::connect_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:26: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_internal_if)::get(this, "", "vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:27: Define or directive not defined: \'`uvm_error\'\n : ... Suggested alternative: \'`error\'\n `uvm_error("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: Exiting due to 5 error(s)\n' | 308,303 | function | function void uart_ctrl_monitor::build_phase(uvm_phase phase);
super.build_phase(phase);
uart_cover = uart_ctrl_cover::type_id::create("uart_cover",this);
if (cfg==null) begin
if (!uvm_config_db#(uart_ctrl_config)::get(this, "", "cfg", cfg)) begin
`uvm_info("NOCONFIG", "uart_ctrl_cfg is null...creating ", UVM_MEDIUM)
cfg = uart_ctrl_config::type_id::create("cfg", this);
end
uvm_config_object::set(this, "*x_scbd", "uart_cfg", cfg.uart_cfg);
uvm_config_object::set(this, "*x_scbd", "apb_slave_cfg", cfg.apb_cfg.slave_configs[0]);
tx_scbd = uart_ctrl_tx_scbd::type_id::create("tx_scbd",this);
rx_scbd = uart_ctrl_rx_scbd::type_id::create("rx_scbd",this);
endfunction | function void uart_ctrl_monitor::build_phase(uvm_phase phase); |
super.build_phase(phase);
uart_cover = uart_ctrl_cover::type_id::create("uart_cover",this);
if (cfg==null) begin
if (!uvm_config_db#(uart_ctrl_config)::get(this, "", "cfg", cfg)) begin
`uvm_info("NOCONFIG", "uart_ctrl_cfg is null...creating ", UVM_MEDIUM)
cfg = uart_ctrl_config::type_id::create("cfg", this);
end
uvm_config_object::set(this, "*x_scbd", "uart_cfg", cfg.uart_cfg);
uvm_config_object::set(this, "*x_scbd", "apb_slave_cfg", cfg.apb_cfg.slave_configs[0]);
tx_scbd = uart_ctrl_tx_scbd::type_id::create("tx_scbd",this);
rx_scbd = uart_ctrl_rx_scbd::type_id::create("rx_scbd",this);
endfunction | 0 |
139,998 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv | 90,320,290 | ex10-2_monitor_build_connect.sv | sv | 33 | 90 | [] | [] | [] | null | line:7: before: "function" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:7: syntax error, unexpected ::, expecting \'(\' or \';\'\nfunction void uart_ctrl_monitor::build_phase(uvm_phase phase);\n ^~\n : ... Perhaps \'uart_ctrl_monitor\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:14: Define or directive not defined: \'`uvm_info\'\n `uvm_info("NOCONFIG", "uart_ctrl_cfg is null...creating ", UVM_MEDIUM)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:23: syntax error, unexpected ::, expecting \'(\' or \';\'\nfunction void uart_ctrl_monitor::connect_phase(uvm_phase phase);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:26: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_internal_if)::get(this, "", "vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-2_monitor_build_connect.sv:27: Define or directive not defined: \'`uvm_error\'\n : ... Suggested alternative: \'`error\'\n `uvm_error("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: Exiting due to 5 error(s)\n' | 308,303 | function | function void uart_ctrl_monitor::connect_phase(uvm_phase phase);
super.connect_phase(phase);
if (!uvm_config_db#(virtual uart_ctrl_internal_if)::get(this, "", "vif", vif))
`uvm_error("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})
apb_out.connect(tx_scbd.apb_match);
uart_tx_out.connect(tx_scbd.uart_add);
apb_out.connect(rx_scbd.apb_add);
uart_rx_out.connect(rx_scbd.uart_match);
endfunction | function void uart_ctrl_monitor::connect_phase(uvm_phase phase); |
super.connect_phase(phase);
if (!uvm_config_db#(virtual uart_ctrl_internal_if)::get(this, "", "vif", vif))
`uvm_error("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})
apb_out.connect(tx_scbd.apb_match);
uart_tx_out.connect(tx_scbd.uart_add);
apb_out.connect(rx_scbd.apb_add);
uart_rx_out.connect(rx_scbd.uart_match);
endfunction | 0 |
139,999 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv | 90,320,290 | ex10-5_uart_ctrl_config.sv | sv | 80 | 99 | [] | ['apache license'] | ['all rights reserved'] | null | line:13: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:8: Cannot find include file: ex10-4_uart_config.sv\n`include "ex10-4_uart_config.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ,data/full_repos/permissive/90320290/ex10-4_uart_config.sv\n data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ,data/full_repos/permissive/90320290/ex10-4_uart_config.sv.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ,data/full_repos/permissive/90320290/ex10-4_uart_config.sv.sv\n ex10-4_uart_config.sv\n ex10-4_uart_config.sv.v\n ex10-4_uart_config.sv.sv\n obj_dir/ex10-4_uart_config.sv\n obj_dir/ex10-4_uart_config.sv.v\n obj_dir/ex10-4_uart_config.sv.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:9: Cannot find include file: ../5_interface_uvcs/sv/apb_config.sv\n`include "../5_interface_uvcs/sv/apb_config.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:46: Unsupported: classes\nclass uart_ctrl_config extends uvm_object;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:46: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_config extends uvm_object;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:51: Define or directive not defined: \'`uvm_object_utils_begin\'\n `uvm_object_utils_begin(uart_ctrl_config)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:52: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(apb_cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:53: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(uart_cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:54: Define or directive not defined: \'`uvm_object_utils_end\'\n `uvm_object_utils_end\n ^~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:56: Unsupported: new constructor\n function new (string name = "uart_ctrl_config");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:57: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:57: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:57: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:58: syntax error, unexpected ::, expecting \';\'\n uart_cfg = uart_config::type_id::create("uart_cfg");\n ^~\n : ... Perhaps \'uart_config\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:59: syntax error, unexpected ::, expecting \';\'\n apb_cfg = apb_config::type_id::create("apb_cfg"); \n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:62: syntax error, unexpected endclass\nendclass : uart_ctrl_config\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:65: Unsupported: classes\nclass default_uart_ctrl_config extends uart_ctrl_config;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:65: Unsupported: extends\nclass default_uart_ctrl_config extends uart_ctrl_config;\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:67: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(default_uart_ctrl_config)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:67: syntax error, unexpected \'(\'\n `uvm_object_utils(default_uart_ctrl_config)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: Cannot continue\n' | 308,306 | function | function new (string name = "uart_ctrl_config");
super.new(name);
uart_cfg = uart_config::type_id::create("uart_cfg");
apb_cfg = apb_config::type_id::create("apb_cfg");
endfunction | function new (string name = "uart_ctrl_config"); |
super.new(name);
uart_cfg = uart_config::type_id::create("uart_cfg");
apb_cfg = apb_config::type_id::create("apb_cfg");
endfunction | 0 |
140,000 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv | 90,320,290 | ex10-5_uart_ctrl_config.sv | sv | 80 | 99 | [] | ['apache license'] | ['all rights reserved'] | null | line:13: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:8: Cannot find include file: ex10-4_uart_config.sv\n`include "ex10-4_uart_config.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ,data/full_repos/permissive/90320290/ex10-4_uart_config.sv\n data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ,data/full_repos/permissive/90320290/ex10-4_uart_config.sv.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ,data/full_repos/permissive/90320290/ex10-4_uart_config.sv.sv\n ex10-4_uart_config.sv\n ex10-4_uart_config.sv.v\n ex10-4_uart_config.sv.sv\n obj_dir/ex10-4_uart_config.sv\n obj_dir/ex10-4_uart_config.sv.v\n obj_dir/ex10-4_uart_config.sv.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:9: Cannot find include file: ../5_interface_uvcs/sv/apb_config.sv\n`include "../5_interface_uvcs/sv/apb_config.sv" \n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:46: Unsupported: classes\nclass uart_ctrl_config extends uvm_object;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:46: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_config extends uvm_object;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:51: Define or directive not defined: \'`uvm_object_utils_begin\'\n `uvm_object_utils_begin(uart_ctrl_config)\n ^~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:52: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(apb_cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:53: Define or directive not defined: \'`uvm_field_object\'\n `uvm_field_object(uart_cfg, UVM_DEFAULT)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:54: Define or directive not defined: \'`uvm_object_utils_end\'\n `uvm_object_utils_end\n ^~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:56: Unsupported: new constructor\n function new (string name = "uart_ctrl_config");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:57: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:57: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:57: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:58: syntax error, unexpected ::, expecting \';\'\n uart_cfg = uart_config::type_id::create("uart_cfg");\n ^~\n : ... Perhaps \'uart_config\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:59: syntax error, unexpected ::, expecting \';\'\n apb_cfg = apb_config::type_id::create("apb_cfg"); \n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:62: syntax error, unexpected endclass\nendclass : uart_ctrl_config\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:65: Unsupported: classes\nclass default_uart_ctrl_config extends uart_ctrl_config;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:65: Unsupported: extends\nclass default_uart_ctrl_config extends uart_ctrl_config;\n ^~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:67: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(default_uart_ctrl_config)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-5_uart_ctrl_config.sv:67: syntax error, unexpected \'(\'\n `uvm_object_utils(default_uart_ctrl_config)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: Cannot continue\n' | 308,306 | function | function new(string name = "default_uart_ctrl_config");
super.new(name);
uart_cfg = uart_config::type_id::create("uart_cfg");
apb_cfg = apb_config::type_id::create("apb_cfg");
apb_cfg.add_slave("slave0", 32'h0000_0000, 32'h7FFF_FFFF, 0, UVM_ACTIVE);
apb_cfg.add_master("master", UVM_ACTIVE);
endfunction | function new(string name = "default_uart_ctrl_config"); |
super.new(name);
uart_cfg = uart_config::type_id::create("uart_cfg");
apb_cfg = apb_config::type_id::create("apb_cfg");
apb_cfg.add_slave("slave0", 32'h0000_0000, 32'h7FFF_FFFF, 0, UVM_ACTIVE);
apb_cfg.add_master("master", UVM_ACTIVE);
endfunction | 0 |
140,001 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv | 90,320,290 | ex10-8_uart_ctrl_virtual_seq.sv | sv | 58 | 121 | [] | [] | [] | null | line:13: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:13: Unsupported: classes\nclass concurrent_u2a_a2u_rand_trans_vseq extends base_vseq;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:13: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass concurrent_u2a_a2u_rand_trans_vseq extends base_vseq;\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:16: syntax error, unexpected rand\n rand int unsigned num_u2a_wr;\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:18: Unsupported: new constructor\n function new(string name="concurrent_u2a_a2u_rand_trans_vseq");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:19: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:19: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:19: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:23: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(concurrent_u2a_a2u_rand_trans_vseq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:23: syntax error, unexpected new-then-paren, expecting IDENTIFIER or PACKAGE-IDENTIFIER or TYPE-IDENTIFIER or new\n `uvm_object_utils(concurrent_u2a_a2u_rand_trans_vseq)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:25: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_a2u_wr_ct {(num_a2u_wr <= 20);}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:26: Unsupported: SystemVerilog 2005 reserved word not implemented: \'constraint\'\n constraint num_u2a_wr_ct {(num_u2a_wr <= 10);}\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:39: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_VSEQ", "Executing", UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:39: syntax error, unexpected \',\'\n `uvm_info("UART_VSEQ", "Executing", UVM_LOW)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:40: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_VSEQ", $sformatf("Number of APB->UART Transaction = %0d", num_a2u_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:41: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_VSEQ", $sformatf("Number of UART->APB Transaction = %0d", num_u2a_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:42: Define or directive not defined: \'`uvm_info\'\n `uvm_info("UART_VSEQ", $sformatf("Total Number of APB<->UART Transaction = %0d", num_u2a_wr + num_a2u_wr), UVM_LOW)\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:45: Define or directive not defined: \'`uvm_do_on\'\n `uvm_do_on(config_seq, p_sequencer.apb_seqr) \n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:49: Define or directive not defined: \'`uvm_do_on_with\'\n `uvm_do_on_with(a2u_seq, p_sequencer.apb_seqr, {num_of_wr == num_a2u_wr;})\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:50: Define or directive not defined: \'`uvm_do_on_with\'\n `uvm_do_on_with(u2a_seq, p_sequencer.uart_seqr, {num_of_tx == num_u2a_wr;})\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:54: Define or directive not defined: \'`uvm_do_on_with\'\n `uvm_do_on_with(rd_rx_fifo, p_sequencer.apb_seqr, {num_of_rd == num_u2a_wr;})\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-8_uart_ctrl_virtual_seq.sv:54: syntax error, unexpected \',\'\n `uvm_do_on_with(rd_rx_fifo, p_sequencer.apb_seqr, {num_of_rd == num_u2a_wr;})\n ^\n%Error: Cannot continue\n ... See the manual and https://verilator.org for more assistance.\n' | 308,309 | function | function new(string name="concurrent_u2a_a2u_rand_trans_vseq");
super.new(name);
endfunction | function new(string name="concurrent_u2a_a2u_rand_trans_vseq"); |
super.new(name);
endfunction | 0 |
140,002 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv | 90,320,290 | ex10-9_uart_ctrl_cover.sv | sv | 95 | 106 | [] | [] | [] | null | line:17: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:17: Unsupported: classes\nclass uart_ctrl_cover extends uvm_component ;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:17: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_cover extends uvm_component ;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:19: Unsupported: virtual interface\n virtual interface uart_ctrl_if vif;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:24: syntax error, unexpected int, expecting \',\' or \';\'\n int unsigned mod_rx_fifo_ptr;\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:27: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:27: syntax error, unexpected \'(\'\n `uvm_component_utils(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:29: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:31: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:32: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:35: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:46: syntax error, unexpected \'@\'\n @(vif.tx_fifo_ptr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:47: Define or directive not defined: \'`UA_TX_FIFO_DEPTH\'\n mod_tx_fifo_ptr = (vif.tx_fifo_ptr*100/`UA_TX_FIFO_DEPTH);\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:52: syntax error, unexpected virtual\n virtual task collect_rx_coverage();\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:55: syntax error, unexpected \'@\'\n @(vif.rx_fifo_ptr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:56: Define or directive not defined: \'`UA_RX_FIFO_DEPTH\'\n mod_rx_fifo_ptr = (vif.rx_fifo_ptr*100/`UA_RX_FIFO_DEPTH);\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:66: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup dut_tx_fifo_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:66: syntax error, unexpected IDENTIFIER\n covergroup dut_tx_fifo_cg;\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:67: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n tx_level : coverpoint mod_tx_fifo_ptr {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:68: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins EMPTY = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:69: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins HALF_FULL = {[50:99]};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:70: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins FULL = {100};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:72: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:76: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup dut_rx_fifo_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:77: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n rx_level : coverpoint mod_rx_fifo_ptr {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:78: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins EMPTY = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:79: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins HALF_FULL = {[40:99]};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:80: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins FULL = {100};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:82: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:84: Unsupported: new constructor\n function new(string name , uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:84: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(string name , uvm_component parent);\n ^~~~~~\n%Error: Exiting due to 30 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,310 | function | function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))
`uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})
endfunction | function void connect_phase(uvm_phase phase); |
super.connect_phase(phase);
if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))
`uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})
endfunction | 0 |
140,003 | data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv | 90,320,290 | ex10-9_uart_ctrl_cover.sv | sv | 95 | 106 | [] | [] | [] | null | line:17: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:17: Unsupported: classes\nclass uart_ctrl_cover extends uvm_component ;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:17: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uart_ctrl_cover extends uvm_component ;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:19: Unsupported: virtual interface\n virtual interface uart_ctrl_if vif;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:24: syntax error, unexpected int, expecting \',\' or \';\'\n int unsigned mod_rx_fifo_ptr;\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:27: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:27: syntax error, unexpected \'(\'\n `uvm_component_utils(uart_ctrl_cover)\n ^~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:29: syntax error, unexpected IDENTIFIER, expecting \')\'\n function void connect_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:31: Unsupported: virtual data type\n if (!uvm_config_db#(virtual uart_ctrl_if)::get(this, get_full_name(),"vif", vif))\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:32: Define or directive not defined: \'`uvm_fatal\'\n `uvm_fatal("NOVIF",{"virtual interface must be set for: ",get_full_name(),".vif"})\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:35: syntax error, unexpected IDENTIFIER, expecting \')\'\n virtual task run_phase(uvm_phase phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:46: syntax error, unexpected \'@\'\n @(vif.tx_fifo_ptr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:47: Define or directive not defined: \'`UA_TX_FIFO_DEPTH\'\n mod_tx_fifo_ptr = (vif.tx_fifo_ptr*100/`UA_TX_FIFO_DEPTH);\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:52: syntax error, unexpected virtual\n virtual task collect_rx_coverage();\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:55: syntax error, unexpected \'@\'\n @(vif.rx_fifo_ptr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:56: Define or directive not defined: \'`UA_RX_FIFO_DEPTH\'\n mod_rx_fifo_ptr = (vif.rx_fifo_ptr*100/`UA_RX_FIFO_DEPTH);\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:66: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup dut_tx_fifo_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:66: syntax error, unexpected IDENTIFIER\n covergroup dut_tx_fifo_cg;\n ^~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:67: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n tx_level : coverpoint mod_tx_fifo_ptr {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:68: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins EMPTY = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:69: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins HALF_FULL = {[50:99]};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:70: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins FULL = {100};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:72: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:76: Unsupported: SystemVerilog 2005 reserved word not implemented: \'covergroup\'\n covergroup dut_rx_fifo_cg;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:77: Unsupported: SystemVerilog 2005 reserved word not implemented: \'coverpoint\'\n rx_level : coverpoint mod_rx_fifo_ptr {\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:78: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins EMPTY = {0};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:79: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins HALF_FULL = {[40:99]};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:80: Unsupported: SystemVerilog 2005 reserved word not implemented: \'bins\'\n bins FULL = {100};\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:82: Unsupported: SystemVerilog 2005 reserved word not implemented: \'endgroup\'\n endgroup\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:84: Unsupported: new constructor\n function new(string name , uvm_component parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/10_system_uvcs_and_tb_integ/ex10-9_uart_ctrl_cover.sv:84: syntax error, unexpected IDENTIFIER, expecting \')\'\n function new(string name , uvm_component parent);\n ^~~~~~\n%Error: Exiting due to 30 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,310 | function | function new(string name , uvm_component parent);
super.new(name, parent);
dut_rx_fifo_cg = new();
dut_rx_fifo_cg.set_inst_name ("dut_rx_fifo_cg");
dut_tx_fifo_cg = new();
dut_tx_fifo_cg.set_inst_name ("dut_tx_fifo_cg");
endfunction | function new(string name , uvm_component parent); |
super.new(name, parent);
dut_rx_fifo_cg = new();
dut_rx_fifo_cg.set_inst_name ("dut_rx_fifo_cg");
dut_tx_fifo_cg = new();
dut_tx_fifo_cg.set_inst_name ("dut_tx_fifo_cg");
endfunction | 0 |
140,004 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv | 90,320,290 | ex11-1_UVM.sv | sv | 96 | 81 | [] | [] | [] | null | line:6: before: "import" | null | 139: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:13: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:20: syntax error, unexpected \'#\', expecting \'.\' or \';\'\ntypedef uvm_sequence_library#(myitem) myseq_lib;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:23: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myseq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:24: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(myseqr)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:25: Define or directive not defined: \'`uvm_add_to_seq_lib\'\n `uvm_add_to_seq_lib(myseq, myseq_lib)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: Define or directive not defined: \'`uvm_info\'\n `uvm_info("SEND",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: syntax error, unexpected \',\'\n `uvm_info("SEND",\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:33: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: syntax error, unexpected \')\', expecting "\'{"\n `uvm_component_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:42: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:47: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:48: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:50: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:58: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:59: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:61: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:63: Unsupported: super\n super.build_phase(phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\nSegmentation fault\n%Error: Command Failed /usr/bin/verilator_bin --xml-output data/verilator_xmls/90719054-df5b-493b-824d-46f0d6eaa2ef.xml --y data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM\\,data/full_repos/permissive/90320290 data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv\n' | 308,312 | function | function new(string name="myitem");
super.new(name);
endfunction | function new(string name="myitem"); |
super.new(name);
endfunction | 0 |
140,005 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv | 90,320,290 | ex11-1_UVM.sv | sv | 96 | 81 | [] | [] | [] | null | line:6: before: "import" | null | 139: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:13: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:20: syntax error, unexpected \'#\', expecting \'.\' or \';\'\ntypedef uvm_sequence_library#(myitem) myseq_lib;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:23: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myseq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:24: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(myseqr)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:25: Define or directive not defined: \'`uvm_add_to_seq_lib\'\n `uvm_add_to_seq_lib(myseq, myseq_lib)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: Define or directive not defined: \'`uvm_info\'\n `uvm_info("SEND",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: syntax error, unexpected \',\'\n `uvm_info("SEND",\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:33: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: syntax error, unexpected \')\', expecting "\'{"\n `uvm_component_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:42: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:47: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:48: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:50: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:58: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:59: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:61: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:63: Unsupported: super\n super.build_phase(phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\nSegmentation fault\n%Error: Command Failed /usr/bin/verilator_bin --xml-output data/verilator_xmls/90719054-df5b-493b-824d-46f0d6eaa2ef.xml --y data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM\\,data/full_repos/permissive/90320290 data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv\n' | 308,312 | function | function new(string name, uvm_component parent);
super.new(name, parent);
endfunction | function new(string name, uvm_component parent); |
super.new(name, parent);
endfunction | 0 |
140,008 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv | 90,320,290 | ex11-1_UVM.sv | sv | 96 | 81 | [] | [] | [] | null | line:6: before: "import" | null | 139: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:13: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:20: syntax error, unexpected \'#\', expecting \'.\' or \';\'\ntypedef uvm_sequence_library#(myitem) myseq_lib;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:23: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myseq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:24: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(myseqr)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:25: Define or directive not defined: \'`uvm_add_to_seq_lib\'\n `uvm_add_to_seq_lib(myseq, myseq_lib)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: Define or directive not defined: \'`uvm_info\'\n `uvm_info("SEND",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: syntax error, unexpected \',\'\n `uvm_info("SEND",\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:33: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: syntax error, unexpected \')\', expecting "\'{"\n `uvm_component_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:42: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:47: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:48: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:50: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:58: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:59: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:61: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:63: Unsupported: super\n super.build_phase(phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\nSegmentation fault\n%Error: Command Failed /usr/bin/verilator_bin --xml-output data/verilator_xmls/90719054-df5b-493b-824d-46f0d6eaa2ef.xml --y data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM\\,data/full_repos/permissive/90320290 data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv\n' | 308,312 | function | function void build_phase(uvm_phase phase);
super.build_phase(phase);
if(is_active == UVM_ACTIVE) begin
seqr = myseqr::type_id::create("seqr",this);
driver = mydriver::type_id::create("driver",this);
end
endfunction | function void build_phase(uvm_phase phase); |
super.build_phase(phase);
if(is_active == UVM_ACTIVE) begin
seqr = myseqr::type_id::create("seqr",this);
driver = mydriver::type_id::create("driver",this);
end
endfunction | 0 |
140,009 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv | 90,320,290 | ex11-1_UVM.sv | sv | 96 | 81 | [] | [] | [] | null | line:6: before: "import" | null | 139: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:13: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:20: syntax error, unexpected \'#\', expecting \'.\' or \';\'\ntypedef uvm_sequence_library#(myitem) myseq_lib;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:23: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myseq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:24: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(myseqr)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:25: Define or directive not defined: \'`uvm_add_to_seq_lib\'\n `uvm_add_to_seq_lib(myseq, myseq_lib)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: Define or directive not defined: \'`uvm_info\'\n `uvm_info("SEND",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: syntax error, unexpected \',\'\n `uvm_info("SEND",\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:33: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: syntax error, unexpected \')\', expecting "\'{"\n `uvm_component_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:42: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:47: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:48: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:50: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:58: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:59: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:61: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:63: Unsupported: super\n super.build_phase(phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\nSegmentation fault\n%Error: Command Failed /usr/bin/verilator_bin --xml-output data/verilator_xmls/90719054-df5b-493b-824d-46f0d6eaa2ef.xml --y data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM\\,data/full_repos/permissive/90320290 data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv\n' | 308,312 | function | function void connect_phase(uvm_phase phase);
super.connect_phase(phase);
if (is_active == UVM_ACTIVE) begin
driver.seq_item_port.connect(seqr.seq_item_export);
end
endfunction | function void connect_phase(uvm_phase phase); |
super.connect_phase(phase);
if (is_active == UVM_ACTIVE) begin
driver.seq_item_port.connect(seqr.seq_item_export);
end
endfunction | 0 |
140,011 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv | 90,320,290 | ex11-1_UVM.sv | sv | 96 | 81 | [] | [] | [] | null | line:6: before: "import" | null | 139: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:12: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:13: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:14: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:16: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:20: syntax error, unexpected \'#\', expecting \'.\' or \';\'\ntypedef uvm_sequence_library#(myitem) myseq_lib;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:22: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:23: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myseq)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:24: Define or directive not defined: \'`uvm_declare_p_sequencer\'\n `uvm_declare_p_sequencer(myseqr)\n ^~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:25: Define or directive not defined: \'`uvm_add_to_seq_lib\'\n `uvm_add_to_seq_lib(myseq, myseq_lib)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: Define or directive not defined: \'`uvm_info\'\n `uvm_info("SEND",\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:30: syntax error, unexpected \',\'\n `uvm_info("SEND",\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:33: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:39: syntax error, unexpected \')\', expecting "\'{"\n `uvm_component_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:41: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:42: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:47: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:48: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:49: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:50: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:58: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:59: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:60: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:61: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:63: Unsupported: super\n super.build_phase(phase);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv:66: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\nSegmentation fault\n%Error: Command Failed /usr/bin/verilator_bin --xml-output data/verilator_xmls/90719054-df5b-493b-824d-46f0d6eaa2ef.xml --y data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM\\,data/full_repos/permissive/90320290 data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVM.sv\n' | 308,312 | function | function void build_phase(uvm_phase phase);
super.build_phase(phase);
slib = myseq_lib::type_id::create("slib", this);
slib.selection_mode = UVM_SEQ_LIB_RANDC;
void'(slib.randomize());
uvm_config_seq::set(this, "agent.seqr.run_phase", "default_sequence", slib);
agent = myagent::type_id::create("agent",this);
endfunction | function void build_phase(uvm_phase phase); |
super.build_phase(phase);
slib = myseq_lib::type_id::create("slib", this);
slib.selection_mode = UVM_SEQ_LIB_RANDC;
void'(slib.randomize());
uvm_config_seq::set(this, "agent.seqr.run_phase", "default_sequence", slib);
agent = myagent::type_id::create("agent",this);
endfunction | 0 |
140,012 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv | 90,320,290 | ex11-1_UVMea.sv | sv | 87 | 84 | [] | [] | [] | null | line:6: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:10: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:19: Define or directive not defined: \'`uvm_sequence_utils\'\n `uvm_sequence_utils(myseq, myseqr)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:27: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:28: syntax error, unexpected end\n end\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: Define or directive not defined: \'`uvm_sequencer_utils\'\n `uvm_sequencer_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: syntax error, unexpected \')\', expecting "\'{"\n `uvm_sequencer_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: Define or directive not defined: \'`uvm_update_sequence_lib_and_item\'\n `uvm_update_sequence_lib_and_item(myitem)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: syntax error, unexpected \')\', expecting "\'{"\n `uvm_update_sequence_lib_and_item(myitem)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:42: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:43: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:45: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:53: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:54: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:56: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:58: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:25: Unsupported: scoped class reference\n $sformatf("Sending item:%s", item.sprint()),\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: syntax error, unexpected ::, expecting \';\'\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n : ... Perhaps \'type_id\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:62: syntax error, unexpected ::, expecting \';\'\n driver = mydriver::type_id::create("driver",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:66: Unsupported: super\n super.connect();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:70: syntax error, unexpected endfunction\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:74: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:75: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:77: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:82: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:84: syntax error, unexpected ::, expecting \';\'\n agent = myagent::type_id::create("agent",this);\n ^~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n' | 308,313 | function | function new(string name="myitem");
super.new(name);
endfunction | function new(string name="myitem"); |
super.new(name);
endfunction | 0 |
140,013 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv | 90,320,290 | ex11-1_UVMea.sv | sv | 87 | 84 | [] | [] | [] | null | line:6: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:10: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:19: Define or directive not defined: \'`uvm_sequence_utils\'\n `uvm_sequence_utils(myseq, myseqr)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:27: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:28: syntax error, unexpected end\n end\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: Define or directive not defined: \'`uvm_sequencer_utils\'\n `uvm_sequencer_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: syntax error, unexpected \')\', expecting "\'{"\n `uvm_sequencer_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: Define or directive not defined: \'`uvm_update_sequence_lib_and_item\'\n `uvm_update_sequence_lib_and_item(myitem)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: syntax error, unexpected \')\', expecting "\'{"\n `uvm_update_sequence_lib_and_item(myitem)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:42: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:43: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:45: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:53: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:54: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:56: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:58: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:25: Unsupported: scoped class reference\n $sformatf("Sending item:%s", item.sprint()),\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: syntax error, unexpected ::, expecting \';\'\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n : ... Perhaps \'type_id\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:62: syntax error, unexpected ::, expecting \';\'\n driver = mydriver::type_id::create("driver",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:66: Unsupported: super\n super.connect();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:70: syntax error, unexpected endfunction\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:74: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:75: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:77: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:82: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:84: syntax error, unexpected ::, expecting \';\'\n agent = myagent::type_id::create("agent",this);\n ^~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n' | 308,313 | function | function new(string name, uvm_component parent);
super.new(name, parent);
`uvm_update_sequence_lib_and_item(myitem)
endfunction | function new(string name, uvm_component parent); |
super.new(name, parent);
`uvm_update_sequence_lib_and_item(myitem)
endfunction | 0 |
140,014 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv | 90,320,290 | ex11-1_UVMea.sv | sv | 87 | 84 | [] | [] | [] | null | line:6: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:10: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:19: Define or directive not defined: \'`uvm_sequence_utils\'\n `uvm_sequence_utils(myseq, myseqr)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:27: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:28: syntax error, unexpected end\n end\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: Define or directive not defined: \'`uvm_sequencer_utils\'\n `uvm_sequencer_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: syntax error, unexpected \')\', expecting "\'{"\n `uvm_sequencer_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: Define or directive not defined: \'`uvm_update_sequence_lib_and_item\'\n `uvm_update_sequence_lib_and_item(myitem)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: syntax error, unexpected \')\', expecting "\'{"\n `uvm_update_sequence_lib_and_item(myitem)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:42: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:43: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:45: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:53: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:54: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:56: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:58: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:25: Unsupported: scoped class reference\n $sformatf("Sending item:%s", item.sprint()),\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: syntax error, unexpected ::, expecting \';\'\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n : ... Perhaps \'type_id\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:62: syntax error, unexpected ::, expecting \';\'\n driver = mydriver::type_id::create("driver",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:66: Unsupported: super\n super.connect();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:70: syntax error, unexpected endfunction\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:74: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:75: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:77: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:82: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:84: syntax error, unexpected ::, expecting \';\'\n agent = myagent::type_id::create("agent",this);\n ^~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n' | 308,313 | function | function new(string name, uvm_component parent);
super.new(name, parent);
endfunction | function new(string name, uvm_component parent); |
super.new(name, parent);
endfunction | 0 |
140,016 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv | 90,320,290 | ex11-1_UVMea.sv | sv | 87 | 84 | [] | [] | [] | null | line:6: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:10: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:19: Define or directive not defined: \'`uvm_sequence_utils\'\n `uvm_sequence_utils(myseq, myseqr)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:27: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:28: syntax error, unexpected end\n end\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: Define or directive not defined: \'`uvm_sequencer_utils\'\n `uvm_sequencer_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: syntax error, unexpected \')\', expecting "\'{"\n `uvm_sequencer_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: Define or directive not defined: \'`uvm_update_sequence_lib_and_item\'\n `uvm_update_sequence_lib_and_item(myitem)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: syntax error, unexpected \')\', expecting "\'{"\n `uvm_update_sequence_lib_and_item(myitem)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:42: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:43: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:45: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:53: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:54: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:56: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:58: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:25: Unsupported: scoped class reference\n $sformatf("Sending item:%s", item.sprint()),\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: syntax error, unexpected ::, expecting \';\'\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n : ... Perhaps \'type_id\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:62: syntax error, unexpected ::, expecting \';\'\n driver = mydriver::type_id::create("driver",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:66: Unsupported: super\n super.connect();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:70: syntax error, unexpected endfunction\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:74: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:75: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:77: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:82: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:84: syntax error, unexpected ::, expecting \';\'\n agent = myagent::type_id::create("agent",this);\n ^~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n' | 308,313 | function | function void build();
super.build();
if(is_active == UVM_ACTIVE) begin
seqr = myseqr::type_id::create("seqr",this);
driver = mydriver::type_id::create("driver",this);
end
endfunction | function void build(); |
super.build();
if(is_active == UVM_ACTIVE) begin
seqr = myseqr::type_id::create("seqr",this);
driver = mydriver::type_id::create("driver",this);
end
endfunction | 0 |
140,017 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv | 90,320,290 | ex11-1_UVMea.sv | sv | 87 | 84 | [] | [] | [] | null | line:6: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:10: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:19: Define or directive not defined: \'`uvm_sequence_utils\'\n `uvm_sequence_utils(myseq, myseqr)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:27: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:28: syntax error, unexpected end\n end\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: Define or directive not defined: \'`uvm_sequencer_utils\'\n `uvm_sequencer_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: syntax error, unexpected \')\', expecting "\'{"\n `uvm_sequencer_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: Define or directive not defined: \'`uvm_update_sequence_lib_and_item\'\n `uvm_update_sequence_lib_and_item(myitem)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: syntax error, unexpected \')\', expecting "\'{"\n `uvm_update_sequence_lib_and_item(myitem)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:42: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:43: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:45: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:53: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:54: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:56: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:58: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:25: Unsupported: scoped class reference\n $sformatf("Sending item:%s", item.sprint()),\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: syntax error, unexpected ::, expecting \';\'\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n : ... Perhaps \'type_id\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:62: syntax error, unexpected ::, expecting \';\'\n driver = mydriver::type_id::create("driver",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:66: Unsupported: super\n super.connect();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:70: syntax error, unexpected endfunction\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:74: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:75: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:77: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:82: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:84: syntax error, unexpected ::, expecting \';\'\n agent = myagent::type_id::create("agent",this);\n ^~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n' | 308,313 | function | function void connect();
super.connect();
if (is_active == UVM_ACTIVE) begin
driver.seq_item_port.connect(seqr.seq_item_export);
end
endfunction | function void connect(); |
super.connect();
if (is_active == UVM_ACTIVE) begin
driver.seq_item_port.connect(seqr.seq_item_export);
end
endfunction | 0 |
140,019 | data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv | 90,320,290 | ex11-1_UVMea.sv | sv | 87 | 84 | [] | [] | [] | null | line:6: before: "import" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:7: Cannot find include file: uvm_macros.svh\n`include "uvm_macros.svh" \n ^~~~~~~~~~~~~~~~\n ... Looked in:\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.v\n data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM,data/full_repos/permissive/90320290/uvm_macros.svh.sv\n uvm_macros.svh\n uvm_macros.svh.v\n uvm_macros.svh.sv\n obj_dir/uvm_macros.svh\n obj_dir/uvm_macros.svh.v\n obj_dir/uvm_macros.svh.sv\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:6: syntax error, unexpected IDENTIFIER, expecting PACKAGE-IDENTIFIER or STRING\nimport uvm_pkg::*;\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: Unsupported: classes\nclass myitem extends uvm_sequence_item;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:9: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myitem extends uvm_sequence_item;\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:10: Unsupported: new constructor\n function new(string name="myitem");\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: super\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: new with arguments\n super.new(name);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:11: Unsupported: dotted new\n super.new(name);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: Define or directive not defined: \'`uvm_object_utils\'\n `uvm_object_utils(myitem)\n ^~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:13: syntax error, unexpected \'(\'\n `uvm_object_utils(myitem)\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: Unsupported: classes\nclass myseq extends uvm_sequence#(myitem);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:18: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass myseq extends uvm_sequence#(myitem);\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:19: Define or directive not defined: \'`uvm_sequence_utils\'\n `uvm_sequence_utils(myseq, myseqr)\n ^~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:27: Define or directive not defined: \'`uvm_do\'\n `uvm_do(item)\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:28: syntax error, unexpected end\n end\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: Define or directive not defined: \'`uvm_sequencer_utils\'\n `uvm_sequencer_utils(myseqr)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:33: syntax error, unexpected \')\', expecting "\'{"\n `uvm_sequencer_utils(myseqr)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:35: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: Define or directive not defined: \'`uvm_update_sequence_lib_and_item\'\n `uvm_update_sequence_lib_and_item(myitem)\n ^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:36: syntax error, unexpected \')\', expecting "\'{"\n `uvm_update_sequence_lib_and_item(myitem)\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:42: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(mydriver)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:43: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:44: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:45: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:53: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(myagent)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:54: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:55: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:56: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:58: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: Hierarchical class references\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: Unsupported: scoped class reference\n seqr = myseqr::type_id::create("seqr",this);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:25: Unsupported: scoped class reference\n $sformatf("Sending item:%s", item.sprint()),\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:61: syntax error, unexpected ::, expecting \';\'\n seqr = myseqr::type_id::create("seqr",this);\n ^~\n : ... Perhaps \'type_id\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:62: syntax error, unexpected ::, expecting \';\'\n driver = mydriver::type_id::create("driver",this);\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:66: Unsupported: super\n super.connect();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:70: syntax error, unexpected endfunction\n endfunction\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:74: Define or directive not defined: \'`uvm_component_utils\'\n `uvm_component_utils(test)\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:75: syntax error, unexpected function\n function new(string name, uvm_component parent);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: super\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: new with arguments\n super.new(name, parent);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:76: Unsupported: dotted new\n super.new(name, parent);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:77: syntax error, unexpected endfunction\n endfunction \n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:82: Unsupported: super\n super.build();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/11_migrating_from_OVM_to_UVM/ex11-1_UVMea.sv:84: syntax error, unexpected ::, expecting \';\'\n agent = myagent::type_id::create("agent",this);\n ^~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n' | 308,313 | function | function void build();
super.build();
set_config_string("agent.seqr", "default_sequence", "uvm_exhaustive_sequence");
agent = myagent::type_id::create("agent",this);
endfunction | function void build(); |
super.build();
set_config_string("agent.seqr", "default_sequence", "uvm_exhaustive_sequence");
agent = myagent::type_id::create("agent",this);
endfunction | 0 |
140,020 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv | 90,320,290 | ex3-1_class_example.sv | sv | 42 | 76 | [] | [] | [] | null | line:6: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:6: Unsupported: classes\nclass car; \n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:23: Unsupported: new constructor\n function new(input int num_doors=2, \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:27: Unsupported: Dynamic array new\n m_is_locked = new[num_doors];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:35: Unsupported: new with arguments\n my_car = new(4);\n ^~~\n%Error: Exiting due to 4 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,314 | module | module top;
car my_car;
initial begin
my_car = new(4);
my_car.open_door(0);
my_car.unlock_door(0);
my_car.open_door(0);
my_car.drive_forward();
end
endmodule | module top; |
car my_car;
initial begin
my_car = new(4);
my_car.open_door(0);
my_car.unlock_door(0);
my_car.open_door(0);
my_car.drive_forward();
end
endmodule | 0 |
140,021 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv | 90,320,290 | ex3-1_class_example.sv | sv | 42 | 76 | [] | [] | [] | null | line:6: before: "class" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:6: Unsupported: classes\nclass car; \n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:23: Unsupported: new constructor\n function new(input int num_doors=2, \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:27: Unsupported: Dynamic array new\n m_is_locked = new[num_doors];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-1_class_example.sv:35: Unsupported: new with arguments\n my_car = new(4);\n ^~~\n%Error: Exiting due to 4 error(s)\n ... See the manual and https://verilator.org for more assistance.\n' | 308,314 | function | function new(input int num_doors=2,
input transmission_type trans=AUTOMATIC);
m_trans = trans;
m_num_doors = num_doors;
m_is_locked = new[num_doors];
foreach(m_is_locked[i]) m_is_locked[i] = 1;
endfunction | function new(input int num_doors=2,
input transmission_type trans=AUTOMATIC); |
m_trans = trans;
m_num_doors = num_doors;
m_is_locked = new[num_doors];
foreach(m_is_locked[i]) m_is_locked[i] = 1;
endfunction | 0 |
140,022 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv | 90,320,290 | ex3-2_inheritance.sv | sv | 35 | 66 | [] | [] | [] | null | line:8: before: "{" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:10: Unsupported: virtual classes\nvirtual class car; \n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:10: Unsupported: classes\nvirtual class car; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:11: Unsupported: 'local' class item\n local trans_type m_trans;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:12: Unsupported: 'local' class item\n local bit m_is_locked[];\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:13: Unsupported: 'local' class item\n local bit m_num_doors;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:18: Unsupported: virtual classes\nvirtual class sports_coupe extends car;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:18: Unsupported: classes\nvirtual class sports_coupe extends car;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:18: Unsupported: extends\nvirtual class sports_coupe extends car;\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:19: Unsupported: 'local' class item\n local bit m_is_convertible;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:23: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:23: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-2_inheritance.sv:31: Unsupported: new with arguments\n my_car = new();\n ^~~\n%Error: Exiting due to 12 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,315 | module | module top;
typedef enum {AUTOMATIC, MANUAL } trans_type;
virtual class car;
local trans_type m_trans;
local bit m_is_locked[];
local bit m_num_doors;
task drive_forward(); endtask
task open_door(int door); endtask
endclass : car
virtual class sports_coupe extends car;
local bit m_is_convertible;
task drive_forward(); endtask
endclass : sports_coupe
class KCMotors_H10 extends sports_coupe;
task drive_forward();
$display("%m() is executing");
endtask
endclass : KCMotors_H10
KCMotors_H10 my_car;
initial begin
my_car = new();
my_car.drive_forward();
end
endmodule | module top; |
typedef enum {AUTOMATIC, MANUAL } trans_type;
virtual class car;
local trans_type m_trans;
local bit m_is_locked[];
local bit m_num_doors;
task drive_forward(); endtask
task open_door(int door); endtask
endclass : car
virtual class sports_coupe extends car;
local bit m_is_convertible;
task drive_forward(); endtask
endclass : sports_coupe
class KCMotors_H10 extends sports_coupe;
task drive_forward();
$display("%m() is executing");
endtask
endclass : KCMotors_H10
KCMotors_H10 my_car;
initial begin
my_car = new();
my_car.drive_forward();
end
endmodule | 0 |
140,023 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv | 90,320,290 | ex3-4_downcast.sv | sv | 67 | 66 | [] | [] | [] | null | line:8: before: "{" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: virtual classes\nvirtual class sports_coupe;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: classes\nvirtual class sports_coupe;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:13: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: classes\nclass HM_Flyer extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: extends\nclass HM_Flyer extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:19: Unsupported: virtual class member qualifier\n virtual function void fly();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:28: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:37: Unsupported or unknown PLI call: $cast\n if ($cast(this_car, cars[i]))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:52: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: new with arguments\n kcm1 = new(); void'(kcm1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm1 = new(); void'(kcm1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: syntax error, unexpected '('\n kcm1 = new(); void'(kcm1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: new with arguments\n kcm2 = new(); void'(kcm2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm2 = new(); void'(kcm2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: syntax error, unexpected '('\n kcm2 = new(); void'(kcm2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: new with arguments\n hmf1 = new(); void'(hmf1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf1 = new(); void'(hmf1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: syntax error, unexpected '('\n hmf1 = new(); void'(hmf1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: new with arguments\n hmf2 = new(); void'(hmf2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf2 = new(); void'(hmf2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: syntax error, unexpected '('\n hmf2 = new(); void'(hmf2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[0], kcm1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:58: Unsupported or unknown PLI call: $cast\n $cast(cars[1], hmf1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:59: Unsupported or unknown PLI call: $cast\n $cast(cars[2], kcm2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:60: Unsupported or unknown PLI call: $cast\n $cast(cars[3], hmf2);\n ^~~~~\n%Error: Exiting due to 28 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,317 | module | module top;
typedef enum {RED, BLUE, BLACK, WHITE} color_t;
virtual class sports_coupe;
int id = 0;
rand color_t color;
virtual function void print();
$display("Car:%0d - I'm a %s sports car", id, color.name());
endfunction : print
endclass : sports_coupe
class HM_Flyer extends sports_coupe;
virtual function void fly();
$display("Car:%0d %m I'm flying!", id);
endfunction : fly
virtual function void print();
$display("Car:%0d I'm a %s HM_Flyer", id, color.name());
endfunction : print
endclass : HM_Flyer
class KCMotors_H10 extends sports_coupe;
virtual function void print();
$display("Car:%0d I'm a %s KCMotors_H10", id, color.name());
endfunction : print
endclass : KCMotors_H10
task fly_if_you_can(sports_coupe cars[]);
HM_Flyer this_car;
for (int i=0; i<cars.size(); i++) begin
if ($cast(this_car, cars[i]))
this_car.fly();
end
endtask : fly_if_you_can
task print_all(sports_coupe cars[]);
for (int i=0; i<cars.size(); i++)
cars[i].print();
endtask : print_all
sports_coupe cars[];
KCMotors_H10 kcm1, kcm2;
HM_Flyer hmf1, hmf2;
initial begin
cars = new[4];
kcm1 = new(); void'(kcm1.randomize());
kcm2 = new(); void'(kcm2.randomize());
hmf1 = new(); void'(hmf1.randomize());
hmf2 = new(); void'(hmf2.randomize());
$cast(cars[0], kcm1);
$cast(cars[1], hmf1);
$cast(cars[2], kcm2);
$cast(cars[3], hmf2);
foreach(cars[i]) cars[i].id = i;
print_all(cars);
fly_if_you_can(cars);
end
endmodule | module top; |
typedef enum {RED, BLUE, BLACK, WHITE} color_t;
virtual class sports_coupe;
int id = 0;
rand color_t color;
virtual function void print();
$display("Car:%0d - I'm a %s sports car", id, color.name());
endfunction : print
endclass : sports_coupe
class HM_Flyer extends sports_coupe;
virtual function void fly();
$display("Car:%0d %m I'm flying!", id);
endfunction : fly
virtual function void print();
$display("Car:%0d I'm a %s HM_Flyer", id, color.name());
endfunction : print
endclass : HM_Flyer
class KCMotors_H10 extends sports_coupe;
virtual function void print();
$display("Car:%0d I'm a %s KCMotors_H10", id, color.name());
endfunction : print
endclass : KCMotors_H10
task fly_if_you_can(sports_coupe cars[]);
HM_Flyer this_car;
for (int i=0; i<cars.size(); i++) begin
if ($cast(this_car, cars[i]))
this_car.fly();
end
endtask : fly_if_you_can
task print_all(sports_coupe cars[]);
for (int i=0; i<cars.size(); i++)
cars[i].print();
endtask : print_all
sports_coupe cars[];
KCMotors_H10 kcm1, kcm2;
HM_Flyer hmf1, hmf2;
initial begin
cars = new[4];
kcm1 = new(); void'(kcm1.randomize());
kcm2 = new(); void'(kcm2.randomize());
hmf1 = new(); void'(hmf1.randomize());
hmf2 = new(); void'(hmf2.randomize());
$cast(cars[0], kcm1);
$cast(cars[1], hmf1);
$cast(cars[2], kcm2);
$cast(cars[3], hmf2);
foreach(cars[i]) cars[i].id = i;
print_all(cars);
fly_if_you_can(cars);
end
endmodule | 0 |
140,024 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv | 90,320,290 | ex3-4_downcast.sv | sv | 67 | 66 | [] | [] | [] | null | line:8: before: "{" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: virtual classes\nvirtual class sports_coupe;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: classes\nvirtual class sports_coupe;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:13: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: classes\nclass HM_Flyer extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: extends\nclass HM_Flyer extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:19: Unsupported: virtual class member qualifier\n virtual function void fly();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:28: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:37: Unsupported or unknown PLI call: $cast\n if ($cast(this_car, cars[i]))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:52: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: new with arguments\n kcm1 = new(); void'(kcm1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm1 = new(); void'(kcm1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: syntax error, unexpected '('\n kcm1 = new(); void'(kcm1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: new with arguments\n kcm2 = new(); void'(kcm2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm2 = new(); void'(kcm2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: syntax error, unexpected '('\n kcm2 = new(); void'(kcm2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: new with arguments\n hmf1 = new(); void'(hmf1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf1 = new(); void'(hmf1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: syntax error, unexpected '('\n hmf1 = new(); void'(hmf1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: new with arguments\n hmf2 = new(); void'(hmf2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf2 = new(); void'(hmf2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: syntax error, unexpected '('\n hmf2 = new(); void'(hmf2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[0], kcm1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:58: Unsupported or unknown PLI call: $cast\n $cast(cars[1], hmf1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:59: Unsupported or unknown PLI call: $cast\n $cast(cars[2], kcm2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:60: Unsupported or unknown PLI call: $cast\n $cast(cars[3], hmf2);\n ^~~~~\n%Error: Exiting due to 28 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,317 | function | function void print();
$display("Car:%0d - I'm a %s sports car", id, color.name());
endfunction | function void print(); |
$display("Car:%0d - I'm a %s sports car", id, color.name());
endfunction | 0 |
140,025 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv | 90,320,290 | ex3-4_downcast.sv | sv | 67 | 66 | [] | [] | [] | null | line:8: before: "{" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: virtual classes\nvirtual class sports_coupe;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: classes\nvirtual class sports_coupe;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:13: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: classes\nclass HM_Flyer extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: extends\nclass HM_Flyer extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:19: Unsupported: virtual class member qualifier\n virtual function void fly();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:28: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:37: Unsupported or unknown PLI call: $cast\n if ($cast(this_car, cars[i]))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:52: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: new with arguments\n kcm1 = new(); void'(kcm1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm1 = new(); void'(kcm1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: syntax error, unexpected '('\n kcm1 = new(); void'(kcm1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: new with arguments\n kcm2 = new(); void'(kcm2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm2 = new(); void'(kcm2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: syntax error, unexpected '('\n kcm2 = new(); void'(kcm2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: new with arguments\n hmf1 = new(); void'(hmf1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf1 = new(); void'(hmf1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: syntax error, unexpected '('\n hmf1 = new(); void'(hmf1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: new with arguments\n hmf2 = new(); void'(hmf2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf2 = new(); void'(hmf2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: syntax error, unexpected '('\n hmf2 = new(); void'(hmf2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[0], kcm1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:58: Unsupported or unknown PLI call: $cast\n $cast(cars[1], hmf1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:59: Unsupported or unknown PLI call: $cast\n $cast(cars[2], kcm2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:60: Unsupported or unknown PLI call: $cast\n $cast(cars[3], hmf2);\n ^~~~~\n%Error: Exiting due to 28 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,317 | function | function void fly();
$display("Car:%0d %m I'm flying!", id);
endfunction | function void fly(); |
$display("Car:%0d %m I'm flying!", id);
endfunction | 0 |
140,026 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv | 90,320,290 | ex3-4_downcast.sv | sv | 67 | 66 | [] | [] | [] | null | line:8: before: "{" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: virtual classes\nvirtual class sports_coupe;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: classes\nvirtual class sports_coupe;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:13: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: classes\nclass HM_Flyer extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: extends\nclass HM_Flyer extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:19: Unsupported: virtual class member qualifier\n virtual function void fly();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:28: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:37: Unsupported or unknown PLI call: $cast\n if ($cast(this_car, cars[i]))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:52: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: new with arguments\n kcm1 = new(); void'(kcm1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm1 = new(); void'(kcm1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: syntax error, unexpected '('\n kcm1 = new(); void'(kcm1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: new with arguments\n kcm2 = new(); void'(kcm2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm2 = new(); void'(kcm2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: syntax error, unexpected '('\n kcm2 = new(); void'(kcm2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: new with arguments\n hmf1 = new(); void'(hmf1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf1 = new(); void'(hmf1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: syntax error, unexpected '('\n hmf1 = new(); void'(hmf1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: new with arguments\n hmf2 = new(); void'(hmf2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf2 = new(); void'(hmf2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: syntax error, unexpected '('\n hmf2 = new(); void'(hmf2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[0], kcm1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:58: Unsupported or unknown PLI call: $cast\n $cast(cars[1], hmf1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:59: Unsupported or unknown PLI call: $cast\n $cast(cars[2], kcm2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:60: Unsupported or unknown PLI call: $cast\n $cast(cars[3], hmf2);\n ^~~~~\n%Error: Exiting due to 28 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,317 | function | function void print();
$display("Car:%0d I'm a %s HM_Flyer", id, color.name());
endfunction | function void print(); |
$display("Car:%0d I'm a %s HM_Flyer", id, color.name());
endfunction | 0 |
140,027 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv | 90,320,290 | ex3-4_downcast.sv | sv | 67 | 66 | [] | [] | [] | null | line:8: before: "{" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: virtual classes\nvirtual class sports_coupe;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:10: Unsupported: classes\nvirtual class sports_coupe;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:13: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: classes\nclass HM_Flyer extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:18: Unsupported: extends\nclass HM_Flyer extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:19: Unsupported: virtual class member qualifier\n virtual function void fly();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:27: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:28: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:37: Unsupported or unknown PLI call: $cast\n if ($cast(this_car, cars[i]))\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:52: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: new with arguments\n kcm1 = new(); void'(kcm1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm1 = new(); void'(kcm1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:53: syntax error, unexpected '('\n kcm1 = new(); void'(kcm1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: new with arguments\n kcm2 = new(); void'(kcm2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n kcm2 = new(); void'(kcm2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:54: syntax error, unexpected '('\n kcm2 = new(); void'(kcm2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: new with arguments\n hmf1 = new(); void'(hmf1.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf1 = new(); void'(hmf1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:55: syntax error, unexpected '('\n hmf1 = new(); void'(hmf1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: new with arguments\n hmf2 = new(); void'(hmf2.randomize());\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n hmf2 = new(); void'(hmf2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:56: syntax error, unexpected '('\n hmf2 = new(); void'(hmf2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[0], kcm1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:58: Unsupported or unknown PLI call: $cast\n $cast(cars[1], hmf1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:59: Unsupported or unknown PLI call: $cast\n $cast(cars[2], kcm2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-4_downcast.sv:60: Unsupported or unknown PLI call: $cast\n $cast(cars[3], hmf2);\n ^~~~~\n%Error: Exiting due to 28 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,317 | function | function void print();
$display("Car:%0d I'm a %s KCMotors_H10", id, color.name());
endfunction | function void print(); |
$display("Car:%0d I'm a %s KCMotors_H10", id, color.name());
endfunction | 0 |
140,028 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv | 90,320,290 | ex3-5_static_methods.sv | sv | 67 | 77 | [] | [] | [] | null | line:71: before: "/" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: virtual classes\nvirtual class car;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: classes\nvirtual class car;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:11: Unsupported: 'static' class item\n static int counter = 0; \n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'static' class item\n static local function void increment_counter();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'local' class item\n static local function void increment_counter();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:17: Unsupported: new constructor\n function new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: virtual classes\nvirtual class sports_coupe extends car; \n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: classes\nvirtual class sports_coupe extends car; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: extends\nvirtual class sports_coupe extends car; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:25: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:31: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: classes\nclass JRSports_M8 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: extends\nclass JRSports_M8 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:37: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:47: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: syntax error, unexpected '('\n void'(p1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: syntax error, unexpected '('\n void'(p2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: syntax error, unexpected '('\n void'(f1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: syntax error, unexpected '('\n void'(f2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:54: Unsupported or unknown PLI call: $cast\n $cast(cars[0], p1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:55: Unsupported or unknown PLI call: $cast\n $cast(cars[1], f1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:56: Unsupported or unknown PLI call: $cast\n $cast(cars[2], p2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[3], f2);\n ^~~~~\n%Error: Exiting due to 33 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,318 | module | module top;
typedef enum {RED, BLUE, BLACK, WHITE} color_t;
virtual class car;
static int counter = 0;
int car_id;
static local function void increment_counter();
counter++;
$display("creating item %0d ...", counter);
endfunction : increment_counter
function new();
increment_counter();
car_id = counter;
endfunction : new
endclass : car
virtual class sports_coupe extends car;
rand color_t color;
virtual function void print();
$display("Car #%0d: I'm a %s sports coupe", car_id, color.name());
endfunction : print
endclass : sports_coupe
class KCMotors_H10 extends sports_coupe;
virtual function void print();
$display("Car #%0d: I'm a %s KCMotors_H10", car_id, color.name());
endfunction : print
endclass : KCMotors_H10
class JRSports_M8 extends sports_coupe;
virtual function void print();
$display("Car #%0d: I'm a %s JRSports_M8", car_id, color.name());
endfunction : print
endclass : JRSports_M8
sports_coupe cars[];
KCMotors_H10 p1, p2;
JRSports_M8 f1, f2;
initial begin
cars = new[4];
p1 = new(); p2 = new();
void'(p1.randomize());
void'(p2.randomize());
f1 = new(); f2 = new();
void'(f1.randomize());
void'(f2.randomize());
$cast(cars[0], p1);
$cast(cars[1], f1);
$cast(cars[2], p2);
$cast(cars[3], f2);
print_all(cars);
end
task print_all(sports_coupe cars[]);
for (int i=0; i<cars.size(); i++)
cars[i].print();
endtask : print_all
endmodule | module top; |
typedef enum {RED, BLUE, BLACK, WHITE} color_t;
virtual class car;
static int counter = 0;
int car_id;
static local function void increment_counter();
counter++;
$display("creating item %0d ...", counter);
endfunction : increment_counter
function new();
increment_counter();
car_id = counter;
endfunction : new
endclass : car
virtual class sports_coupe extends car;
rand color_t color;
virtual function void print();
$display("Car #%0d: I'm a %s sports coupe", car_id, color.name());
endfunction : print
endclass : sports_coupe
class KCMotors_H10 extends sports_coupe;
virtual function void print();
$display("Car #%0d: I'm a %s KCMotors_H10", car_id, color.name());
endfunction : print
endclass : KCMotors_H10
class JRSports_M8 extends sports_coupe;
virtual function void print();
$display("Car #%0d: I'm a %s JRSports_M8", car_id, color.name());
endfunction : print
endclass : JRSports_M8
sports_coupe cars[];
KCMotors_H10 p1, p2;
JRSports_M8 f1, f2;
initial begin
cars = new[4];
p1 = new(); p2 = new();
void'(p1.randomize());
void'(p2.randomize());
f1 = new(); f2 = new();
void'(f1.randomize());
void'(f2.randomize());
$cast(cars[0], p1);
$cast(cars[1], f1);
$cast(cars[2], p2);
$cast(cars[3], f2);
print_all(cars);
end
task print_all(sports_coupe cars[]);
for (int i=0; i<cars.size(); i++)
cars[i].print();
endtask : print_all
endmodule | 0 |
140,029 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv | 90,320,290 | ex3-5_static_methods.sv | sv | 67 | 77 | [] | [] | [] | null | line:71: before: "/" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: virtual classes\nvirtual class car;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: classes\nvirtual class car;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:11: Unsupported: 'static' class item\n static int counter = 0; \n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'static' class item\n static local function void increment_counter();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'local' class item\n static local function void increment_counter();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:17: Unsupported: new constructor\n function new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: virtual classes\nvirtual class sports_coupe extends car; \n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: classes\nvirtual class sports_coupe extends car; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: extends\nvirtual class sports_coupe extends car; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:25: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:31: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: classes\nclass JRSports_M8 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: extends\nclass JRSports_M8 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:37: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:47: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: syntax error, unexpected '('\n void'(p1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: syntax error, unexpected '('\n void'(p2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: syntax error, unexpected '('\n void'(f1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: syntax error, unexpected '('\n void'(f2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:54: Unsupported or unknown PLI call: $cast\n $cast(cars[0], p1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:55: Unsupported or unknown PLI call: $cast\n $cast(cars[1], f1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:56: Unsupported or unknown PLI call: $cast\n $cast(cars[2], p2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[3], f2);\n ^~~~~\n%Error: Exiting due to 33 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,318 | function | function void increment_counter();
counter++;
$display("creating item %0d ...", counter);
endfunction | function void increment_counter(); |
counter++;
$display("creating item %0d ...", counter);
endfunction | 0 |
140,030 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv | 90,320,290 | ex3-5_static_methods.sv | sv | 67 | 77 | [] | [] | [] | null | line:71: before: "/" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: virtual classes\nvirtual class car;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: classes\nvirtual class car;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:11: Unsupported: 'static' class item\n static int counter = 0; \n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'static' class item\n static local function void increment_counter();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'local' class item\n static local function void increment_counter();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:17: Unsupported: new constructor\n function new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: virtual classes\nvirtual class sports_coupe extends car; \n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: classes\nvirtual class sports_coupe extends car; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: extends\nvirtual class sports_coupe extends car; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:25: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:31: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: classes\nclass JRSports_M8 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: extends\nclass JRSports_M8 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:37: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:47: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: syntax error, unexpected '('\n void'(p1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: syntax error, unexpected '('\n void'(p2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: syntax error, unexpected '('\n void'(f1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: syntax error, unexpected '('\n void'(f2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:54: Unsupported or unknown PLI call: $cast\n $cast(cars[0], p1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:55: Unsupported or unknown PLI call: $cast\n $cast(cars[1], f1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:56: Unsupported or unknown PLI call: $cast\n $cast(cars[2], p2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[3], f2);\n ^~~~~\n%Error: Exiting due to 33 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,318 | function | function new();
increment_counter();
car_id = counter;
endfunction | function new(); |
increment_counter();
car_id = counter;
endfunction | 0 |
140,031 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv | 90,320,290 | ex3-5_static_methods.sv | sv | 67 | 77 | [] | [] | [] | null | line:71: before: "/" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: virtual classes\nvirtual class car;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: classes\nvirtual class car;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:11: Unsupported: 'static' class item\n static int counter = 0; \n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'static' class item\n static local function void increment_counter();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'local' class item\n static local function void increment_counter();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:17: Unsupported: new constructor\n function new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: virtual classes\nvirtual class sports_coupe extends car; \n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: classes\nvirtual class sports_coupe extends car; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: extends\nvirtual class sports_coupe extends car; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:25: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:31: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: classes\nclass JRSports_M8 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: extends\nclass JRSports_M8 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:37: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:47: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: syntax error, unexpected '('\n void'(p1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: syntax error, unexpected '('\n void'(p2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: syntax error, unexpected '('\n void'(f1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: syntax error, unexpected '('\n void'(f2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:54: Unsupported or unknown PLI call: $cast\n $cast(cars[0], p1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:55: Unsupported or unknown PLI call: $cast\n $cast(cars[1], f1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:56: Unsupported or unknown PLI call: $cast\n $cast(cars[2], p2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[3], f2);\n ^~~~~\n%Error: Exiting due to 33 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,318 | function | function void print();
$display("Car #%0d: I'm a %s sports coupe", car_id, color.name());
endfunction | function void print(); |
$display("Car #%0d: I'm a %s sports coupe", car_id, color.name());
endfunction | 0 |
140,032 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv | 90,320,290 | ex3-5_static_methods.sv | sv | 67 | 77 | [] | [] | [] | null | line:71: before: "/" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: virtual classes\nvirtual class car;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: classes\nvirtual class car;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:11: Unsupported: 'static' class item\n static int counter = 0; \n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'static' class item\n static local function void increment_counter();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'local' class item\n static local function void increment_counter();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:17: Unsupported: new constructor\n function new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: virtual classes\nvirtual class sports_coupe extends car; \n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: classes\nvirtual class sports_coupe extends car; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: extends\nvirtual class sports_coupe extends car; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:25: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:31: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: classes\nclass JRSports_M8 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: extends\nclass JRSports_M8 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:37: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:47: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: syntax error, unexpected '('\n void'(p1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: syntax error, unexpected '('\n void'(p2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: syntax error, unexpected '('\n void'(f1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: syntax error, unexpected '('\n void'(f2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:54: Unsupported or unknown PLI call: $cast\n $cast(cars[0], p1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:55: Unsupported or unknown PLI call: $cast\n $cast(cars[1], f1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:56: Unsupported or unknown PLI call: $cast\n $cast(cars[2], p2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[3], f2);\n ^~~~~\n%Error: Exiting due to 33 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,318 | function | function void print();
$display("Car #%0d: I'm a %s KCMotors_H10", car_id, color.name());
endfunction | function void print(); |
$display("Car #%0d: I'm a %s KCMotors_H10", car_id, color.name());
endfunction | 0 |
140,033 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv | 90,320,290 | ex3-5_static_methods.sv | sv | 67 | 77 | [] | [] | [] | null | line:71: before: "/" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: virtual classes\nvirtual class car;\n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:10: Unsupported: classes\nvirtual class car;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:11: Unsupported: 'static' class item\n static int counter = 0; \n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'static' class item\n static local function void increment_counter();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:13: Unsupported: 'local' class item\n static local function void increment_counter();\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:17: Unsupported: new constructor\n function new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: virtual classes\nvirtual class sports_coupe extends car; \n^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: classes\nvirtual class sports_coupe extends car; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:23: Unsupported: extends\nvirtual class sports_coupe extends car; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:25: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: classes\nclass KCMotors_H10 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:30: Unsupported: extends\nclass KCMotors_H10 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:31: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: classes\nclass JRSports_M8 extends sports_coupe;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:36: Unsupported: extends\nclass JRSports_M8 extends sports_coupe;\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:37: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:47: Unsupported: Dynamic array new\n cars = new[4];\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:48: Unsupported: new with arguments\n p1 = new(); p2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:49: syntax error, unexpected '('\n void'(p1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(p2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:50: syntax error, unexpected '('\n void'(p2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:51: Unsupported: new with arguments\n f1 = new(); f2 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f1.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:52: syntax error, unexpected '('\n void'(f1.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(f2.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:53: syntax error, unexpected '('\n void'(f2.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:54: Unsupported or unknown PLI call: $cast\n $cast(cars[0], p1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:55: Unsupported or unknown PLI call: $cast\n $cast(cars[1], f1);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:56: Unsupported or unknown PLI call: $cast\n $cast(cars[2], p2);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-5_static_methods.sv:57: Unsupported or unknown PLI call: $cast\n $cast(cars[3], f2);\n ^~~~~\n%Error: Exiting due to 33 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,318 | function | function void print();
$display("Car #%0d: I'm a %s JRSports_M8", car_id, color.name());
endfunction | function void print(); |
$display("Car #%0d: I'm a %s JRSports_M8", car_id, color.name());
endfunction | 0 |
140,034 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv | 90,320,290 | ex3-6_param_class.sv | sv | 25 | 70 | [] | [] | [] | null | line:7: before: "#" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:7: Unsupported: classes\nclass stack #(type T = int);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:8: Unsupported: 'static' class item\n static int stacks;\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:10: Unsupported: 'local' class item\n local T items[$];\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:13: Unsupported: new constructor\n function new(); stacks++; id = stacks; endfunction \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:16: Unsupported: new with arguments\nstack int_stack = new(); \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:17: Unsupported: Parameter classes\nstack #(bit[9:0]) bit_stack = new(); \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:17: Unsupported: new with arguments\nstack #(bit[9:0]) bit_stack = new(); \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:18: Unsupported: Parameter classes\nstack #(real) real_stack = new(); \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:18: Unsupported: new with arguments\nstack #(real) real_stack = new(); \n ^~~\n%Error: Exiting due to 9 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,319 | module | module top;
class stack #(type T = int);
static int stacks;
int id;
local T items[$];
task push( T a ); endtask
task pop (ref T a); endtask
function new(); stacks++; id = stacks; endfunction
endclass
stack int_stack = new();
stack #(bit[9:0]) bit_stack = new();
stack #(real) real_stack = new();
initial
$display("int_stack.id=%0d bit_stack.id=%0d real_stack.id=%0d",
int_stack.id, bit_stack.id, real_stack.id);
endmodule | module top; |
class stack #(type T = int);
static int stacks;
int id;
local T items[$];
task push( T a ); endtask
task pop (ref T a); endtask
function new(); stacks++; id = stacks; endfunction
endclass
stack int_stack = new();
stack #(bit[9:0]) bit_stack = new();
stack #(real) real_stack = new();
initial
$display("int_stack.id=%0d bit_stack.id=%0d real_stack.id=%0d",
int_stack.id, bit_stack.id, real_stack.id);
endmodule | 0 |
140,035 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv | 90,320,290 | ex3-6_param_class.sv | sv | 25 | 70 | [] | [] | [] | null | line:7: before: "#" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:7: Unsupported: classes\nclass stack #(type T = int);\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:8: Unsupported: 'static' class item\n static int stacks;\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:10: Unsupported: 'local' class item\n local T items[$];\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:13: Unsupported: new constructor\n function new(); stacks++; id = stacks; endfunction \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:16: Unsupported: new with arguments\nstack int_stack = new(); \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:17: Unsupported: Parameter classes\nstack #(bit[9:0]) bit_stack = new(); \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:17: Unsupported: new with arguments\nstack #(bit[9:0]) bit_stack = new(); \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:18: Unsupported: Parameter classes\nstack #(real) real_stack = new(); \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-6_param_class.sv:18: Unsupported: new with arguments\nstack #(real) real_stack = new(); \n ^~~\n%Error: Exiting due to 9 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,319 | function | function new(); stacks++; id = stacks; endfunction | function new(); | stacks++; id = stacks; endfunction | 0 |
140,036 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv | 90,320,290 | ex3-7_param_class_base.sv | sv | 53 | 87 | [] | [] | [] | null | line:8: before: ";" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:8: Unsupported: classes\nclass stack_base;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:9: Unsupported: 'static' class item\n static int stacks;\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:10: Unsupported: 'local' class item\n local int id;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:11: Unsupported: new constructor\n function new(); stacks++; id = stacks; endfunction \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:15: Unsupported: classes\nclass stack #(type T = int) extends stack_base;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:15: Unsupported: extends\nclass stack #(type T = int) extends stack_base;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:16: Unsupported: 'local' class item\n local T items[$];\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:28: Unsupported: Parameter classes\nstack #(bit[9:0]) bit_stack; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:29: Unsupported: Parameter classes\nstack #(real) real_stack; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:45: Unsupported: new with arguments\n int_stack2=new();\n ^~~\n%Error: Exiting due to 13 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,320 | module | module top;
class stack_base;
static int stacks;
local int id;
function new(); stacks++; id = stacks; endfunction
function int get_id(); return (id); endfunction
endclass : stack_base
class stack #(type T = int) extends stack_base;
local T items[$];
task push( T a );
items.push_front(a);
$display("executed push(%s)", $typename(T));
endtask
task pop (ref T a);
a = items.pop_back();
$display("executed pop(%s)", $typename(T));
endtask
endclass
stack int_stack, int_stack2;
stack #(bit[9:0]) bit_stack;
stack #(real) real_stack;
int int_value;
bit[9:0] bit_value;
real real_value;
initial begin
int_stack=new(); bit_stack=new(); real_stack=new();
int_stack.push(400);
bit_stack.push('h200);
real_stack.push(40.5);
int_stack.pop(int_value);
bit_stack.pop(bit_value);
real_stack.pop(real_value);
$display("int:%0d bit:%0h real:%g", int_value, bit_value, real_value);
int_stack2=new();
int_stack2.push(888);
int_stack2.pop(int_value);
$display("int_stack.id=%0d int_stack2.id=%0d bit_stack.id=%0d real_stack.id=%0d",
int_stack.get_id(), int_stack2.get_id(), bit_stack.get_id(), real_stack.get_id());
end
endmodule | module top; |
class stack_base;
static int stacks;
local int id;
function new(); stacks++; id = stacks; endfunction
function int get_id(); return (id); endfunction
endclass : stack_base
class stack #(type T = int) extends stack_base;
local T items[$];
task push( T a );
items.push_front(a);
$display("executed push(%s)", $typename(T));
endtask
task pop (ref T a);
a = items.pop_back();
$display("executed pop(%s)", $typename(T));
endtask
endclass
stack int_stack, int_stack2;
stack #(bit[9:0]) bit_stack;
stack #(real) real_stack;
int int_value;
bit[9:0] bit_value;
real real_value;
initial begin
int_stack=new(); bit_stack=new(); real_stack=new();
int_stack.push(400);
bit_stack.push('h200);
real_stack.push(40.5);
int_stack.pop(int_value);
bit_stack.pop(bit_value);
real_stack.pop(real_value);
$display("int:%0d bit:%0h real:%g", int_value, bit_value, real_value);
int_stack2=new();
int_stack2.push(888);
int_stack2.pop(int_value);
$display("int_stack.id=%0d int_stack2.id=%0d bit_stack.id=%0d real_stack.id=%0d",
int_stack.get_id(), int_stack2.get_id(), bit_stack.get_id(), real_stack.get_id());
end
endmodule | 0 |
140,037 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv | 90,320,290 | ex3-7_param_class_base.sv | sv | 53 | 87 | [] | [] | [] | null | line:8: before: ";" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:8: Unsupported: classes\nclass stack_base;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:9: Unsupported: 'static' class item\n static int stacks;\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:10: Unsupported: 'local' class item\n local int id;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:11: Unsupported: new constructor\n function new(); stacks++; id = stacks; endfunction \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:15: Unsupported: classes\nclass stack #(type T = int) extends stack_base;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:15: Unsupported: extends\nclass stack #(type T = int) extends stack_base;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:16: Unsupported: 'local' class item\n local T items[$];\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:28: Unsupported: Parameter classes\nstack #(bit[9:0]) bit_stack; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:29: Unsupported: Parameter classes\nstack #(real) real_stack; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:45: Unsupported: new with arguments\n int_stack2=new();\n ^~~\n%Error: Exiting due to 13 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,320 | function | function new(); stacks++; id = stacks; endfunction | function new(); | stacks++; id = stacks; endfunction | 0 |
140,038 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv | 90,320,290 | ex3-7_param_class_base.sv | sv | 53 | 87 | [] | [] | [] | null | line:8: before: ";" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:8: Unsupported: classes\nclass stack_base;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:9: Unsupported: 'static' class item\n static int stacks;\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:10: Unsupported: 'local' class item\n local int id;\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:11: Unsupported: new constructor\n function new(); stacks++; id = stacks; endfunction \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:15: Unsupported: classes\nclass stack #(type T = int) extends stack_base;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:15: Unsupported: extends\nclass stack #(type T = int) extends stack_base;\n ^~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:16: Unsupported: 'local' class item\n local T items[$];\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:28: Unsupported: Parameter classes\nstack #(bit[9:0]) bit_stack; \n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:29: Unsupported: Parameter classes\nstack #(real) real_stack; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:36: Unsupported: new with arguments\n int_stack=new(); bit_stack=new(); real_stack=new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-7_param_class_base.sv:45: Unsupported: new with arguments\n int_stack2=new();\n ^~~\n%Error: Exiting due to 13 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,320 | function | function int get_id(); return (id); endfunction | function int get_id(); | return (id); endfunction | 0 |
140,039 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv | 90,320,290 | ex3-9_packages.sv | sv | 46 | 67 | [] | [] | [] | null | line:7: before: "package" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:9: Unsupported: virtual classes\n virtual class sports_coupe; \n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:9: Unsupported: classes\n virtual class sports_coupe; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:11: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:15: Unsupported: classes\n class H10 extends sports_coupe; endclass\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:15: Unsupported: extends\n class H10 extends sports_coupe; endclass\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:20: Unsupported: virtual classes\n virtual class sports_coupe; \n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:20: Unsupported: classes\n virtual class sports_coupe; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:26: Unsupported: classes\n class M8 extends sports_coupe; endclass\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:26: Unsupported: extends\n class M8 extends sports_coupe; endclass\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:37: Unsupported: new with arguments\n my_H10 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:38: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(my_H10.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:38: syntax error, unexpected '('\n void'(my_H10.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:40: Unsupported: new with arguments\n my_M8 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:41: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(my_M8.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:41: syntax error, unexpected '('\n void'(my_M8.randomize());\n ^\n%Error: Exiting due to 16 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,322 | module | module race;
import KCMotors_pkg::*;
import JRSports_pkg::*;
H10 my_H10;
M8 my_M8;
initial begin
my_H10 = new();
void'(my_H10.randomize());
my_H10.print();
my_M8 = new();
void'(my_M8.randomize());
my_M8.print();
end
endmodule | module race; |
import KCMotors_pkg::*;
import JRSports_pkg::*;
H10 my_H10;
M8 my_M8;
initial begin
my_H10 = new();
void'(my_H10.randomize());
my_H10.print();
my_M8 = new();
void'(my_M8.randomize());
my_M8.print();
end
endmodule | 0 |
140,040 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv | 90,320,290 | ex3-9_packages.sv | sv | 46 | 67 | [] | [] | [] | null | line:7: before: "package" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:9: Unsupported: virtual classes\n virtual class sports_coupe; \n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:9: Unsupported: classes\n virtual class sports_coupe; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:11: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:15: Unsupported: classes\n class H10 extends sports_coupe; endclass\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:15: Unsupported: extends\n class H10 extends sports_coupe; endclass\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:20: Unsupported: virtual classes\n virtual class sports_coupe; \n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:20: Unsupported: classes\n virtual class sports_coupe; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:26: Unsupported: classes\n class M8 extends sports_coupe; endclass\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:26: Unsupported: extends\n class M8 extends sports_coupe; endclass\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:37: Unsupported: new with arguments\n my_H10 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:38: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(my_H10.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:38: syntax error, unexpected '('\n void'(my_H10.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:40: Unsupported: new with arguments\n my_M8 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:41: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(my_M8.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:41: syntax error, unexpected '('\n void'(my_M8.randomize());\n ^\n%Error: Exiting due to 16 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,322 | function | function void print();
$display("I'm a %s KCMotors sports coupe", color.name());
endfunction | function void print(); |
$display("I'm a %s KCMotors sports coupe", color.name());
endfunction | 0 |
140,041 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv | 90,320,290 | ex3-9_packages.sv | sv | 46 | 67 | [] | [] | [] | null | line:7: before: "package" | null | 1: b"%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:9: Unsupported: virtual classes\n virtual class sports_coupe; \n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:9: Unsupported: classes\n virtual class sports_coupe; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:11: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:15: Unsupported: classes\n class H10 extends sports_coupe; endclass\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:15: Unsupported: extends\n class H10 extends sports_coupe; endclass\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:20: Unsupported: virtual classes\n virtual class sports_coupe; \n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:20: Unsupported: classes\n virtual class sports_coupe; \n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:22: Unsupported: virtual class member qualifier\n virtual function void print();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:26: Unsupported: classes\n class M8 extends sports_coupe; endclass\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:26: Unsupported: extends\n class M8 extends sports_coupe; endclass\n ^~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:37: Unsupported: new with arguments\n my_H10 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:38: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(my_H10.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:38: syntax error, unexpected '('\n void'(my_H10.randomize());\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:40: Unsupported: new with arguments\n my_M8 = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:41: Unsupported: SystemVerilog 2005 reserved word not implemented: 'randomize'\n void'(my_M8.randomize());\n ^~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/ex3-9_packages.sv:41: syntax error, unexpected '('\n void'(my_M8.randomize());\n ^\n%Error: Exiting due to 16 error(s)\n ... See the manual and https://verilator.org for more assistance.\n" | 308,322 | function | function void print();
$display("I'm a %s JRSports sports coupe", color.name());
endfunction | function void print(); |
$display("I'm a %s JRSports sports coupe", color.name());
endfunction | 0 |
140,042 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function uvm_root get();
uvm_cmdline_processor clp;
extern virtual task run_test (string test_name="");
uvm_component top_levels[$];
extern function uvm_component find (string comp_match);
extern function void find_all (string comp_match,
ref uvm_component comps[$],
input uvm_component comp=null);
virtual function string get_type_name();
return "uvm_root";
endfunction | function uvm_root get(); |
uvm_cmdline_processor clp;
extern virtual task run_test (string test_name="");
uvm_component top_levels[$];
extern function uvm_component find (string comp_match);
extern function void find_all (string comp_match,
ref uvm_component comps[$],
input uvm_component comp=null);
virtual function string get_type_name();
return "uvm_root";
endfunction | 0 |
140,043 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void print_topology (uvm_printer printer=null);
bit enable_print_topology = 0;
bit finish_on_completion = 1;
time phase_timeout = `UVM_DEFAULT_TIMEOUT;
extern function void set_timeout(time timeout, bit overridable=1);
extern function void m_find_all_recurse(string comp_match,
ref uvm_component comps[$],
input uvm_component comp=null);
extern `_protected function new ();
extern protected virtual function bit m_add_child (uvm_component child);
extern function void build_phase(uvm_phase phase);
extern local function void m_do_verbosity_settings();
extern local function void m_do_timeout_settings();
extern local function void m_do_factory_settings();
extern local function void m_process_inst_override(string ovr);
extern local function void m_process_type_override(string ovr);
extern local function void m_do_config_settings();
extern local function void m_do_max_quit_settings();
extern local function void m_do_dump_args();
extern local function void m_process_config(string cfg, bit is_int);
extern function void m_check_verbosity();
static local uvm_root m_inst;
extern virtual task run_phase (uvm_phase phase);
function void phase_started(uvm_phase phase);
if (phase == end_of_elaboration_ph) begin
do_resolve_bindings();
if (enable_print_topology) print_topology();
begin
uvm_report_server srvr;
srvr = get_report_server();
if(srvr.get_severity_count(UVM_ERROR) > 0) begin
uvm_report_fatal("BUILDERR", "stopping due to build errors", UVM_NONE);
end
end
end
endfunction | function void print_topology (uvm_printer printer=null); |
bit enable_print_topology = 0;
bit finish_on_completion = 1;
time phase_timeout = `UVM_DEFAULT_TIMEOUT;
extern function void set_timeout(time timeout, bit overridable=1);
extern function void m_find_all_recurse(string comp_match,
ref uvm_component comps[$],
input uvm_component comp=null);
extern `_protected function new ();
extern protected virtual function bit m_add_child (uvm_component child);
extern function void build_phase(uvm_phase phase);
extern local function void m_do_verbosity_settings();
extern local function void m_do_timeout_settings();
extern local function void m_do_factory_settings();
extern local function void m_process_inst_override(string ovr);
extern local function void m_process_type_override(string ovr);
extern local function void m_do_config_settings();
extern local function void m_do_max_quit_settings();
extern local function void m_do_dump_args();
extern local function void m_process_config(string cfg, bit is_int);
extern function void m_check_verbosity();
static local uvm_root m_inst;
extern virtual task run_phase (uvm_phase phase);
function void phase_started(uvm_phase phase);
if (phase == end_of_elaboration_ph) begin
do_resolve_bindings();
if (enable_print_topology) print_topology();
begin
uvm_report_server srvr;
srvr = get_report_server();
if(srvr.get_severity_count(UVM_ERROR) > 0) begin
uvm_report_fatal("BUILDERR", "stopping due to build errors", UVM_NONE);
end
end
end
endfunction | 0 |
140,044 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void stop_request();
uvm_test_done_objection tdo;
tdo = uvm_test_done_objection::get();
tdo.stop_request();
endfunction | function void stop_request(); |
uvm_test_done_objection tdo;
tdo = uvm_test_done_objection::get();
tdo.stop_request();
endfunction | 0 |
140,045 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function int unsigned get_num_top_levels();
extern static function uvm_component get_top_level_by_id( int unsigned id);
extern static function int add_top_level (string top_name = "", bit is_topmost = 0);
extern function bit do_nonblocking_phase (int top_level_id, string phase_name);
extern task do_blocking_phase (int top_level_id,
string phase_name,
output bit result);
extern function uvm_phase get_phase_by_name(string phase_name);
bit not_first_time_in_nonblocking_phase = 0;
endclass
const uvm_root uvm_top = uvm_root::get();
const uvm_root _global_reporter = uvm_root::get();
class uvm_root_report_handler extends uvm_report_handler;
virtual function void report(uvm_severity severity,
string name,
string id,
string message,
int verbosity_level=UVM_MEDIUM,
string filename="",
int line=0,
uvm_report_object client=null);
if(name == "")
name = "reporter";
super.report(severity, name, id, message, verbosity_level, filename, line, client);
endfunction | function int unsigned get_num_top_levels(); |
extern static function uvm_component get_top_level_by_id( int unsigned id);
extern static function int add_top_level (string top_name = "", bit is_topmost = 0);
extern function bit do_nonblocking_phase (int top_level_id, string phase_name);
extern task do_blocking_phase (int top_level_id,
string phase_name,
output bit result);
extern function uvm_phase get_phase_by_name(string phase_name);
bit not_first_time_in_nonblocking_phase = 0;
endclass
const uvm_root uvm_top = uvm_root::get();
const uvm_root _global_reporter = uvm_root::get();
class uvm_root_report_handler extends uvm_report_handler;
virtual function void report(uvm_severity severity,
string name,
string id,
string message,
int verbosity_level=UVM_MEDIUM,
string filename="",
int line=0,
uvm_report_object client=null);
if(name == "")
name = "reporter";
super.report(severity, name, id, message, verbosity_level, filename, line, client);
endfunction | 0 |
140,046 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function uvm_root uvm_root::get();
if (m_inst == null) begin
m_inst = new();
void'(uvm_domain::get_common_domain());
m_inst.m_domain = uvm_domain::get_uvm_domain();
end
return m_inst;
endfunction | function uvm_root uvm_root::get(); |
if (m_inst == null) begin
m_inst = new();
void'(uvm_domain::get_common_domain());
m_inst.m_domain = uvm_domain::get_uvm_domain();
end
return m_inst;
endfunction | 0 |
140,047 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function uvm_root::new();
uvm_root_report_handler rh;
super.new("__top__", null);
rh = new;
set_report_handler(rh);
clp = uvm_cmdline_processor::get_inst();
report_header();
m_check_verbosity();
endfunction | function uvm_root::new(); |
uvm_root_report_handler rh;
super.new("__top__", null);
rh = new;
set_report_handler(rh);
clp = uvm_cmdline_processor::get_inst();
report_header();
m_check_verbosity();
endfunction | 0 |
140,048 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::find_all(string comp_match, ref uvm_component comps[$],
input uvm_component comp=null);
if (comp==null)
comp = this;
m_find_all_recurse(comp_match, comps, comp);
endfunction | function void uvm_root::find_all(string comp_match, ref uvm_component comps[$],
input uvm_component comp=null); |
if (comp==null)
comp = this;
m_find_all_recurse(comp_match, comps, comp);
endfunction | 0 |
140,049 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function uvm_component uvm_root::find (string comp_match);
uvm_component comp_list[$];
find_all(comp_match,comp_list);
if (comp_list.size() > 1)
uvm_report_warning("MMATCH",
$sformatf("Found %0d components matching '%s'. Returning first match, %0s.",
comp_list.size(),comp_match,comp_list[0].get_full_name()), UVM_NONE);
if (comp_list.size() == 0) begin
uvm_report_warning("CMPNFD",
{"Component matching '",comp_match,
"' was not found in the list of uvm_components"}, UVM_NONE);
return null;
end
return comp_list[0];
endfunction | function uvm_component uvm_root::find (string comp_match); |
uvm_component comp_list[$];
find_all(comp_match,comp_list);
if (comp_list.size() > 1)
uvm_report_warning("MMATCH",
$sformatf("Found %0d components matching '%s'. Returning first match, %0s.",
comp_list.size(),comp_match,comp_list[0].get_full_name()), UVM_NONE);
if (comp_list.size() == 0) begin
uvm_report_warning("CMPNFD",
{"Component matching '",comp_match,
"' was not found in the list of uvm_components"}, UVM_NONE);
return null;
end
return comp_list[0];
endfunction | 0 |
140,050 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::print_topology(uvm_printer printer=null);
string s;
uvm_report_info("UVMTOP", "UVM testbench topology:", UVM_LOW);
if (m_children.num()==0) begin
uvm_report_warning("EMTCOMP", "print_topology - No UVM components to print.", UVM_NONE);
return;
end
if (printer==null)
printer = uvm_default_printer;
foreach (m_children[c]) begin
if(m_children[c].print_enabled) begin
printer.print_object("", m_children[c]);
end
end
$display(printer.emit());
endfunction | function void uvm_root::print_topology(uvm_printer printer=null); |
string s;
uvm_report_info("UVMTOP", "UVM testbench topology:", UVM_LOW);
if (m_children.num()==0) begin
uvm_report_warning("EMTCOMP", "print_topology - No UVM components to print.", UVM_NONE);
return;
end
if (printer==null)
printer = uvm_default_printer;
foreach (m_children[c]) begin
if(m_children[c].print_enabled) begin
printer.print_object("", m_children[c]);
end
end
$display(printer.emit());
endfunction | 0 |
140,051 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::set_timeout(time timeout, bit overridable=1);
static bit m_uvm_timeout_overridable = 1;
if (m_uvm_timeout_overridable == 0) begin
uvm_report_info("NOTIMOUTOVR",
$sformatf("The global timeout setting of %0d is not overridable to %0d due to a previous setting.",
phase_timeout, timeout), UVM_NONE);
return;
end
m_uvm_timeout_overridable = overridable;
phase_timeout = timeout;
endfunction | function void uvm_root::set_timeout(time timeout, bit overridable=1); |
static bit m_uvm_timeout_overridable = 1;
if (m_uvm_timeout_overridable == 0) begin
uvm_report_info("NOTIMOUTOVR",
$sformatf("The global timeout setting of %0d is not overridable to %0d due to a previous setting.",
phase_timeout, timeout), UVM_NONE);
return;
end
m_uvm_timeout_overridable = overridable;
phase_timeout = timeout;
endfunction | 0 |
140,052 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_find_all_recurse(string comp_match, ref uvm_component comps[$],
input uvm_component comp=null);
string name;
if (comp.get_first_child(name))
do begin
this.m_find_all_recurse(comp_match, comps, comp.get_child(name));
end
while (comp.get_next_child(name));
if (uvm_is_match(comp_match, comp.get_full_name()) &&
comp.get_name() != "")
comps.push_back(comp);
endfunction | function void uvm_root::m_find_all_recurse(string comp_match, ref uvm_component comps[$],
input uvm_component comp=null); |
string name;
if (comp.get_first_child(name))
do begin
this.m_find_all_recurse(comp_match, comps, comp.get_child(name));
end
while (comp.get_next_child(name));
if (uvm_is_match(comp_match, comp.get_full_name()) &&
comp.get_name() != "")
comps.push_back(comp);
endfunction | 0 |
140,053 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function bit uvm_root::m_add_child (uvm_component child);
if(super.m_add_child(child)) begin
if(child.get_name() == "uvm_test_top")
top_levels.push_front(child);
else
top_levels.push_back(child);
return 1;
end
else
return 0;
endfunction | function bit uvm_root::m_add_child (uvm_component child); |
if(super.m_add_child(child)) begin
if(child.get_name() == "uvm_test_top")
top_levels.push_front(child);
else
top_levels.push_back(child);
return 1;
end
else
return 0;
endfunction | 0 |
140,054 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::build_phase(uvm_phase phase);
super.build_phase(phase);
m_set_cl_msg_args();
m_do_verbosity_settings();
m_do_timeout_settings();
m_do_factory_settings();
m_do_config_settings();
m_do_max_quit_settings();
m_do_dump_args();
endfunction | function void uvm_root::build_phase(uvm_phase phase); |
super.build_phase(phase);
m_set_cl_msg_args();
m_do_verbosity_settings();
m_do_timeout_settings();
m_do_factory_settings();
m_do_config_settings();
m_do_max_quit_settings();
m_do_dump_args();
endfunction | 0 |
140,055 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_do_verbosity_settings();
string set_verbosity_settings[$];
string split_vals[$];
uvm_verbosity tmp_verb;
void'(clp.get_arg_values("+uvm_set_verbosity=", set_verbosity_settings));
for(int i = 0; i < set_verbosity_settings.size(); i++) begin
uvm_split_string(set_verbosity_settings[i], ",", split_vals);
if(split_vals.size() < 4 || split_vals.size() > 5) begin
uvm_report_warning("INVLCMDARGS",
$sformatf("Invalid number of arguments found on the command line for setting '+uvm_set_verbosity=%s'. Setting ignored.",
set_verbosity_settings[i]), UVM_NONE, "", "");
end
if(!clp.m_convert_verb(split_vals[2], tmp_verb)) begin
uvm_report_warning("INVLCMDVERB",
$sformatf("Invalid verbosity found on the command line for setting '%s'.",
set_verbosity_settings[i]), UVM_NONE, "", "");
end
end
endfunction | function void uvm_root::m_do_verbosity_settings(); |
string set_verbosity_settings[$];
string split_vals[$];
uvm_verbosity tmp_verb;
void'(clp.get_arg_values("+uvm_set_verbosity=", set_verbosity_settings));
for(int i = 0; i < set_verbosity_settings.size(); i++) begin
uvm_split_string(set_verbosity_settings[i], ",", split_vals);
if(split_vals.size() < 4 || split_vals.size() > 5) begin
uvm_report_warning("INVLCMDARGS",
$sformatf("Invalid number of arguments found on the command line for setting '+uvm_set_verbosity=%s'. Setting ignored.",
set_verbosity_settings[i]), UVM_NONE, "", "");
end
if(!clp.m_convert_verb(split_vals[2], tmp_verb)) begin
uvm_report_warning("INVLCMDVERB",
$sformatf("Invalid verbosity found on the command line for setting '%s'.",
set_verbosity_settings[i]), UVM_NONE, "", "");
end
end
endfunction | 0 |
140,056 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_do_timeout_settings();
string timeout_settings[$];
string timeout;
string split_timeout[$];
int timeout_count;
int timeout_int;
timeout_count = clp.get_arg_values("+UVM_TIMEOUT=", timeout_settings);
if (timeout_count == 0)
return;
else begin
timeout = timeout_settings[0];
if (timeout_count > 1) begin
string timeout_list;
string sep;
for (int i = 0; i < timeout_settings.size(); i++) begin
if (i != 0)
sep = "; ";
timeout_list = {timeout_list, sep, timeout_settings[i]};
end
uvm_report_warning("MULTTIMOUT",
$sformatf("Multiple (%0d) +UVM_TIMEOUT arguments provided on the command line. '%s' will be used. Provided list: %s.",
timeout_count, timeout, timeout_list), UVM_NONE);
end
uvm_report_info("TIMOUTSET",
$sformatf("'+UVM_TIMEOUT=%s' provided on the command line is being applied.", timeout), UVM_NONE);
uvm_split_string(timeout, ",", split_timeout);
timeout_int = split_timeout[0].atoi();
case(split_timeout[1])
"YES" : set_timeout(timeout_int, 1);
"NO" : set_timeout(timeout_int, 0);
default : set_timeout(timeout_int, 1);
endcase
end
endfunction | function void uvm_root::m_do_timeout_settings(); |
string timeout_settings[$];
string timeout;
string split_timeout[$];
int timeout_count;
int timeout_int;
timeout_count = clp.get_arg_values("+UVM_TIMEOUT=", timeout_settings);
if (timeout_count == 0)
return;
else begin
timeout = timeout_settings[0];
if (timeout_count > 1) begin
string timeout_list;
string sep;
for (int i = 0; i < timeout_settings.size(); i++) begin
if (i != 0)
sep = "; ";
timeout_list = {timeout_list, sep, timeout_settings[i]};
end
uvm_report_warning("MULTTIMOUT",
$sformatf("Multiple (%0d) +UVM_TIMEOUT arguments provided on the command line. '%s' will be used. Provided list: %s.",
timeout_count, timeout, timeout_list), UVM_NONE);
end
uvm_report_info("TIMOUTSET",
$sformatf("'+UVM_TIMEOUT=%s' provided on the command line is being applied.", timeout), UVM_NONE);
uvm_split_string(timeout, ",", split_timeout);
timeout_int = split_timeout[0].atoi();
case(split_timeout[1])
"YES" : set_timeout(timeout_int, 1);
"NO" : set_timeout(timeout_int, 0);
default : set_timeout(timeout_int, 1);
endcase
end
endfunction | 0 |
140,057 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_do_factory_settings();
string args[$];
void'(clp.get_arg_matches("/^\\+(UVM_SET_INST_OVERRIDE|uvm_set_inst_override)=/",args));
foreach(args[i]) begin
m_process_inst_override(args[i].substr(23, args[i].len()-1));
end
void'(clp.get_arg_matches("/^\\+(UVM_SET_TYPE_OVERRIDE|uvm_set_type_override)=/",args));
foreach(args[i]) begin
m_process_type_override(args[i].substr(23, args[i].len()-1));
end
endfunction | function void uvm_root::m_do_factory_settings(); |
string args[$];
void'(clp.get_arg_matches("/^\\+(UVM_SET_INST_OVERRIDE|uvm_set_inst_override)=/",args));
foreach(args[i]) begin
m_process_inst_override(args[i].substr(23, args[i].len()-1));
end
void'(clp.get_arg_matches("/^\\+(UVM_SET_TYPE_OVERRIDE|uvm_set_type_override)=/",args));
foreach(args[i]) begin
m_process_type_override(args[i].substr(23, args[i].len()-1));
end
endfunction | 0 |
140,058 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_process_inst_override(string ovr);
string split_val[$];
uvm_factory fact = uvm_factory::get();
uvm_split_string(ovr, ",", split_val);
if(split_val.size() != 3 ) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid setting for +uvm_set_inst_override=", ovr,
", setting must specify <requested_type>,<override_type>,<instance_path>"}, UVM_NONE);
return;
end
uvm_report_info("INSTOVR", {"Applying instance override from the command line: +uvm_set_inst_override=", ovr}, UVM_NONE);
fact.set_inst_override_by_name(split_val[0], split_val[1], split_val[2]);
endfunction | function void uvm_root::m_process_inst_override(string ovr); |
string split_val[$];
uvm_factory fact = uvm_factory::get();
uvm_split_string(ovr, ",", split_val);
if(split_val.size() != 3 ) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid setting for +uvm_set_inst_override=", ovr,
", setting must specify <requested_type>,<override_type>,<instance_path>"}, UVM_NONE);
return;
end
uvm_report_info("INSTOVR", {"Applying instance override from the command line: +uvm_set_inst_override=", ovr}, UVM_NONE);
fact.set_inst_override_by_name(split_val[0], split_val[1], split_val[2]);
endfunction | 0 |
140,059 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_process_type_override(string ovr);
string split_val[$];
int replace=1;
uvm_factory fact = uvm_factory::get();
uvm_split_string(ovr, ",", split_val);
if(split_val.size() > 3 || split_val.size() < 2) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid setting for +uvm_set_type_override=", ovr,
", setting must specify <requested_type>,<override_type>[,<replace>]"}, UVM_NONE);
return;
end
if(split_val.size() == 3) begin
if(split_val[2]=="0") replace = 0;
else if (split_val[2] == "1") replace = 1;
else begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid replace arg for +uvm_set_type_override=", ovr ," value must be 0 or 1"}, UVM_NONE);
return;
end
end
uvm_report_info("UVM_CMDLINE_PROC", {"Applying type override from the command line: +uvm_set_type_override=", ovr}, UVM_NONE);
fact.set_type_override_by_name(split_val[0], split_val[1], replace);
endfunction | function void uvm_root::m_process_type_override(string ovr); |
string split_val[$];
int replace=1;
uvm_factory fact = uvm_factory::get();
uvm_split_string(ovr, ",", split_val);
if(split_val.size() > 3 || split_val.size() < 2) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid setting for +uvm_set_type_override=", ovr,
", setting must specify <requested_type>,<override_type>[,<replace>]"}, UVM_NONE);
return;
end
if(split_val.size() == 3) begin
if(split_val[2]=="0") replace = 0;
else if (split_val[2] == "1") replace = 1;
else begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid replace arg for +uvm_set_type_override=", ovr ," value must be 0 or 1"}, UVM_NONE);
return;
end
end
uvm_report_info("UVM_CMDLINE_PROC", {"Applying type override from the command line: +uvm_set_type_override=", ovr}, UVM_NONE);
fact.set_type_override_by_name(split_val[0], split_val[1], replace);
endfunction | 0 |
140,060 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_process_config(string cfg, bit is_int);
uvm_bitstream_t v;
string split_val[$];
uvm_root m_uvm_top = uvm_root::get();
uvm_split_string(cfg, ",", split_val);
if(split_val.size() == 1) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid +uvm_set_config command\"", cfg,
"\" missing field and value: component is \"", split_val[0], "\""}, UVM_NONE);
return;
end
if(split_val.size() == 2) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid +uvm_set_config command\"", cfg,
"\" missing value: component is \"", split_val[0], "\" field is \"", split_val[1], "\""}, UVM_NONE);
return;
end
if(split_val.size() > 3) begin
uvm_report_error("UVM_CMDLINE_PROC",
$sformatf("Invalid +uvm_set_config command\"%s\" : expected only 3 fields (component, field and value).", cfg), UVM_NONE);
return;
end
if(is_int) begin
if(split_val[2].len() > 2) begin
if(!clp.m_convert_enum(split_val[2], v)) begin
string base, extval;
base = split_val[2].substr(0,1);
extval = split_val[2].substr(2,split_val[2].len()-1);
case(base)
"'b" : v = extval.atobin();
"0b" : v = extval.atobin();
"'o" : v = extval.atooct();
"'d" : v = extval.atoi();
"'h" : v = extval.atohex();
"'x" : v = extval.atohex();
"0x" : v = extval.atohex();
default : v = split_val[2].atoi();
endcase
end
end
else begin
v = split_val[2].atoi();
end
uvm_report_info("UVM_CMDLINE_PROC", {"Applying config setting from the command line: +uvm_set_config_int=", cfg}, UVM_NONE);
m_uvm_top.set_config_int(split_val[0], split_val[1], v);
end
else begin
uvm_report_info("UVM_CMDLINE_PROC", {"Applying config setting from the command line: +uvm_set_config_string=", cfg}, UVM_NONE);
m_uvm_top.set_config_string(split_val[0], split_val[1], split_val[2]);
end
endfunction | function void uvm_root::m_process_config(string cfg, bit is_int); |
uvm_bitstream_t v;
string split_val[$];
uvm_root m_uvm_top = uvm_root::get();
uvm_split_string(cfg, ",", split_val);
if(split_val.size() == 1) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid +uvm_set_config command\"", cfg,
"\" missing field and value: component is \"", split_val[0], "\""}, UVM_NONE);
return;
end
if(split_val.size() == 2) begin
uvm_report_error("UVM_CMDLINE_PROC", {"Invalid +uvm_set_config command\"", cfg,
"\" missing value: component is \"", split_val[0], "\" field is \"", split_val[1], "\""}, UVM_NONE);
return;
end
if(split_val.size() > 3) begin
uvm_report_error("UVM_CMDLINE_PROC",
$sformatf("Invalid +uvm_set_config command\"%s\" : expected only 3 fields (component, field and value).", cfg), UVM_NONE);
return;
end
if(is_int) begin
if(split_val[2].len() > 2) begin
if(!clp.m_convert_enum(split_val[2], v)) begin
string base, extval;
base = split_val[2].substr(0,1);
extval = split_val[2].substr(2,split_val[2].len()-1);
case(base)
"'b" : v = extval.atobin();
"0b" : v = extval.atobin();
"'o" : v = extval.atooct();
"'d" : v = extval.atoi();
"'h" : v = extval.atohex();
"'x" : v = extval.atohex();
"0x" : v = extval.atohex();
default : v = split_val[2].atoi();
endcase
end
end
else begin
v = split_val[2].atoi();
end
uvm_report_info("UVM_CMDLINE_PROC", {"Applying config setting from the command line: +uvm_set_config_int=", cfg}, UVM_NONE);
m_uvm_top.set_config_int(split_val[0], split_val[1], v);
end
else begin
uvm_report_info("UVM_CMDLINE_PROC", {"Applying config setting from the command line: +uvm_set_config_string=", cfg}, UVM_NONE);
m_uvm_top.set_config_string(split_val[0], split_val[1], split_val[2]);
end
endfunction | 0 |
140,061 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_do_config_settings();
string args[$];
void'(clp.get_arg_matches("/^\\+(UVM_SET_CONFIG_INT|uvm_set_config_int)=/",args));
foreach(args[i]) begin
m_process_config(args[i].substr(20, args[i].len()-1), 1);
end
void'(clp.get_arg_matches("/^\\+(UVM_SET_CONFIG_STRING|uvm_set_config_string)=/",args));
foreach(args[i]) begin
m_process_config(args[i].substr(23, args[i].len()-1), 0);
end
endfunction | function void uvm_root::m_do_config_settings(); |
string args[$];
void'(clp.get_arg_matches("/^\\+(UVM_SET_CONFIG_INT|uvm_set_config_int)=/",args));
foreach(args[i]) begin
m_process_config(args[i].substr(20, args[i].len()-1), 1);
end
void'(clp.get_arg_matches("/^\\+(UVM_SET_CONFIG_STRING|uvm_set_config_string)=/",args));
foreach(args[i]) begin
m_process_config(args[i].substr(23, args[i].len()-1), 0);
end
endfunction | 0 |
140,062 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_do_max_quit_settings();
uvm_report_server srvr;
string max_quit_settings[$];
int max_quit_count;
string max_quit;
string split_max_quit[$];
int max_quit_int;
srvr = get_report_server();
max_quit_count = clp.get_arg_values("+UVM_MAX_QUIT_COUNT=", max_quit_settings);
if (max_quit_count == 0)
return;
else begin
max_quit = max_quit_settings[0];
if (max_quit_count > 1) begin
string max_quit_list;
string sep;
for (int i = 0; i < max_quit_settings.size(); i++) begin
if (i != 0)
sep = "; ";
max_quit_list = {max_quit_list, sep, max_quit_settings[i]};
end
uvm_report_warning("MULTMAXQUIT",
$sformatf("Multiple (%0d) +UVM_MAX_QUIT_COUNT arguments provided on the command line. '%s' will be used. Provided list: %s.",
max_quit_count, max_quit, max_quit_list), UVM_NONE);
end
uvm_report_info("MAXQUITSET",
$sformatf("'+UVM_MAX_QUIT_COUNT=%s' provided on the command line is being applied.", max_quit), UVM_NONE);
uvm_split_string(max_quit, ",", split_max_quit);
max_quit_int = split_max_quit[0].atoi();
case(split_max_quit[1])
"YES" : srvr.set_max_quit_count(max_quit_int, 1);
"NO" : srvr.set_max_quit_count(max_quit_int, 0);
default : srvr.set_max_quit_count(max_quit_int, 1);
endcase
end
endfunction | function void uvm_root::m_do_max_quit_settings(); |
uvm_report_server srvr;
string max_quit_settings[$];
int max_quit_count;
string max_quit;
string split_max_quit[$];
int max_quit_int;
srvr = get_report_server();
max_quit_count = clp.get_arg_values("+UVM_MAX_QUIT_COUNT=", max_quit_settings);
if (max_quit_count == 0)
return;
else begin
max_quit = max_quit_settings[0];
if (max_quit_count > 1) begin
string max_quit_list;
string sep;
for (int i = 0; i < max_quit_settings.size(); i++) begin
if (i != 0)
sep = "; ";
max_quit_list = {max_quit_list, sep, max_quit_settings[i]};
end
uvm_report_warning("MULTMAXQUIT",
$sformatf("Multiple (%0d) +UVM_MAX_QUIT_COUNT arguments provided on the command line. '%s' will be used. Provided list: %s.",
max_quit_count, max_quit, max_quit_list), UVM_NONE);
end
uvm_report_info("MAXQUITSET",
$sformatf("'+UVM_MAX_QUIT_COUNT=%s' provided on the command line is being applied.", max_quit), UVM_NONE);
uvm_split_string(max_quit, ",", split_max_quit);
max_quit_int = split_max_quit[0].atoi();
case(split_max_quit[1])
"YES" : srvr.set_max_quit_count(max_quit_int, 1);
"NO" : srvr.set_max_quit_count(max_quit_int, 0);
default : srvr.set_max_quit_count(max_quit_int, 1);
endcase
end
endfunction | 0 |
140,063 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_do_dump_args();
string dump_args[$];
string all_args[$];
string out_string;
if(clp.get_arg_matches("+UVM_DUMP_CMDLINE_ARGS", dump_args)) begin
clp.get_args(all_args);
for (int i = 0; i < all_args.size(); i++) begin
if (all_args[i] == "__-f__")
continue;
out_string = {out_string, all_args[i], " "};
end
uvm_report_info("DUMPARGS", out_string, UVM_NONE);
end
endfunction | function void uvm_root::m_do_dump_args(); |
string dump_args[$];
string all_args[$];
string out_string;
if(clp.get_arg_matches("+UVM_DUMP_CMDLINE_ARGS", dump_args)) begin
clp.get_args(all_args);
for (int i = 0; i < all_args.size(); i++) begin
if (all_args[i] == "__-f__")
continue;
out_string = {out_string, all_args[i], " "};
end
uvm_report_info("DUMPARGS", out_string, UVM_NONE);
end
endfunction | 0 |
140,064 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function void uvm_root::m_check_verbosity();
string verb_string;
string verb_settings[$];
int verb_count;
int plusarg;
int verbosity = UVM_MEDIUM;
`ifndef UVM_CMDLINE_NO_DPI
verb_count = clp.get_arg_values("+UVM_VERBOSITY=", verb_settings);
`else
verb_count = $value$plusargs("UVM_VERBOSITY=%s",verb_string);
if (verb_count)
verb_settings.push_back(verb_string);
`endif
if (verb_count > 0) begin
verb_string = verb_settings[0];
plusarg = 1;
end
if (verb_count > 1) begin
string verb_list;
string sep;
for (int i = 0; i < verb_settings.size(); i++) begin
if (i != 0)
sep = ", ";
verb_list = {verb_list, sep, verb_settings[i]};
end
uvm_report_warning("MULTVERB",
$sformatf("Multiple (%0d) +UVM_VERBOSITY arguments provided on the command line. '%s' will be used. Provided list: %s.", verb_count, verb_string, verb_list), UVM_NONE);
end
if(plusarg == 1) begin
case(verb_string)
"UVM_NONE" : verbosity = UVM_NONE;
"NONE" : verbosity = UVM_NONE;
"UVM_LOW" : verbosity = UVM_LOW;
"LOW" : verbosity = UVM_LOW;
"UVM_MEDIUM" : verbosity = UVM_MEDIUM;
"MEDIUM" : verbosity = UVM_MEDIUM;
"UVM_HIGH" : verbosity = UVM_HIGH;
"HIGH" : verbosity = UVM_HIGH;
"UVM_FULL" : verbosity = UVM_FULL;
"FULL" : verbosity = UVM_FULL;
"UVM_DEBUG" : verbosity = UVM_DEBUG;
"DEBUG" : verbosity = UVM_DEBUG;
default : begin
verbosity = verb_string.atoi();
if(verbosity > 0)
uvm_report_info("NSTVERB", $sformatf("Non-standard verbosity value, using provided '%0d'.", verbosity), UVM_NONE);
if(verbosity == 0) begin
verbosity = UVM_MEDIUM;
uvm_report_warning("ILLVERB", "Illegal verbosity value, using default of UVM_MEDIUM.", UVM_NONE);
end
end
endcase
end
set_report_verbosity_level_hier(verbosity);
endfunction | function void uvm_root::m_check_verbosity(); |
string verb_string;
string verb_settings[$];
int verb_count;
int plusarg;
int verbosity = UVM_MEDIUM;
`ifndef UVM_CMDLINE_NO_DPI
verb_count = clp.get_arg_values("+UVM_VERBOSITY=", verb_settings);
`else
verb_count = $value$plusargs("UVM_VERBOSITY=%s",verb_string);
if (verb_count)
verb_settings.push_back(verb_string);
`endif
if (verb_count > 0) begin
verb_string = verb_settings[0];
plusarg = 1;
end
if (verb_count > 1) begin
string verb_list;
string sep;
for (int i = 0; i < verb_settings.size(); i++) begin
if (i != 0)
sep = ", ";
verb_list = {verb_list, sep, verb_settings[i]};
end
uvm_report_warning("MULTVERB",
$sformatf("Multiple (%0d) +UVM_VERBOSITY arguments provided on the command line. '%s' will be used. Provided list: %s.", verb_count, verb_string, verb_list), UVM_NONE);
end
if(plusarg == 1) begin
case(verb_string)
"UVM_NONE" : verbosity = UVM_NONE;
"NONE" : verbosity = UVM_NONE;
"UVM_LOW" : verbosity = UVM_LOW;
"LOW" : verbosity = UVM_LOW;
"UVM_MEDIUM" : verbosity = UVM_MEDIUM;
"MEDIUM" : verbosity = UVM_MEDIUM;
"UVM_HIGH" : verbosity = UVM_HIGH;
"HIGH" : verbosity = UVM_HIGH;
"UVM_FULL" : verbosity = UVM_FULL;
"FULL" : verbosity = UVM_FULL;
"UVM_DEBUG" : verbosity = UVM_DEBUG;
"DEBUG" : verbosity = UVM_DEBUG;
default : begin
verbosity = verb_string.atoi();
if(verbosity > 0)
uvm_report_info("NSTVERB", $sformatf("Non-standard verbosity value, using provided '%0d'.", verbosity), UVM_NONE);
if(verbosity == 0) begin
verbosity = UVM_MEDIUM;
uvm_report_warning("ILLVERB", "Illegal verbosity value, using default of UVM_MEDIUM.", UVM_NONE);
end
end
endcase
end
set_report_verbosity_level_hier(verbosity);
endfunction | 0 |
140,065 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function int unsigned uvm_root::get_num_top_levels();
return uvm_top.top_levels.size();
endfunction | function int unsigned uvm_root::get_num_top_levels(); |
return uvm_top.top_levels.size();
endfunction | 0 |
140,066 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function uvm_component uvm_root::get_top_level_by_id( int unsigned id);
return uvm_top.top_levels[id];
endfunction | function uvm_component uvm_root::get_top_level_by_id( int unsigned id); |
return uvm_top.top_levels[id];
endfunction | 0 |
140,067 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function int uvm_root::add_top_level (string top_name = "", bit is_topmost = 0);
string name;
uvm_component this_top;
name = is_topmost ? "uvm_test_top" : top_name;
$cast(this_top, factory.create_component_by_name (top_name, name, name, null));
return (this_top != null) ? uvm_top.top_levels.size()-1: -1;
endfunction | function int uvm_root::add_top_level (string top_name = "", bit is_topmost = 0); |
string name;
uvm_component this_top;
name = is_topmost ? "uvm_test_top" : top_name;
$cast(this_top, factory.create_component_by_name (top_name, name, name, null));
return (this_top != null) ? uvm_top.top_levels.size()-1: -1;
endfunction | 0 |
140,068 | data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh | 90,320,290 | uvm_root.svh | svh | 1,055 | 179 | [] | ['apache license'] | ['all rights reserved'] | null | line:65: before: "typedef" | null | 1: b'%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: Unsupported: classes\nclass uvm_root extends uvm_component;\n^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:68: syntax error, unexpected IDENTIFIER, expecting TYPE-IDENTIFIER\nclass uvm_root extends uvm_component;\n ^~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:70: Unsupported: Static in this context\n extern static function uvm_root get();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:85: syntax error, unexpected extern\n extern virtual task run_test (string test_name="");\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected function, expecting interface or module or program\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:127: syntax error, unexpected IDENTIFIER, expecting \')\'\n extern function void print_topology (uvm_printer printer=null);\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: Define or directive not defined: \'`UVM_DEFAULT_TIMEOUT\'\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^~~~~~~~~~~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:150: syntax error, unexpected \';\', expecting TYPE-IDENTIFIER\n time phase_timeout = `UVM_DEFAULT_TIMEOUT;\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:161: syntax error, unexpected extern\n extern function void m_find_all_recurse(string comp_match,\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:165: Define or directive not defined: \'`_protected\'\n : ... Suggested alternative: \'`protected\'\n extern `_protected function new ();\n ^~~~~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:194: syntax error, unexpected IDENTIFIER\n uvm_report_server srvr; \n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: Hierarchical class references\n tdo = uvm_test_done_objection::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: Unsupported: scoped class reference\n tdo = uvm_test_done_objection::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:189: Unsupported: scoped class reference\n if (phase == end_of_elaboration_ph) begin\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:214: syntax error, unexpected \'(\', expecting \';\'\n tdo = uvm_test_done_objection::get();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: syntax error, unexpected static, expecting interface or module or program\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Static in this context\n extern static function int unsigned get_num_top_levels();\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:224: syntax error, unexpected extern\n extern static function uvm_component get_top_level_by_id( int unsigned id);\n ^~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:277: Unsupported: super\n super.report(severity, name, id, message, verbosity_level, filename, line, client);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:279: syntax error, unexpected endclass\nendclass\n^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Hierarchical class references\nfunction uvm_root uvm_root::get();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: scoped class reference\nfunction uvm_root uvm_root::get();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:290: Unsupported: Out of class block function declaration\nfunction uvm_root uvm_root::get();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:292: Unsupported: new with arguments\n m_inst = new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:293: syntax error, unexpected ::\n void\'(uvm_domain::get_common_domain());\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:294: syntax error, unexpected ::, expecting \';\'\n m_inst.m_domain = uvm_domain::get_uvm_domain();\n ^~\n : ... Perhaps \'uvm_domain\' is a package which needs to be predeclared? (IEEE 1800-2017 26.3)\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: Hierarchical class references\nfunction uvm_root::new();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped class reference\nfunction uvm_root::new();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:303: Unsupported: scoped new constructor\nfunction uvm_root::new();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:305: syntax error, unexpected IDENTIFIER\n uvm_root_report_handler rh;\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: super\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: new with arguments\n super.new("__top__", null);\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:307: Unsupported: dotted new\n super.new("__top__", null);\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: Hierarchical class references\n clp = uvm_cmdline_processor::get_inst();\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: Unsupported: scoped class reference\n clp = uvm_cmdline_processor::get_inst();\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:310: Unsupported: scoped class reference\n set_report_handler(rh);\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:312: syntax error, unexpected \'(\', expecting \';\'\n clp = uvm_cmdline_processor::get_inst();\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Hierarchical class references\ntask uvm_root::run_test(string test_name="");\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: scoped class reference\ntask uvm_root::run_test(string test_name="");\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:223: Unsupported: Out of class block function declaration\n extern static function int unsigned get_num_top_levels();\n ^~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:327: syntax error, unexpected IDENTIFIER\n uvm_factory factory= uvm_factory::get();\n ^~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:350: syntax error, unexpected ::\n uvm_objection::m_init_objections();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:393: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n ... Use "/* verilator lint_off STMTDLY */" and lint_on around source to disable this message.\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:395: Unsupported or unknown PLI call: $cast\n $cast(uvm_test_top, factory.create_component_by_name(test_name,\n ^~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:419: Unsupported: fork statements\n fork begin\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:421: syntax error, unexpected ::, expecting \';\'\n phase_runner_proc = process::self();\n ^~\n%Warning-STMTDLY: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:425: Unsupported: Ignoring delay on this delayed statement.\n #0; \n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:427: Unsupported: wait statements\n wait (m_phase_all_done == 1);\n ^~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: Hierarchical class references\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: Unsupported: scoped class reference\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~~~~\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:325: Unsupported: Out of class block function declaration\ntask uvm_root::run_test(string test_name="");\n ^\n%Error: data/full_repos/permissive/90320290/uvm_book/examples_lib/3_object_oriented_programming/uvm_root.svh:443: syntax error, unexpected IDENTIFIER, expecting \')\'\nfunction void uvm_root::find_all(string comp_match, ref uvm_component comps[$],\n ^~~~~\n%Error: Exiting due to too many errors encountered; --error-limit=50\n ... See the manual and https://verilator.org for more assistance.\n' | 308,323 | function | function uvm_phase uvm_root::get_phase_by_name(string phase_name);
uvm_phase ret_val;
case(phase_name)
"build": ret_val = build_ph;
"connect": ret_val = connect_ph;
"resolve_bindings": ret_val = null;
"end_of_elaboration": ret_val = end_of_elaboration_ph;
"start_of_simulation": ret_val = start_of_simulation_ph;
default: begin
ret_val = null;
uvm_report_warning("BADPHS", { "Phase name ", phase_name, " does not exists in multi-language records" });
end
endcase
return ret_val;
endfunction | function uvm_phase uvm_root::get_phase_by_name(string phase_name); |
uvm_phase ret_val;
case(phase_name)
"build": ret_val = build_ph;
"connect": ret_val = connect_ph;
"resolve_bindings": ret_val = null;
"end_of_elaboration": ret_val = end_of_elaboration_ph;
"start_of_simulation": ret_val = start_of_simulation_ph;
default: begin
ret_val = null;
uvm_report_warning("BADPHS", { "Phase name ", phase_name, " does not exists in multi-language records" });
end
endcase
return ret_val;
endfunction | 0 |
Subsets and Splits