repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc982.vhd | 4 | 1885 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc982.vhd,v 1.2 2001-10-26 16:30:29 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c06s03b00x00p05n01i00982ent IS
port (signal a,b : in integer; c,d : out integer);
END c06s03b00x00p05n01i00982ent;
ARCHITECTURE c06s03b00x00p05n01i00982arch OF c06s03b00x00p05n01i00982ent IS
BEGIN
TESTING: PROCESS
type some_record is
record
x1,x2,x3,x4,x5,x6,x7,x8 : integer;
y : boolean;
end record;
variable rec1,rec2,rec3 : some_record;
BEGIN
rec1.x5 := 5;
rec1.x7 := a;
rec1.y := true;
WAIT for 1 ns;
rec2 := rec1.all;
WAIT for 1 ns;
assert FALSE
report "***FAILED TEST: c06s03b00x00p05n01i00982 - Illegal record selected name."
severity ERROR;
wait;
END PROCESS TESTING;
END c06s03b00x00p05n01i00982arch;
| gpl-2.0 |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc3031.vhd | 4 | 1612 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3031.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ARCHITECTURE c11s04b00x00p02n01i03031arch OF c11s04b00x00p02n01i03031ent IS
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c11s04b00x00p02n01i03031 - A primary unit must be analyzed prior to the analysis of any corresponding secondary unit."
severity ERROR;
wait;
END PROCESS TESTING;
END c11s04b00x00p02n01i03031arch;
ENTITY c11s04b00x00p02n01i03031ent IS
END c11s04b00x00p02n01i03031ent;
| gpl-2.0 |
tgingold/ghdl | testsuite/vests/vhdl-93/billowitch/compliant/tc782.vhd | 4 | 2350 |
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc782.vhd,v 1.2 2001-10-26 16:30:00 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c01s01b01x02p12n04i00782ent_a IS
port (
C2 : inout Bit;
C3 : linkage Bit;
C4 : out Bit;
C5 : Buffer Bit
);
END c01s01b01x02p12n04i00782ent_a;
ARCHITECTURE c01s01b01x02p12n04i00782arch_a OF c01s01b01x02p12n04i00782ent_a IS
BEGIN
END c01s01b01x02p12n04i00782arch_a;
ENTITY c01s01b01x02p12n04i00782ent IS
port (
A2 : inout Bit;
A3 : linkage Bit;
A4 : out Bit;
A5 : Buffer Bit
) ;
END c01s01b01x02p12n04i00782ent;
ARCHITECTURE c01s01b01x02p12n04i00782arch OF c01s01b01x02p12n04i00782ent IS
component c01s01b01x02p12n04i00782ent_b
port (
C2 : inout Bit;
C3 : linkage Bit;
C4 : out Bit;
C5 : Buffer Bit
);
end component;
for L : c01s01b01x02p12n04i00782ent_b use entity work.c01s01b01x02p12n04i00782ent_a(c01s01b01x02p12n04i00782arch_a);
BEGIN
L : c01s01b01x02p12n04i00782ent_b port map ( C2 => open, C3 => open, C4 => open, C5 => open );
TESTING: PROCESS
BEGIN
assert FALSE
report "***PASSED TEST: c01s01b01x02p12n04i00782"
severity NOTE;
wait;
END PROCESS TESTING;
END c01s01b01x02p12n04i00782arch;
| gpl-2.0 |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_04_tb_04_03.vhd | 4 | 1583 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_04_tb_04_03.vhd,v 1.3 2001-11-03 23:19:37 paw Exp $
-- $Revision: 1.3 $
--
-- ---------------------------------------------------------------------
entity test_bench_04_03 is
end entity test_bench_04_03;
library ch4_pkgs;
use ch4_pkgs.pk_04_02.all;
architecture test_byte_swap_behavior of test_bench_04_03 is
signal input, output : halfword := x"0000";
begin
dut : entity work.byte_swap(behavior)
port map ( input => input, output => output );
stumulus : process is
begin
wait for 10 ns;
input <= x"ff00"; wait for 10 ns;
input <= x"00ff"; wait for 10 ns;
input <= x"aa33"; wait for 10 ns;
wait;
end process stumulus;
end architecture test_byte_swap_behavior;
| gpl-2.0 |
tgingold/ghdl | testsuite/vests/vhdl-93/ashenden/compliant/ch_20_ch_20_06.vhd | 4 | 7463 |
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: ch_20_ch_20_06.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
entity ch_20_06 is
end entity ch_20_06;
----------------------------------------------------------------
use std.textio.all;
architecture test of ch_20_06 is
subtype encoding_type is bit_vector(1 downto 0);
attribute encoding : encoding_type;
begin
process1 : process is
-- code from book:
type controller_state is (idle, active, fail_safe);
type load_level is (idle, busy, overloaded);
attribute encoding of idle [ return controller_state ] : literal is b"00";
attribute encoding of active [ return controller_state ] : literal is b"01";
attribute encoding of fail_safe [ return controller_state ] : literal is b"10";
-- end of code from book
variable L : line;
begin
write(L, string'("process1"));
writeline(output, L);
write(L, idle [ return controller_state ] ' encoding);
writeline(output, L);
write(L, active [ return controller_state ] ' encoding);
writeline(output, L);
write(L, fail_safe [ return controller_state ] ' encoding);
writeline(output, L);
wait;
end process process1;
process2 : process is
type controller_state is (idle, active, fail_safe);
type load_level is (idle, busy, overloaded);
attribute encoding of idle : literal is b"11";
variable L : line;
begin
write(L, string'("process2"));
writeline(output, L);
write(L, idle [ return controller_state ] ' encoding);
writeline(output, L);
write(L, idle [ return load_level ] ' encoding);
writeline(output, L);
wait;
end process process2;
end architecture test;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-xilinx-sp605/svga2ch7301c.vhd | 2 | 6789 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: svga2ch7301c
-- File: svga2ch7301c.vhd
-- Author: Jan Andersson - Aeroflex Gaisler AB
-- [email protected]
--
-- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel
-- CH7301C DVI transmitter. Multiplexes data and generates clocks.
-- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB
-- template designs.
--
-- This multiplexer has been developed for use with the Chrontel CH7301C DVI
-- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet:
--
-- IDF Description
-- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1)
-- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2)
-- 2 8-bit multiplexed RGB input (16-bit color, 565)
-- 3 8-bit multiplexed RGB input (15-bit color, 555)
--
-- This core assumes a 100 MHz input clock on the 'clk' input.
--
-- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth
-- to decide if multiplexing should be done according to IDF 0 or IDF 2.
-- vago.bitdepth = "11" gives IDF 0, others give IDF2.
-- The 'idf' generic is not used when the 'dynamic' generic is non-zero.
-- Note that if dynamic selection is enabled you will need to reconfigure
-- the DVI transmitter when the VGA core changes bit depth.
--
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.misc.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity svga2ch7301c is
generic (
tech : integer := 0;
idf : integer := 0;
dynamic : integer := 0
);
port (
clk : in std_ulogic;
vgao : in apbvga_out_type;
vgaclk : in std_ulogic;
dclk_p : out std_ulogic;
dclk_n : out std_ulogic;
data : out std_logic_vector(11 downto 0);
hsync : out std_ulogic;
vsync : out std_ulogic;
de : out std_ulogic
);
end svga2ch7301c;
architecture rtl of svga2ch7301c is
component BUFG port (O : out std_logic; I : in std_logic); end component;
component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic;
I1 : in std_ulogic; S : in std_ulogic);
end component;
signal nvgaclk : std_ulogic;
signal vcc, gnd : std_logic;
signal d0, d1 : std_logic_vector(11 downto 0);
signal red, green, blue : std_logic_vector(7 downto 0);
signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic;
signal clkval : std_logic_vector(1 downto 0);
begin -- rtl
vcc <= '1'; gnd <= '0';
-----------------------------------------------------------------------------
-- RGB data multiplexer
-----------------------------------------------------------------------------
red <= vgao.video_out_r;
green <= vgao.video_out_g;
blue <= vgao.video_out_b;
static: if dynamic = 0 generate
idf0: if (idf = 0) generate
d0 <= green(3 downto 0) & blue(7 downto 0);
d1 <= red(7 downto 0) & green(7 downto 4);
end generate;
idf1: if (idf = 1) generate
d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0);
d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1);
end generate;
idf2: if (idf = 2) generate
d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3);
d0(3 downto 0) <= (others => '0');
d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5);
d1(3 downto 0) <= (others => '0');
data(3 downto 0) <= (others => '0');
end generate;
idf3: if (idf = 3) generate
d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3);
d0(3 downto 0) <= (others => '0');
d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6);
d1(3 downto 0) <= (others => '0');
data(3 downto 0) <= (others => '0');
end generate idf3;
-- DDR regs
dataregs: for i in 11 downto (4*(idf/2)) generate
ddr_oreg0 : ddr_oreg generic map (tech)
port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => d0(i), d2 => d1(i), r => gnd, s => gnd);
end generate;
end generate;
nvgaclk <= not vgaclk;
nostatic: if dynamic /= 0 generate
d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else
green(4 downto 2) & blue(7 downto 3) & "0000";
d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else
red(7 downto 3) & green(7 downto 5) & "0000";
dataregs: for i in 11 downto 0 generate
ddr_oreg0 : ddr_oreg generic map (tech)
port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => d0(i), d2 => d1(i), r => gnd, s => gnd);
end generate;
end generate;
-----------------------------------------------------------------------------
-- Sync signals
-----------------------------------------------------------------------------
process (vgaclk)
begin -- process
if rising_edge(vgaclk) then
hsync <= vgao.hsync;
vsync <= vgao.vsync;
de <= vgao.blank;
end if;
end process;
-----------------------------------------------------------------------------
-- Clock generation
-----------------------------------------------------------------------------
ddroreg_p : ddr_oreg generic map (tech)
port map (q => dclk_p, c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => vcc, d2 => gnd, r => gnd, s => gnd);
ddroreg_n : ddr_oreg generic map (tech)
port map (q => dclk_n, c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => gnd, d2 => vcc, r => gnd, s => gnd);
end rtl;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-altera-ep2s60-ddr/testbench.vhd | 1 | 10590 | ------------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
library cypress;
use cypress.components.all;
use work.debug.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 20; -- system clock period
romwidth : integer := 8; -- rom data width (8/32)
romdepth : integer := 23; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 1 -- number of ram banks
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal clk : std_logic := '0';
signal clkout, pllref : std_ulogic;
signal Rst : std_logic := '0'; -- Reset
constant ct : integer := clkperiod/2;
signal address : std_logic_vector(23 downto 0);
signal data : std_logic_vector(31 downto 0);
signal romsn : std_ulogic;
signal iosn : std_ulogic;
signal oen : std_ulogic;
signal writen : std_ulogic;
signal dsuen, dsutx, dsurx, dsubren, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal test : std_ulogic;
signal error : std_logic;
signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk2 : std_ulogic := '1';
signal ssram_ce1n : std_logic;
signal ssram_ce2 : std_logic;
signal ssram_ce3n : std_logic;
signal ssram_wen : std_logic;
signal ssram_bw : std_logic_vector (0 to 3);
signal ssram_oen : std_ulogic;
signal ssaddr : std_logic_vector(20 downto 2);
signal ssdata : std_logic_vector(31 downto 0);
signal ssram_clk : std_ulogic;
signal ssram_adscn : std_ulogic;
signal ssram_adsp_n : std_ulogic;
signal ssram_adv_n : std_ulogic;
signal datazz : std_logic_vector(3 downto 0);
-- ddr memory
signal ddr_clk : std_logic;
signal ddr_clkb : std_logic;
signal ddr_clkin : std_logic;
signal ddr_cke : std_logic;
signal ddr_csb : std_logic;
signal ddr_web : std_ulogic; -- ddr write enable
signal ddr_rasb : std_ulogic; -- ddr ras
signal ddr_casb : std_ulogic; -- ddr cas
signal ddr_dm : std_logic_vector (1 downto 0); -- ddr dm
signal ddr_dqs : std_logic_vector (1 downto 0); -- ddr dqs
signal ddr_dqs2 : std_logic_vector (1 downto 0); -- ddr dqs
signal ddr_ad : std_logic_vector (12 downto 0); -- ddr address
signal ddr_ba : std_logic_vector (1 downto 0); -- ddr bank address
signal ddr_dq, ddr_dq2 : std_logic_vector (15 downto 0); -- ddr data
signal plllock : std_ulogic;
signal txd1, rxd1 : std_ulogic;
--signal txd2, rxd2 : std_ulogic;
-- for smc lan chip
signal eth_aen : std_ulogic; -- for smsc eth
signal eth_readn : std_ulogic; -- for smsc eth
signal eth_writen : std_ulogic; -- for smsc eth
signal eth_nbe : std_logic_vector(3 downto 0); -- for smsc eth
signal eth_datacsn : std_ulogic;
constant lresp : boolean := false;
signal sa : std_logic_vector(14 downto 0);
signal sd : std_logic_vector(31 downto 0);
begin
-- clock and reset
clk <= not clk after ct * 1 ns;
ddr_clkin <= not clk after ct * 1 ns;
rst <= dsurst;
dsubren <= '1'; rxd1 <= '1';
dqs2delay : delay_wire
generic map(data_width => ddr_dqs'length, delay_atob => 3.0, delay_btoa => 1.0)
port map(a => ddr_dqs, b => ddr_dqs2);
ddr2delay : delay_wire
generic map(data_width => ddr_dq'length, delay_atob => 3.0, delay_btoa => 1.0)
port map(a => ddr_dq, b => ddr_dq2);
-- ddr_dqs <= (others => 'L');
d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech,
ncpu, disas, dbguart, pclow )
port map (rst, clk, error,
address, data, romsn, oen, writen, open, open,
ssram_ce1n, ssram_ce2, ssram_ce3n, ssram_wen, ssram_bw, ssram_oen, ssaddr, ssdata,
ssram_clk, ssram_adscn, ssram_adsp_n, ssram_adv_n, iosn,
ddr_clkin, ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_web, ddr_rasb,
ddr_casb, ddr_dm, ddr_dqs2, ddr_ad, ddr_ba, ddr_dq2,
dsubren, dsuact, rxd1, txd1,
eth_aen, eth_readn, eth_writen, eth_nbe);
ddr0 : mt46v16m16
generic map (index => -1, fname => sdramfile)
port map(
Dq => ddr_dq(15 downto 0), Dqs => ddr_dqs(1 downto 0), Addr => ddr_ad,
Ba => ddr_ba, Clk => ddr_clk, Clk_n => ddr_clkb, Cke => ddr_cke,
Cs_n => ddr_csb, Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web,
Dm => ddr_dm(1 downto 0));
datazz <= "HHHH";
ssram0 : cy7c1380d generic map (fname => sramfile)
port map(
ioDq(35 downto 32) => datazz, ioDq(31 downto 0) => ssdata,
iAddr => ssaddr(20 downto 2), iMode => gnd,
inGW => vcc, inBWE => ssram_wen, inADV => ssram_adv_n,
inADSP => ssram_adsp_n, inADSC => ssram_adscn,
iClk => ssram_clk,
inBwa => ssram_bw(3), inBwb => ssram_bw(2),
inBwc => ssram_bw(1), inBwd => ssram_bw(0),
inOE => ssram_oen, inCE1 => ssram_ce1n,
iCE2 => ssram_ce2, inCE3 => ssram_ce3n, iZz => gnd);
-- 8 bit prom
prom0 : sram generic map (index => 6, abits => romdepth, fname => promfile)
port map (address(romdepth-1 downto 0), data(31 downto 24),
romsn, writen, oen);
error <= 'H'; -- ERROR pull-up
iuerr : process
begin
wait for 2500 ns;
if to_x01(error) = '1' then wait on error; end if;
assert (to_x01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
data <= buskeep(data), (others => 'H') after 250 ns;
sd <= buskeep(sd), (others => 'H') after 250 ns;
test0 : grtestmod
port map ( rst, clk, error, address(21 downto 2), data,
iosn, oen, writen, open);
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 160 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 500 ns;
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp);
txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
| gpl-2.0 |
borti4938/sd2snes | verilog/sd2snes_sdd1/Input_Manager.vhd | 2 | 17475 | ----------------------------------------------------------------------------------
-- Company: Traducciones Magno
-- Engineer: Magno
--
-- Create Date: 18.03.2018 18:59:09
-- Design Name:
-- Module Name: Input_Manager - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Input_Manager is
Port( clk : in STD_LOGIC;
-- control data
DMA_Conf_Valid : in STD_LOGIC;
DMA_In_Progress : in STD_LOGIC;
Header_Valid : out STD_LOGIC;
Header_BPP : out STD_LOGIC_VECTOR(1 downto 0);
Header_Context : out STD_LOGIC_VECTOR(1 downto 0);
-- data input from ROM
ROM_Data_tready : out STD_LOGIC;
ROM_Data_tvalid : in STD_LOGIC;
ROM_Data_tdata : in STD_LOGIC_VECTOR(15 downto 0);
ROM_Data_tkeep : in STD_LOGIC_VECTOR(1 downto 0);
-- Golomb decoded value
Decoded_Bit_tready : in STD_LOGIC;
Decoded_Bit_tuser : in STD_LOGIC_VECTOR(7 downto 0);
Decoded_Bit_tvalid : out STD_LOGIC;
Decoded_Bit_tdata : out STD_LOGIC;
Decoded_Bit_tlast : out STD_LOGIC );
end Input_Manager;
architecture Behavioral of Input_Manager is
COMPONENT FIFO_AXIS
Generic( FIFO_DEPTH : integer := 32 );
Port( clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din_tready : OUT STD_LOGIC;
din_tvalid : IN STD_LOGIC;
din_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
din_tkeep : IN STD_LOGIC_VECTOR(1 downto 0);
dout_tready : IN STD_LOGIC;
dout_tvalid : OUT STD_LOGIC;
dout_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC );
END COMPONENT;
COMPONENT Serializer
Port( clk : IN STD_LOGIC;
Rst : IN STD_LOGIC;
FIFO_tready : OUT STD_LOGIC;
FIFO_tvalid : IN STD_LOGIC;
FIFO_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
Shift : IN STD_LOGIC;
Shift_cnt : IN STD_LOGIC_VECTOR(2 downto 0);
Serial_tvalid : OUT STD_LOGIC;
Serial_tdata : OUT STD_LOGIC_VECTOR(7 downto 0) );
END COMPONENT;
COMPONENT Golomb_N_Decoder
Generic( N : integer);
Port( clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din_tready : OUT STD_LOGIC;
din_tdata : IN STD_LOGIC_VECTOR(N DOWNTO 0);
din_tuser : OUT STD_LOGIC_VECTOR(2 downto 0);
dout_tready : IN STD_LOGIC;
dout_tdata : OUT STD_LOGIC;
dout_tlast : OUT STD_LOGIC);
END COMPONENT;
COMPONENT Golomb_0_Decoder
Port( clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din_tready : OUT STD_LOGIC;
din_tdata : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
din_tuser : OUT STD_LOGIC_VECTOR(2 downto 0);
dout_tready : IN STD_LOGIC;
dout_tdata : OUT STD_LOGIC;
dout_tlast : OUT STD_LOGIC);
END COMPONENT;
type TipoEstado is( WAIT_START, FILL_SERIALIZER, GET_HEADER, INIT_GOLOMB, WAIT_END);
signal estado : TipoEstado := WAIT_START;
signal Decoded_Bit_tvalid_i : STD_LOGIC := '0';
signal Decoded_Bit_tlast_i : STD_LOGIC := '0';
signal Decoded_Bit_tdata_i : STD_LOGIC := '0';
signal Decoded_Bit_tuser_i : STD_LOGIC_VECTOR(2 downto 0) := "000";
signal FIFO_tready : STD_LOGIC := '0';
signal FIFO_Full : STD_LOGIC := '1';
signal FIFO_tvalid : STD_LOGIC := '0';
signal FIFO_tdata : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal Bit_Shift_Rdy : STD_LOGIC := '0';
signal Bit_Shift_Cnt : STD_LOGIC_VECTOR(2 downto 0) := "000";
signal Bit_Serializer_tvalid : STD_LOGIC := '0';
signal Bit_Serializer_tdata : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal G0_Run_End : STD_LOGIC := '0';
signal G1_Run_End : STD_LOGIC := '0';
signal G2_Run_End : STD_LOGIC := '0';
signal G3_Run_End : STD_LOGIC := '0';
signal G4_Run_End : STD_LOGIC := '0';
signal G5_Run_End : STD_LOGIC := '0';
signal G6_Run_End : STD_LOGIC := '0';
signal G7_Run_End : STD_LOGIC := '0';
signal G0_din : STD_LOGIC_VECTOR(0 downto 0) := (others => '0');
signal G1_din : STD_LOGIC_VECTOR(1 downto 0) := (others => '0');
signal G2_din : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G3_din : STD_LOGIC_VECTOR(3 downto 0) := (others => '0');
signal G4_din : STD_LOGIC_VECTOR(4 downto 0) := (others => '0');
signal G5_din : STD_LOGIC_VECTOR(5 downto 0) := (others => '0');
signal G6_din : STD_LOGIC_VECTOR(6 downto 0) := (others => '0');
signal G7_din : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal G0_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G1_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G2_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G3_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G4_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G5_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G6_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal G7_shift : STD_LOGIC_VECTOR(2 downto 0) := (others => '0');
signal Decoded_G0_tready : STD_LOGIC := '0';
signal Decoded_G0_tdata : STD_LOGIC := '0';
signal Decoded_G0_tlast : STD_LOGIC := '0';
signal Decoded_G1_tready : STD_LOGIC := '0';
signal Decoded_G1_tdata : STD_LOGIC := '0';
signal Decoded_G1_tlast : STD_LOGIC := '0';
signal Decoded_G2_tready : STD_LOGIC := '0';
signal Decoded_G2_tdata : STD_LOGIC := '0';
signal Decoded_G2_tlast : STD_LOGIC := '0';
signal Decoded_G3_tready : STD_LOGIC := '0';
signal Decoded_G3_tdata : STD_LOGIC := '0';
signal Decoded_G3_tlast : STD_LOGIC := '0';
signal Decoded_G4_tready : STD_LOGIC := '0';
signal Decoded_G4_tdata : STD_LOGIC := '0';
signal Decoded_G4_tlast : STD_LOGIC := '0';
signal Decoded_G5_tready : STD_LOGIC := '0';
signal Decoded_G5_tdata : STD_LOGIC := '0';
signal Decoded_G5_tlast : STD_LOGIC := '0';
signal Decoded_G6_tready : STD_LOGIC := '0';
signal Decoded_G6_tdata : STD_LOGIC := '0';
signal Decoded_G6_tlast : STD_LOGIC := '0';
signal Decoded_G7_tready : STD_LOGIC := '0';
signal Decoded_G7_tdata : STD_LOGIC := '0';
signal Decoded_G7_tlast : STD_LOGIC := '0';
signal FSM_Reset : STD_LOGIC := '1';
signal FSM_Get_Header : STD_LOGIC := '0';
signal FSM_Load_Golomb : STD_LOGIC := '0';
signal Control_ILA : STD_LOGIC_VECTOR(35 downto 0);
signal DBG_Cnt : STD_LOGIC_VECTOR(15 downto 0) := (others => '0');
signal FIFO_Cnt : STD_LOGIC_VECTOR(15 downto 0) := (others => '0');
begin
-- FIFO for input words from ROM, that converts to byte data; FIFO is kept mid-full with 4 words
-- (64 bits); worst IO rate case is 8 output bits * 8 bits per G7 code = 64 bits = 8 byte
Input_Data : FIFO_AXIS
Generic map(32)
Port map(clk => clk,
srst => FSM_Reset,
din_tready => ROM_Data_tready,
din_tvalid => ROM_Data_tvalid,
din_tdata => ROM_Data_tdata,
din_tkeep => ROM_Data_tkeep,
dout_tready => FIFO_tready,
dout_tvalid => FIFO_tvalid,
dout_tdata => FIFO_tdata,
full => FIFO_Full);
-- convert input bytes to bitstream
Bitstream : Serializer
Port map(clk => clk,
Rst => FSM_Reset,
FIFO_tready => FIFO_tready,
FIFO_tvalid => FIFO_tvalid,
FIFO_tdata => FIFO_tdata,
Shift => Bit_Shift_Rdy,
Shift_cnt => Bit_Shift_Cnt,
Serial_tvalid => Bit_Serializer_tvalid,
Serial_tdata => Bit_Serializer_tdata );
-- process to register header configuration for decompression; one-cycle strobe signals
-- data is valid and decompression may start
Process( clk )
Begin
if rising_edge( clk ) then
if( FSM_Reset = '1' OR FSM_Get_Header = '0' ) then
Header_Valid <= '0';
else
Header_Valid <= '1';
Header_BPP <= Bit_Serializer_tdata(0) & Bit_Serializer_tdata(1);
Header_Context <= Bit_Serializer_tdata(2) & Bit_Serializer_tdata(3);
end if;
end if;
End Process;
-- serializer is updated when last bit in the run is out of any Golomb decoder or after reading header
Process( clk )
Begin
if rising_edge( clk ) then
if( FSM_Reset = '1' ) then
Bit_Shift_Rdy <= '0';
Bit_Shift_Cnt <= "000";
else
Bit_Shift_Rdy <= FSM_Load_Golomb OR G0_Run_End OR G1_Run_End OR G2_Run_End OR G3_Run_End OR
G4_Run_End OR G5_Run_End OR G6_Run_End OR G7_Run_End;
-- when header is already read, shift first 4 bits
if( FSM_Load_Golomb = '1' ) then
Bit_Shift_Cnt <= "011";
end if;
if( G0_Run_End = '1' ) then
Bit_Shift_Cnt <= G0_shift;
end if;
if( G1_Run_End = '1' ) then
Bit_Shift_Cnt <= G1_shift;
end if;
if( G2_Run_End = '1' ) then
Bit_Shift_Cnt <= G2_shift;
end if;
if( G3_Run_End = '1' ) then
Bit_Shift_Cnt <= G3_shift;
end if;
if( G4_Run_End = '1' ) then
Bit_Shift_Cnt <= G4_shift;
end if;
if( G5_Run_End = '1' ) then
Bit_Shift_Cnt <= G5_shift;
end if;
if( G6_Run_End = '1' ) then
Bit_Shift_Cnt <= G6_shift;
end if;
if( G7_Run_End = '1' ) then
Bit_Shift_Cnt <= G7_shift;
end if;
end if;
end if;
End Process;
-- select which Golomb decoder to request the bit to
Decoded_G0_tready <= Decoded_Bit_tuser(0) AND Decoded_Bit_tready;
Decoded_G1_tready <= Decoded_Bit_tuser(1) AND Decoded_Bit_tready;
Decoded_G2_tready <= Decoded_Bit_tuser(2) AND Decoded_Bit_tready;
Decoded_G3_tready <= Decoded_Bit_tuser(3) AND Decoded_Bit_tready;
Decoded_G4_tready <= Decoded_Bit_tuser(4) AND Decoded_Bit_tready;
Decoded_G5_tready <= Decoded_Bit_tuser(5) AND Decoded_Bit_tready;
Decoded_G6_tready <= Decoded_Bit_tuser(6) AND Decoded_Bit_tready;
Decoded_G7_tready <= Decoded_Bit_tuser(7) AND Decoded_Bit_tready;
-- data in for feeding Golomb decoders
G0_din <= Bit_Serializer_tdata(0 downto 0);
G1_din <= Bit_Serializer_tdata(1 downto 0);
G2_din <= Bit_Serializer_tdata(2 downto 0);
G3_din <= Bit_Serializer_tdata(3 downto 0);
G4_din <= Bit_Serializer_tdata(4 downto 0);
G5_din <= Bit_Serializer_tdata(5 downto 0);
G6_din <= Bit_Serializer_tdata(6 downto 0);
G7_din <= Bit_Serializer_tdata(7 downto 0);
-- Order 0 Golomb decoder
G0 : Golomb_0_Decoder
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G0_Run_End,
din_tdata => G0_din,
din_tuser => G0_shift,
dout_tready => Decoded_G0_tready,
dout_tdata => Decoded_G0_tdata,
dout_tlast => Decoded_G0_tlast );
-- Order 1 Golomb decoder
G1 : Golomb_N_Decoder
Generic map( 1 )
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G1_Run_End,
din_tdata => G1_din,
din_tuser => G1_shift,
dout_tready => Decoded_G1_tready,
dout_tdata => Decoded_G1_tdata,
dout_tlast => Decoded_G1_tlast );
-- Order 2 Golomb decoder
G2 : Golomb_N_Decoder
Generic map( 2 )
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G2_Run_End,
din_tdata => G2_din,
din_tuser => G2_shift,
dout_tready => Decoded_G2_tready,
dout_tdata => Decoded_G2_tdata,
dout_tlast => Decoded_G2_tlast );
-- Order 3 Golomb decoder
G3 : Golomb_N_Decoder
Generic map( 3 )
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G3_Run_End,
din_tdata => G3_din,
din_tuser => G3_shift,
dout_tready => Decoded_G3_tready,
dout_tdata => Decoded_G3_tdata,
dout_tlast => Decoded_G3_tlast );
-- Order 4 Golomb decoder
G4 : Golomb_N_Decoder
Generic map( 4 )
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G4_Run_End,
din_tdata => G4_din,
din_tuser => G4_shift,
dout_tready => Decoded_G4_tready,
dout_tdata => Decoded_G4_tdata,
dout_tlast => Decoded_G4_tlast );
-- Order 5 Golomb decoder
G5 : Golomb_N_Decoder
Generic map( 5 )
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G5_Run_End,
din_tdata => G5_din,
din_tuser => G5_shift,
dout_tready => Decoded_G5_tready,
dout_tdata => Decoded_G5_tdata,
dout_tlast => Decoded_G5_tlast );
-- Order 6 Golomb decoder
G6 : Golomb_N_Decoder
Generic map( 6 )
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G6_Run_End,
din_tdata => G6_din,
din_tuser => G6_shift,
dout_tready => Decoded_G6_tready,
dout_tdata => Decoded_G6_tdata,
dout_tlast => Decoded_G6_tlast );
-- Order 7 Golomb decoder
G7 : Golomb_N_Decoder
Generic map( 7 )
Port map(clk => clk,
rst => FSM_Reset,
din_tready => G7_Run_End,
din_tdata => G7_din,
din_tuser => G7_shift,
dout_tready => Decoded_G7_tready,
dout_tdata => Decoded_G7_tdata,
dout_tlast => Decoded_G7_tlast );
Decoded_Bit_tvalid <= Decoded_Bit_tvalid_i;
Decoded_Bit_tdata <= Decoded_Bit_tdata_i;
Decoded_Bit_tlast <= Decoded_Bit_tlast_i;
Process(clk)
Begin
if rising_edge( clk ) then
if( FSM_Reset = '1' ) then
Decoded_Bit_tvalid_i <= '0';
Decoded_Bit_tdata_i <= '0';
Decoded_Bit_tlast_i <= '0';
else
Decoded_Bit_tvalid_i <= Decoded_Bit_tready;
-- multiplexor for routing Golomb decoded bit to module's output
if( Decoded_Bit_tready = '1' ) then
if( Decoded_Bit_tuser(0) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G0_tdata;
Decoded_Bit_tlast_i <= Decoded_G0_tlast;
end if;
if( Decoded_Bit_tuser(1) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G1_tdata;
Decoded_Bit_tlast_i <= Decoded_G1_tlast;
end if;
if( Decoded_Bit_tuser(2) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G2_tdata;
Decoded_Bit_tlast_i <= Decoded_G2_tlast;
end if;
if( Decoded_Bit_tuser(3) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G3_tdata;
Decoded_Bit_tlast_i <= Decoded_G3_tlast;
end if;
if( Decoded_Bit_tuser(4) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G4_tdata;
Decoded_Bit_tlast_i <= Decoded_G4_tlast;
end if;
if( Decoded_Bit_tuser(5) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G5_tdata;
Decoded_Bit_tlast_i <= Decoded_G5_tlast;
end if;
if( Decoded_Bit_tuser(6) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G6_tdata;
Decoded_Bit_tlast_i <= Decoded_G6_tlast;
end if;
if( Decoded_Bit_tuser(7) = '1' ) then
Decoded_Bit_tdata_i <= Decoded_G7_tdata;
Decoded_Bit_tlast_i <= Decoded_G7_tlast;
end if;
end if;
end if;
end if;
End Process;
-- FSM for controlling input data into the FIFO and serialized data to
-- Golomb decoders
Process( clk )
Begin
if rising_edge( clk ) then
case estado is
-- keep FIFO reset to avoid filling it with unneeded data;
-- when DMA configuration is valid, go to next step
when WAIT_START =>
if( DMA_Conf_Valid = '1' ) then
estado <= FILL_SERIALIZER;
end if;
-- wait for bit serializer to be ready
when FILL_SERIALIZER =>
if( Bit_Serializer_tvalid = '1' ) then
estado <= GET_HEADER;
end if;
-- read header from bitstream
when GET_HEADER =>
estado <= INIT_GOLOMB;
-- load Golomb decoders and header
when INIT_GOLOMB =>
estado <= WAIT_END;
-- monitor serializer's bit pointer to ask for new data; if DMA transfer
-- ends, go to reset state
when WAIT_END =>
if( DMA_In_Progress = '0' ) then
estado <= WAIT_START;
end if;
end case;
end if;
end Process;
-- reset FIFO while decompression is stopped
FSM_Reset <= '1' when estado = WAIT_START else '0';
-- enable register to capture header data
FSM_Get_Header <= '1' when estado = GET_HEADER else '0';
-- Golomb decoders are loaded with data at initialization
with estado select
FSM_Load_Golomb <= '1' when INIT_GOLOMB,
'0' when others;
end Behavioral;
| gpl-2.0 |
lynnieana/autosub_new_task_timingDemo | timingDemo/static/timingDemo_example.vhdl | 1 | 443 | ENTITY top IS END top;
ARCHITECTURE example OF top IS
SIGNAL w,x,y,z : integer := 0; -- initialised to 0 (zero)
BEGIN
p1 : PROCESS(z)
VARIABLE a : integer := 0; -- initialised to 0 (zero)
BEGIN
a := a + 100;
w <= w + 80;
x <= a + w AFTER 40 ns;
y <= a - w AFTER 60 ns;
END PROCESS;
p2: PROCESS
BEGIN
z <= (x + y) AFTER 70 ns;
--z <= x AFTER 70 ns;
WAIT ON x,y;
END PROCESS;
END example;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/jtag/jtagcom.vhd | 1 | 7731 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: jtagcom
-- File: jtagcom.vhd
-- Author: Edvin Catovic - Gaisler Research
-- Modified: J. Gaisler, K. Glembo, J. Andersson - Aeroflex Gaisler
-- Description: JTAG Debug Interface with AHB master interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.libjtagcom.all;
use gaisler.misc.all;
entity jtagcom is
generic (
isel : integer range 0 to 1 := 0;
nsync : integer range 1 to 2 := 2;
ainst : integer range 0 to 255 := 2;
dinst : integer range 0 to 255 := 3;
reread : integer range 0 to 1 := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
tapo : in tap_out_type;
tapi : out tap_in_type;
dmao : in ahb_dma_out_type;
dmai : out ahb_dma_in_type;
tck : in std_ulogic;
trst : in std_ulogic
);
attribute sync_set_reset of rst : signal is "true";
end;
architecture rtl of jtagcom is
constant ADDBITS : integer := 10;
constant NOCMP : boolean := (isel /= 0);
type state_type is (shft, ahb, nxt_shft);
type reg_type is record
addr : std_logic_vector(34 downto 0);
data : std_logic_vector(32 downto 0);
state : state_type;
tcktog: std_logic_vector(nsync-1 downto 0);
tcktog2: std_ulogic;
tdishft: std_ulogic;
trst : std_logic_vector(nsync-1 downto 0);
tdi : std_logic_vector(nsync-1 downto 0);
shift : std_logic_vector(nsync-1 downto 0);
shift2: std_ulogic;
upd : std_logic_vector(nsync-1 downto 0);
upd2 : std_ulogic;
asel : std_logic_vector(nsync-1 downto 0);
dsel : std_logic_vector(nsync-1 downto 0);
seq : std_ulogic;
holdn : std_ulogic;
end record;
type tckreg_type is record
tcktog: std_ulogic;
tdi: std_ulogic;
tdor: std_ulogic;
end record;
signal nexttdo: std_ulogic;
signal r, rin : reg_type;
signal tr: tckreg_type;
begin
comb : process (rst, r, tapo, dmao, tr)
variable v : reg_type;
variable redge0 : std_ulogic;
variable vdmai : ahb_dma_in_type;
variable asel, dsel : std_ulogic;
variable vtapi : tap_in_type;
variable write, seq : std_ulogic;
variable vnexttdo: std_ulogic;
begin
v := r;
if NOCMP then
asel := tapo.asel; dsel := tapo.dsel;
else
if tapo.inst = conv_std_logic_vector(ainst, 8) then asel := '1'; else asel := '0'; end if;
if tapo.inst = conv_std_logic_vector(dinst, 8) then dsel := '1'; else dsel := '0'; end if;
end if;
vtapi.en := asel or dsel;
vnexttdo := '0';
if asel='1' then
if tapo.shift='1' then
vnexttdo := r.addr(1);
else
vnexttdo := r.addr(0);
end if;
else
if tapo.shift='1' then
vnexttdo := r.data(1);
else
vnexttdo := r.data(0);
end if;
if reread /= 0 then vnexttdo := vnexttdo and r.holdn; end if;
end if;
nexttdo <= vnexttdo;
vtapi.tdo := tr.tdor;
write := r.addr(34); seq := r.seq;
v.tcktog(0) := r.tcktog(nsync-1); v.tcktog(nsync-1) := tr.tcktog;
v.tcktog2 := r.tcktog(0); v.shift2 := r.shift(0);
v.trst(0) := r.trst(nsync-1); v.trst(nsync-1) := tapo.reset;
v.tdi(0) := r.tdi(nsync-1); v.tdi(nsync-1) := tr.tdi;
v.shift(0) := r.shift(nsync-1); v.shift(nsync-1) := tapo.shift;
v.upd(0) := r.upd(nsync-1); v.upd(nsync-1) := tapo.upd;
v.upd2 := r.upd(0);
v.asel(0) := r.asel(nsync-1); v.asel(nsync-1) := asel;
v.dsel(0) := r.dsel(nsync-1); v.dsel(nsync-1) := dsel;
redge0 := r.tcktog2 xor r.tcktog(0);
v.tdishft := '0';
vdmai.address := r.addr(31 downto 0); vdmai.wdata := ahbdrivedata(r.data(31 downto 0));
vdmai.start := '0'; vdmai.burst := '0'; vdmai.write := write;
vdmai.busy := '0'; vdmai.irq := '0'; vdmai.size := '0' & r.addr(33 downto 32);
case r.state is
when shft =>
if (r.asel(0) or r.dsel(0)) = '1' then
if r.shift2 = '1' then
if redge0 = '1' then
if r.asel(0) = '1' then v.addr(33 downto 0) := r.addr(34 downto 1); end if;
if r.dsel(0) = '1' then v.data(31 downto 0) := r.data(32 downto 1); end if;
v.tdishft := '1'; -- Shift in TDI next AHB cycle
end if;
elsif r.upd2 = '1' then
if reread /= 0 then
v.data(32) := '0'; -- Transfer not done
end if;
if (r.asel(0) and not write) = '1' then v.state := ahb; end if;
if (r.dsel(0) and (write or (not write and seq))) = '1' then -- data register
v.state := ahb;
if (seq and not write) = '1' then
v.addr(ADDBITS-1 downto 2) := r.addr(ADDBITS-1 downto 2) + 1;
end if;
end if;
end if;
end if;
if r.tdishft='1' then
if r.asel(0)='1' then v.addr(34):=r.tdi(0); end if;
if r.dsel(0)='1' then v.data(32):=r.tdi(0); v.seq:=r.tdi(0); end if;
end if;
if reread /= 0 then v.holdn := '1'; end if;
vdmai.size := "000";
when ahb =>
if reread /= 0 and r.shift2 = '1' then v.holdn := '0'; end if;
if dmao.active = '1' then
if dmao.ready = '1' then
v.data(31 downto 0) := ahbreadword(dmao.rdata);
v.state := nxt_shft;
if reread /= 0 then
v.data(32) := '1'; -- Transfer done
end if;
if (write and seq) = '1' then
v.addr(ADDBITS-1 downto 2) := r.addr(ADDBITS-1 downto 2) + 1;
end if;
end if;
else
vdmai.start := '1';
end if;
when nxt_shft =>
if reread /= 0 then
v.holdn := (r.holdn or r.upd2) and not r.shift2;
if r.upd2 = '0' and r.shift2 = '0' and r.holdn = '1' then v.state := shft; end if;
else
if r.upd2 = '0' then v.state := shft; end if;
end if;
end case;
if (rst = '0') or (r.trst(0) = '1') then
v.state := shft; v.addr := (others => '0'); v.seq := '0';
end if;
if reread = 0 then v.holdn := '0'; end if;
rin <= v; dmai <= vdmai; tapi <= vtapi;
end process;
reg : process (clk)
begin
if rising_edge(clk) then r <= rin; end if;
end process;
tckreg: process (tck,trst)
begin
if rising_edge(tck) then
tr.tcktog <= not tr.tcktog;
tr.tdi <= tapo.tdi;
tr.tdor <= nexttdo;
end if;
if trst='0' then
tr.tcktog <= '0';
tr.tdi <= '0';
tr.tdor <= '0';
end if;
end process;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-xilinx-ml510/leon3mp.vhd | 1 | 51575 | -----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2008 Jiri Gaisler, Jan Andersson, Aeroflex Gaisler
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib, techmap;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.spi.all;
use gaisler.i2c.all;
use gaisler.net.all;
use gaisler.jtag.all;
use gaisler.pci.all;
use gaisler.ddrpkg.all;
library esa;
use esa.memoryctrl.all;
use esa.pcicomp.all;
use work.config.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
-- pragma translate_on
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
fpga_cpu_reset_b : in std_ulogic;
user_clksys : in std_ulogic; -- 100 MHz main clock
sysace_fpga_clk : in std_ulogic; -- 33 MHz
-- Flash
flash_we_b : out std_ulogic;
flash_wait : in std_ulogic;
flash_reset_b : out std_ulogic;
flash_oe_b : out std_ulogic;
flash_d : inout std_logic_vector(15 downto 0);
flash_clk : out std_ulogic;
flash_ce_b : out std_ulogic;
flash_adv_b : out std_logic;
flash_a : out std_logic_vector(21 downto 0);
--pragma translate_off
-- For debug output module
sram_bw : out std_ulogic;
sim_d : inout std_logic_vector(31 downto 16);
iosn : out std_ulogic;
--pragma translate_on
-- DDR2 slot 1
dimm1_ddr2_we_b : out std_ulogic;
dimm1_ddr2_s_b : out std_logic_vector(1 downto 0);
dimm1_ddr2_ras_b : out std_ulogic;
dimm1_ddr2_pll_clkin_p : out std_ulogic;
dimm1_ddr2_pll_clkin_n : out std_ulogic;
dimm1_ddr2_odt : out std_logic_vector(1 downto 0);
dimm1_ddr2_dqs_p : inout std_logic_vector(8 downto 0);
dimm1_ddr2_dqs_n : inout std_logic_vector(8 downto 0);
dimm1_ddr2_dqm : out std_logic_vector(8 downto 0);
dimm1_ddr2_dq : inout std_logic_vector(71 downto 0);
dimm1_ddr2_cke : out std_logic_vector(1 downto 0);
-- dimm1_ddr2_cb : inout std_logic_vector(7 downto 0);
dimm1_ddr2_cas_b : out std_ulogic;
dimm1_ddr2_ba : out std_logic_vector(2 downto 0);
dimm1_ddr2_a : out std_logic_vector(13 downto 0);
-- DDR2 slot 0
dimm0_ddr2_we_b : out std_ulogic;
dimm0_ddr2_s_b : out std_logic_vector(1 downto 0);
dimm0_ddr2_ras_b : out std_ulogic;
dimm0_ddr2_pll_clkin_p : out std_ulogic;
dimm0_ddr2_pll_clkin_n : out std_ulogic;
dimm0_ddr2_odt : out std_logic_vector(1 downto 0);
dimm0_ddr2_dqs_p : inout std_logic_vector(8 downto 0);
dimm0_ddr2_dqs_n : inout std_logic_vector(8 downto 0);
dimm0_ddr2_dqm : out std_logic_vector(8 downto 0);
dimm0_ddr2_dq : inout std_logic_vector(71 downto 0);
dimm0_ddr2_cke : out std_logic_vector(1 downto 0);
-- dimm0_ddr2_cb : inout std_logic_vector(7 downto 0);
dimm0_ddr2_cas_b : out std_ulogic;
dimm0_ddr2_ba : out std_logic_vector(2 downto 0);
dimm0_ddr2_a : out std_logic_vector(13 downto 0);
dimm0_ddr2_reset_n : out std_ulogic;
-- Ethernet PHY
phy0_txer : out std_ulogic;
phy0_txd : out std_logic_vector(3 downto 0);
phy0_txctl_txen : out std_ulogic;
phy0_txclk : in std_ulogic;
phy0_rxer : in std_ulogic;
phy0_rxd : in std_logic_vector(3 downto 0);
phy0_rxctl_rxdv : in std_ulogic;
phy0_rxclk : in std_ulogic;
phy0_reset : out std_ulogic;
phy0_mdio : inout std_logic;
phy0_mdc : out std_ulogic;
-- phy0_int : in std_ulogic;
-- System ACE MPU
sysace_mpa : out std_logic_vector(6 downto 0);
sysace_mpce : out std_ulogic;
sysace_mpirq : in std_ulogic;
sysace_mpoe : out std_ulogic;
sysace_mpwe : out std_ulogic;
sysace_mpd : inout std_logic_vector(15 downto 0);
-- GPIO/Green LEDs
dbg_led : inout std_logic_vector(3 downto 0);
-- Red/Green LEDs
opb_bus_error : out std_ulogic;
plb_bus_error : out std_ulogic;
-- LCD
-- fpga_lcd_rw : out std_ulogic;
-- fpga_lcd_rs : out std_ulogic;
-- fpga_lcd_e : out std_ulogic;
-- fpga_lcd_db : out std_logic_vector(7 downto 0);
-- DVI
dvi_xclk_p : out std_ulogic;
dvi_xclk_n : out std_ulogic;
dvi_v : out std_ulogic;
dvi_reset_b : out std_ulogic;
dvi_h : out std_ulogic;
dvi_gpio1 : inout std_logic;
dvi_de : out std_ulogic;
dvi_d : out std_logic_vector(11 downto 0);
-- PCI
pci_p_trdy_b : inout std_logic;
pci_p_stop_b : inout std_logic;
pci_p_serr_b : inout std_logic;
pci_p_rst_b : inout std_logic;
pci_p_req_b : in std_logic_vector(0 to 4);
pci_p_perr_b : inout std_logic;
pci_p_par : inout std_logic;
pci_p_lock_b : inout std_logic;
pci_p_irdy_b : inout std_logic;
pci_p_intd_b : in std_logic;
pci_p_intc_b : in std_logic;
pci_p_intb_b : in std_logic;
pci_p_inta_b : in std_logic;
pci_p_gnt_b : out std_logic_vector(0 to 4);
pci_p_frame_b : inout std_logic;
pci_p_devsel_b : inout std_logic;
pci_p_clk5_r : out std_ulogic;
pci_p_clk5 : in std_ulogic;
pci_p_clk4_r : out std_ulogic;
pci_p_clk3_r : out std_ulogic;
pci_p_clk1_r : out std_ulogic;
pci_p_clk0_r : out std_ulogic;
pci_p_cbe_b : inout std_logic_vector(3 downto 0);
pci_p_ad : inout std_logic_vector(31 downto 0);
-- pci_fpga_idsel : in std_ulogic;
sbr_pwg_rsm_rstj : inout std_logic;
sbr_nmi_r : in std_ulogic;
sbr_intr_r : in std_ulogic;
sbr_ide_rst_b : inout std_logic;
-- IIC/SMBus and sideband signals
iic_sda_dvi : inout std_logic;
iic_scl_dvi : inout std_logic;
fpga_sda : inout std_logic;
fpga_scl : inout std_logic;
iic_therm_b : in std_ulogic;
iic_reset_b : out std_ulogic;
iic_irq_b : in std_ulogic;
iic_alert_b : in std_ulogic;
-- SPI
spi_data_out : in std_logic;
spi_data_in : out std_ulogic;
spi_data_cs_b : out std_ulogic;
spi_clk : out std_ulogic;
-- UARTs
uart1_txd : out std_ulogic;
uart1_rxd : in std_ulogic;
uart1_rts_b : out std_ulogic;
uart1_cts_b : in std_ulogic;
uart0_txd : out std_ulogic;
uart0_rxd : in std_ulogic;
uart0_rts_b : out std_ulogic
-- uart0_cts_b : in std_ulogic
-- System monitor
-- test_mon_vrefp : in std_ulogic;
-- test_mon_vp0_p : in std_ulogic;
-- test_mon_vn0_n : in std_ulogic
-- test_mon_avdd : in std_ulogic
);
end;
architecture rtl of leon3mp is
component svga2ch7301c
generic (
tech : integer := 0;
idf : integer := 0;
dynamic : integer := 0
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
clksel : in std_logic_vector(1 downto 0);
vgao : in apbvga_out_type;
vgaclk_fb : in std_ulogic;
clk25_fb : in std_ulogic;
clk40_fb : in std_ulogic;
clk65_fb : in std_ulogic;
vgaclk : out std_ulogic;
clk25 : out std_ulogic;
clk40 : out std_ulogic;
clk65 : out std_ulogic;
dclk_p : out std_ulogic;
dclk_n : out std_ulogic;
locked : out std_ulogic;
data : out std_logic_vector(11 downto 0);
hsync : out std_ulogic;
vsync : out std_ulogic;
de : out std_ulogic
);
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
constant blength : integer := 12;
constant fifodepth : integer := 8;
constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+
CFG_SVGA_ENABLE+CFG_PCI;
-- Set this constant to 1 to include an APB bridge with the Logan logic
-- analyzer attached to the PCI signals
constant CFG_LOGAN : integer := 0;
signal ddr0_clk_fb, ddr1_clk_fb : std_logic;
signal vcc, gnd : std_logic_vector(31 downto 0);
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal apbi, apbi1 : apb_slv_in_type;
signal apbo, apbo1 : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal clkm, clkm2x, rstn, rstraw, flashclkl : std_ulogic;
signal clkddr, clk_200 : std_ulogic;
signal clk25, clk40, clk65 : std_ulogic;
signal cgi, cgi2, cgi3 : clkgen_in_type;
signal cgo, cgo2, cgo3 : clkgen_out_type;
signal u1i, dui : uart_in_type;
signal u1o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to NCPU-1);
signal irqo : irq_out_vector(0 to NCPU-1);
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal opb_bus_errorl, plb_bus_errorl : std_ulogic;
signal ethi, ethi1, ethi2 : eth_in_type;
signal etho, etho1, etho2 : eth_out_type;
signal gpti : gptimer_in_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal clklock, lock0, lock1, lclk, clkml0, clkml1 : std_ulogic;
signal tck, tckn, tms, tdi, tdo : std_ulogic;
signal rst : std_ulogic;
signal egtx_clk_fb : std_ulogic;
signal egtx_clk, legtx_clk, l2egtx_clk : std_ulogic;
signal vgao : apbvga_out_type;
signal lcd_datal : std_logic_vector(11 downto 0);
signal lcd_hsyncl, lcd_vsyncl, lcd_del, lcd_reset_bl : std_ulogic;
signal clk_sel : std_logic_vector(1 downto 0);
signal vgalock : std_ulogic;
signal clkvga, clkvga_p, clkvga_n : std_ulogic;
signal i2ci, dvi_i2ci : i2c_in_type;
signal i2co, dvi_i2co : i2c_out_type;
signal spii : spi_in_type;
signal spio : spi_out_type;
signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0);
constant BOARD_FREQ_200 : integer := 200000; -- input frequency in KHz
constant BOARD_FREQ : integer := 100000; -- input frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz
constant I2C_FILTER : integer := (CPU_FREQ*5+50000)/100000+1;
-- DDR clock is 200 MHz clock unless CFG_DDR2SP_NOSYNC is set. If that config
-- option is set the DDR clock is 2x CPU clock.
constant DDR_FREQ : integer :=
BOARD_FREQ_200 - (BOARD_FREQ_200 - 2*CPU_FREQ)*CFG_DDR2SP_NOSYNC;
constant IOAEN : integer := CFG_DDR2SP;
signal stati : ahbstat_in_type;
signal ddr0_clkv : std_logic_vector(2 downto 0);
signal ddr0_clkbv : std_logic_vector(2 downto 0);
signal ddr1_clkv : std_logic_vector(2 downto 0);
signal ddr1_clkbv : std_logic_vector(2 downto 0);
signal clkace : std_ulogic;
signal acei : gracectrl_in_type;
signal aceo : gracectrl_out_type;
signal sysmoni : grsysmon_in_type;
signal sysmono : grsysmon_out_type;
signal pciclk, pci_clk, pci_clk_fb : std_ulogic;
signal pci_arb_gnt : std_logic_vector(0 to 7);
signal pci_arb_req : std_logic_vector(0 to 7);
signal pci_arb_reql : std_logic_vector(0 to 4);
signal pci_reql : std_ulogic;
signal pci_host, pci_66 : std_ulogic;
signal pci_intv : std_logic_vector(3 downto 0);
signal pcii : pci_in_type;
signal pcio : pci_out_type;
signal clkma, clkmb, clkmc : std_ulogic;
signal clk0_tb, rst0_tb, rst0_tbn : std_ulogic;
signal phy_init_done : std_ulogic;
-- Logan signals
signal signals : std_logic_vector(63*CFG_LOGAN downto 0);
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of clkml0 : signal is true;
attribute syn_preserve of clkml0 : signal is true;
attribute syn_keep of clkml1 : signal is true;
attribute syn_preserve of clkml1 : signal is true;
attribute syn_keep of clkm : signal is true;
attribute syn_preserve of clkm : signal is true;
attribute syn_keep of egtx_clk : signal is true;
attribute syn_preserve of egtx_clk : signal is true;
attribute syn_keep of clkvga : signal is true;
attribute syn_preserve of clkvga : signal is true;
attribute syn_keep of clk25 : signal is true;
attribute syn_preserve of clk25 : signal is true;
attribute syn_keep of clk40 : signal is true;
attribute syn_preserve of clk40 : signal is true;
attribute syn_keep of clk65 : signal is true;
attribute syn_preserve of clk65 : signal is true;
attribute syn_keep of phy_init_done : signal is true;
attribute syn_preserve of phy_init_done : signal is true;
attribute keep : boolean;
attribute keep of lock0 : signal is true;
attribute keep of lock1 : signal is true;
attribute keep of clkml0 : signal is true;
attribute keep of clkml1 : signal is true;
attribute keep of clkm : signal is true;
attribute keep of egtx_clk : signal is true;
attribute keep of clkvga : signal is true;
attribute keep of clk25 : signal is true;
attribute keep of clk40 : signal is true;
attribute keep of clk65 : signal is true;
attribute syn_noprune : boolean;
attribute syn_noprune of sysace_fpga_clk_pad : label is true;
begin
vcc <= (others => '1'); gnd <= (others => '0');
rst0_tbn <= not rst0_tb;
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
flashclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
port map (flash_clk, flashclkl);
sysace_fpga_clk_pad : clkpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (sysace_fpga_clk, clkace);
pci_p_clk5_pad : clkpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (pci_p_clk5, pci_clk_fb);
pci_p_clk5_r_pad : outpad generic map (tech => padtech, level => pci33)
port map (pci_p_clk5_r, pci_clk);
pci_p_clk4_r_pad : outpad generic map (tech => padtech, level => pci33)
port map (pci_p_clk4_r, pci_clk);
pci_p_clk3_r_pad : outpad generic map (tech => padtech, level => pci33)
port map (pci_p_clk3_r, pci_clk);
pci_p_clk1_r_pad : outpad generic map (tech => padtech, level => pci33)
port map (pci_p_clk1_r, pci_clk);
pci_p_clk0_r_pad : outpad generic map (tech => padtech, level => pci33)
port map (pci_p_clk0_r, pci_clk);
clkgen0 : clkgen -- system clock generator
generic map (CFG_FABTECH, CFG_CLKMUL, CFG_CLKDIV, 1, 1,
1, CFG_PCIDLL, CFG_PCISYSCLK, BOARD_FREQ, 1)
port map (lclk, pci_clk_fb, clkmc, open, clkm2x, flashclkl, pciclk, cgi, cgo,
open, open, clk_200);
cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; cgi.pllref <= '0';
-- clkgen1 : clkgen -- Ethernet 1G PHY clock generator
-- generic map (CFG_FABTECH, 5, 4, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
-- port map (lclk, gnd(0), egtx_clk, open, open, open, open, cgi2, cgo2);
-- cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw; --cgi2.pllref <= egtx_clk_fb;
-- egtx_clk_pad : outpad generic map (tech => padtech)
-- port map (phy_gtx_clk, egtx_clk);
clkgen2 : clkgen -- PCI clock generator
generic map (CFG_FABTECH, 2, 6, 0, 0, 0, 0, 0, BOARD_FREQ, 0)
port map (lclk, gnd(0), pci_clk, open, open, open, open, cgi3, cgo3);
cgi3.pllctrl <= "00"; cgi3.pllrst <= rstraw; cgi3.pllref <= '0';
iic_reset_b_pad : outpad generic map (tech => padtech)
port map (iic_reset_b, rstn);
resetn_pad : inpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (fpga_cpu_reset_b, rst);
rst0 : rstgen -- reset generator
port map (rst, clkm, clklock, rstn, rstraw);
clklock <= lock0 and lock1 and cgo.clklock and cgo3.clklock;
clk_pad : clkpad generic map (tech => padtech, arch => 2, level => cmos, voltage => x25v)
port map (user_clksys, lclk);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, devid => XILINX_ML510,
ioen => IOAEN, nahbm => maxahbm, nahbs => 11 + CFG_LOGAN)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
l3 : if CFG_LEON3 = 1 generate
cpu : for i in 0 to NCPU-1 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE,
CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
opb_bus_errorl <= not dbgo(0).error;
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#D00#, hmask => 16#F00#,
ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1';
dsui.break <= not gpioo.val(0); -- Position on on GPIO DIP switch
plb_bus_errorl <= dsuo.active;
end generate;
end generate;
nodsu : if CFG_DSU = 0 generate
dsuo.tstop <= '0'; dsuo.active <= '0'; plb_bus_errorl <= '0';
end generate;
opb_bus_error_pad : outpad generic map (tech => padtech)
port map (opb_bus_error, opb_bus_errorl);
plb_bus_error_pad : outpad generic map (tech => padtech)
port map (plb_bus_error, plb_bus_errorl);
dcomgen : if CFG_AHB_UART = 1 generate
dcom0: ahbuart -- Debug UART
generic map (hindex => NCPU, pindex => 7, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU));
end generate;
nodcom : if CFG_AHB_UART = 0 generate
duo.txd <= '0'; duo.rtsn <= '1';
end generate;
dsurx_pad : inpad generic map (tech => padtech, level => cmos, voltage => x33v)
port map (uart0_rxd, dui.rxd);
dsutx_pad : outpad generic map (tech => padtech, level => cmos, voltage => x33v)
port map (uart0_txd, duo.txd);
-- dsucts_pad : inpad generic map (tech => padtech, level => cmos, voltage => x33v)
-- port map (uart0_cts_b, dui.ctsn);
dsurts_pad : outpad generic map (tech => padtech, level => cmos, voltage => x33v)
port map (uart0_rts_b, duo.rtsn);
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd(0));
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
memi.brdyn <= '1'; memi.bexcn <= '1';
mctrl0 : if CFG_MCTRL_LEON2 = 1 generate
mctrl0 : mctrl generic map (hindex => 3, pindex => 0,
ramaddr => 0, rammask => 0, paddr => 0, srbanks => 0,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
sden => CFG_MCTRL_SDEN, invclk => CFG_MCTRL_INVCLK,
sepbus => CFG_MCTRL_SEPBUS)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(3), apbi, apbo(0), wpo);
end generate;
nomctrl: if CFG_MCTRL_LEON2 = 0 generate
memo.address <= (others => '0'); memo.romsn <= (others => '1');
memo.oen <= '1'; memo.wrn <= (others => '1');
memo.vbdrive <= (others => '1'); memo.writen <= '1';
end generate;
flash_reset_b_pad : outpad generic map (tech => padtech)
port map (flash_reset_b, rstn);
-- flash_wait_pad : inpad generic map (tech => padtech)
-- port map (flash_wait, );
flash_adv_b_pad : outpad generic map (tech => padtech)
port map (flash_adv_b, gnd(0));
flash_a_pads : outpadv generic map (width => 22, tech => padtech)
port map (flash_a, memo.address(22 downto 1));
flash_ce_b_pad : outpad generic map (tech => padtech)
port map (flash_ce_b, memo.romsn(0));
flash_oe_b_pad : outpad generic map (tech => padtech)
port map (flash_oe_b, memo.oen);
--pragma translate_off
rwen_pad : outpad generic map (tech => padtech)
port map (sram_bw, memo.wrn(3));
sim_d_pads : iopadvv generic map (tech => padtech, width => 16)
port map (sim_d, memo.data(15 downto 0),
memo.vbdrive(15 downto 0), memi.data(15 downto 0));
iosn_pad : outpad generic map (tech => padtech)
port map (iosn, memo.iosn);
--pragma translate_on
flash_we_b_pad : outpad generic map (tech => padtech)
port map (flash_we_b, memo.writen);
flash_d_pads : iopadvv generic map (tech => padtech, width => 16)
port map (flash_d, memo.data(31 downto 16),
memo.vbdrive(31 downto 16), memi.data(31 downto 16));
dbg_led0_pad : outpad generic map (tech => padtech, level => cmos, voltage => x33v)
port map (dbg_led(3), phy_init_done);
clkm <= clkma; clkma <= clkmb; clkmb <= clkmc;
ddrsp0 : if (CFG_DDR2SP /= 0) generate
phy_init_done <= '1';
-- DDR clock selection
-- If the synchronization registers are removed in the DDR controller, we
-- assume that the user wants to run at 2x the system clock. Otherwise the
-- DDR clock is generated from the 200 MHz clock.
ddrclkselarb: if CFG_DDR2SP_NOSYNC = 0 generate
BUFGDDR : BUFG port map (I => clk_200, O => clkddr);
end generate;
ddrclksel2x: if CFG_DDR2SP_NOSYNC /= 0 generate
clkddr <= clkm2x;
end generate;
dimm0_ddr2_reset_n_pad : outpad generic map (tech => padtech, level => cmos, voltage => x33v)
port map (dimm0_ddr2_reset_n, rst);
-- Slot 0
ddrc0 : ddr2spa generic map ( fabtech => fabtech, memtech => memtech,
hindex => 0, haddr => 16#400#, hmask => 16#e00#, ioaddr => 1,
pwron => CFG_DDR2SP_INIT, MHz => DDR_FREQ/1000, TRFC => CFG_DDR2SP_TRFC,
clkmul => CFG_DDR2SP_FREQ/10 - (CFG_DDR2SP_FREQ/10-1)*CFG_DDR2SP_NOSYNC,
clkdiv => 20 - (19)*CFG_DDR2SP_NOSYNC, ahbfreq => CPU_FREQ/1000,
col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE, ddrbits => CFG_DDR2SP_DATAWIDTH,
ddelayb0 => CFG_DDR2SP_DELAY0, ddelayb1 => CFG_DDR2SP_DELAY1,
ddelayb2 => CFG_DDR2SP_DELAY2, ddelayb3 => CFG_DDR2SP_DELAY3,
ddelayb4 => CFG_DDR2SP_DELAY4, ddelayb5 => CFG_DDR2SP_DELAY5,
ddelayb6 => CFG_DDR2SP_DELAY6, ddelayb7 => CFG_DDR2SP_DELAY7,
readdly => 1, rskew => 0, oepol => 0,
dqsgating => 0, rstdel => 200, eightbanks => 1,
numidelctrl => 2 + CFG_DDR2SP_DATAWIDTH/64, norefclk => 0, odten => 3,
nosync => CFG_DDR2SP_NOSYNC)
port map (rst, rstn, clkddr, clkm, clk_200, lock0, clkml0, clkml0, ahbsi, ahbso(0),
ddr0_clkv, ddr0_clkbv, ddr0_clk_fb, ddr0_clk_fb,
dimm0_ddr2_cke, dimm0_ddr2_s_b, dimm0_ddr2_we_b, dimm0_ddr2_ras_b,
dimm0_ddr2_cas_b, dimm0_ddr2_dqm(7 downto 4*(32/CFG_DDR2SP_DATAWIDTH)),
dimm0_ddr2_dqs_p(7 downto 4*(32/CFG_DDR2SP_DATAWIDTH)),
dimm0_ddr2_dqs_n(7 downto 4*(32/CFG_DDR2SP_DATAWIDTH)), dimm0_ddr2_a,
dimm0_ddr2_ba(2 downto 0), dimm0_ddr2_dq(63 downto 32*(32/CFG_DDR2SP_DATAWIDTH)),
dimm0_ddr2_odt);
dimm0_ddr2_pll_clkin_p <= ddr0_clkv(0);
dimm0_ddr2_pll_clkin_n <= ddr0_clkbv(0);
-- Ground unused bank address and memory mask
-- dimm0_ddr2_ba_notused_pad : outpad generic map (tech => padtech, level => SSTL18_I)
-- port map (dimm0_ddr2_ba(2), gnd(0));
dimm0_ddr2_dqm_notused8_pad : outpad generic map (tech => padtech, level => SSTL18_I)
port map (dimm0_ddr2_dqm(8), gnd(0));
-- Tri-state unused data strobe
dimm0_dqsp_notused8_pad : iopad generic map (tech => padtech, level => SSTL18_II)
port map (dimm0_ddr2_dqs_p(8), gnd(0), vcc(0), open);
dimm0_dqsn_notused8_pad : iopad generic map (tech => padtech, level => SSTL18_II)
port map (dimm0_ddr2_dqs_n(8), gnd(0), vcc(0), open);
-- Tristate unused check bits
dimm0_cb_notused_pad : iopadv generic map (tech => padtech, width => 8, level => SSTL18_II)
port map (dimm0_ddr2_dq(71 downto 64), gnd(7 downto 0), vcc(0), open);
-- Handle signals not used with 32-bit interface
ddr032bit: if CFG_DDR2SP_DATAWIDTH /= 64 generate
dimm0_ddr2_dqm_notused30_pads : outpadv generic map (tech => padtech, width => 4, level => SSTL18_I)
port map (dimm0_ddr2_dqm(3 downto 0), gnd(3 downto 0));
dimm0_dqsp_notused30_pads : iopadv generic map (tech => padtech, width => 4, level => SSTL18_II)
port map (dimm0_ddr2_dqs_p(3 downto 0), gnd(3 downto 0), vcc(0), open);
dimm0_dqsn_notused30_pads : iopadv generic map (tech => padtech, width => 4, level => SSTL18_II)
port map (dimm0_ddr2_dqs_n(3 downto 0), gnd(3 downto 0), vcc(0), open);
dimm0_dq_notused_pads : iopadv generic map (tech => padtech, width => 32, level => SSTL18_II)
port map (dimm0_ddr2_dq(31 downto 0), gnd, vcc(0), open);
end generate;
-- Slot 1
ddrc1 : ddr2spa generic map ( fabtech => fabtech, memtech => memtech,
hindex => 1, haddr => 16#600#, hmask => 16#E00#, ioaddr => 2,
pwron => CFG_DDR2SP_INIT, MHz => DDR_FREQ/1000, TRFC => CFG_DDR2SP_TRFC,
clkmul => CFG_DDR2SP_FREQ/10 - (CFG_DDR2SP_FREQ/10-1)*CFG_DDR2SP_NOSYNC,
clkdiv => 20 - (19)*CFG_DDR2SP_NOSYNC, ahbfreq => CPU_FREQ/1000,
col => CFG_DDR2SP_COL, Mbyte => CFG_DDR2SP_SIZE, ddrbits => CFG_DDR2SP_DATAWIDTH,
ddelayb0 => CFG_DDR2SP_DELAY0, ddelayb1 => CFG_DDR2SP_DELAY1,
ddelayb2 => CFG_DDR2SP_DELAY2, ddelayb3 => CFG_DDR2SP_DELAY3,
ddelayb4 => CFG_DDR2SP_DELAY4, ddelayb5 => CFG_DDR2SP_DELAY5,
ddelayb6 => CFG_DDR2SP_DELAY6, ddelayb7 => CFG_DDR2SP_DELAY7,
readdly => 1, rskew => 0, oepol => 0,
dqsgating => 0, rstdel => 200, eightbanks => 1,
numidelctrl => 2 + CFG_DDR2SP_DATAWIDTH/64, norefclk => 0, odten => 3,
nosync => CFG_DDR2SP_NOSYNC)
port map (rst, rstn, clkddr, clkm, clk_200, lock1, clkml1, clkml1, ahbsi, ahbso(1),
ddr1_clkv, ddr1_clkbv, ddr1_clk_fb, ddr1_clk_fb,
dimm1_ddr2_cke, dimm1_ddr2_s_b, dimm1_ddr2_we_b, dimm1_ddr2_ras_b,
dimm1_ddr2_cas_b, dimm1_ddr2_dqm(7 downto 4*(32/CFG_DDR2SP_DATAWIDTH)),
dimm1_ddr2_dqs_p(7 downto 4*(32/CFG_DDR2SP_DATAWIDTH)),
dimm1_ddr2_dqs_n(7 downto 4*(32/CFG_DDR2SP_DATAWIDTH)), dimm1_ddr2_a,
dimm1_ddr2_ba(2 downto 0), dimm1_ddr2_dq(63 downto 32*(32/ CFG_DDR2SP_DATAWIDTH)),
dimm1_ddr2_odt);
dimm1_ddr2_pll_clkin_p <= ddr1_clkv(0);
dimm1_ddr2_pll_clkin_n <= ddr1_clkbv(0);
-- Ground unused bank address and memory mask
-- dimm1_ddr2_ba_notused_pad : outpad generic map (tech => padtech, level => SSTL18_I)
-- port map (dimm1_ddr2_ba(2), gnd(0));
dimm1_ddr2_dqm_notused8_pad : outpad generic map (tech => padtech, level => SSTL18_I)
port map (dimm1_ddr2_dqm(8), gnd(0));
-- Tri-state unused data strobe
dimm1_dqsp_notused8_pad : iopad generic map (tech => padtech, level => SSTL18_II)
port map (dimm1_ddr2_dqs_p(8), gnd(0), vcc(0), open);
dimm1_dqsn_notused8_pad : iopad generic map (tech => padtech, level => SSTL18_II)
port map (dimm1_ddr2_dqs_n(8), gnd(0), vcc(0), open);
-- Tristate unused check bits
dimm1_cb_notused_pad : iopadv generic map (tech => padtech, width => 8, level => SSTL18_II)
port map (dimm1_ddr2_dq(71 downto 64), gnd(7 downto 0), vcc(0), open);
-- Handle signals not used with 32-bit interface
ddr132bit: if CFG_DDR2SP_DATAWIDTH /= 64 generate
dimm1_ddr2_dqm_notused30_pads : outpadv generic map (tech => padtech, width => 4, level => SSTL18_I)
port map (dimm1_ddr2_dqm(3 downto 0), gnd(3 downto 0));
dimm1_dqsp_notused30_pads : iopadv generic map (tech => padtech, width => 4, level => SSTL18_II)
port map (dimm1_ddr2_dqs_p(3 downto 0), gnd(3 downto 0), vcc(0), open);
dimm1_dqsn_notused30_pads : iopadv generic map (tech => padtech, width => 4, level => SSTL18_II)
port map (dimm1_ddr2_dqs_n(3 downto 0), gnd(3 downto 0), vcc(0), open);
dimm1_dq_notused_pads : iopadv generic map (tech => padtech, width => 32, level => SSTL18_II)
port map (dimm1_ddr2_dq(31 downto 0), gnd, vcc(0), open);
end generate;
end generate;
-- noddr : if (CFG_DDR2SP = 0) generate lock0 <= '1'; lock1 <= '1'; end generate;
----------------------------------------------------------------------
--- System ACE I/F Controller ---------------------------------------
----------------------------------------------------------------------
grace: if CFG_GRACECTRL = 1 generate
grace0 : gracectrl generic map (hindex => 5, hirq => 5,
haddr => 16#000#, hmask => 16#fff#, split => CFG_SPLIT)
port map (rstn, clkm, clkace, ahbsi, ahbso(5), acei, aceo);
end generate;
nograce: if CFG_GRACECTRL = 0 generate
aceo <= gracectrl_none;
end generate nograce;
sysace_mpa_pads : outpadv generic map (width => 7, tech => padtech)
port map (sysace_mpa, aceo.addr);
sysace_mpce_pad : outpad generic map (tech => padtech)
port map (sysace_mpce, aceo.cen);
sysace_mpd_pads : iopadv generic map (tech => padtech, width => 16)
port map (sysace_mpd, aceo.do, aceo.doen, acei.di);
sysace_mpoe_pad : outpad generic map (tech => padtech)
port map (sysace_mpoe, aceo.oen);
sysace_mpwe_pad : outpad generic map (tech => padtech)
port map (sysace_mpwe, aceo.wen);
sysace_mpirq_pad : inpad generic map (tech => padtech)
port map (sysace_mpirq, acei.irq);
----------------------------------------------------------------------
--- AHB ROM ---------------------------------------------------------
----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 10, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map (rstn, clkm, ahbsi, ahbso(10));
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 4, haddr => CFG_APBADDR, nslaves => 16)
port map (rstn, clkm, ahbsi, ahbso(4), apbi, apbo);
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.extclk <= '0';
end generate;
noua1: if CFG_UART1_ENABLE = 0 generate u1o.txd <= '0'; u1o.rtsn <= '1'; end generate;
ua1rx_pad : inpad generic map (tech => padtech) port map (uart1_rxd, u1i.rxd);
ua1tx_pad : outpad generic map (tech => padtech) port map (uart1_txd, u1o.txd);
ua1cts_pad : inpad generic map (tech => padtech) port map (uart1_cts_b, u1i.ctsn);
ua1rts_pad : outpad generic map (tech => padtech) port map (uart1_rts_b, u1o.rtsn);
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
svga : if CFG_SVGA_ENABLE /= 0 generate
svga0 : svgactrl generic map(memtech => memtech, pindex => 14, paddr => 14,
hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
clk0 => 40000, clk1 => 40000, clk2 => 25000, clk3 => 15385, burstlen => 6)
port map(rstn, clkm, clkvga, apbi, apbo(14), vgao, ahbmi,
ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), clk_sel);
dvi0 : svga2ch7301c generic map (tech => fabtech, idf => 2)
port map (lclk, rstraw, clk_sel, vgao, clkvga, clk25, clk40, clk65,
clkvga, clk25, clk40, clk65, clkvga_p, clkvga_n,
vgalock, lcd_datal, lcd_hsyncl, lcd_vsyncl, lcd_del);
i2cdvi : i2cmst
generic map (pindex => 6, paddr => 6, pmask => 16#FFF#,
pirq => 6, filter => I2C_FILTER)
port map (rstn, clkm, apbi, apbo(6), dvi_i2ci, dvi_i2co);
end generate;
novga : if CFG_SVGA_ENABLE = 0 generate
apbo(14) <= apb_none; apbo(6) <= apb_none;
lcd_datal <= (others => '0'); clkvga_p <= '0'; clkvga_n <= '0';
lcd_hsyncl <= '0'; lcd_vsyncl <= '0'; lcd_del <= '0';
dvi_i2co.scloen <= '1'; dvi_i2co.sdaoen <= '1';
end generate;
dvi_d_pad : outpadv generic map (width => 12, tech => padtech)
port map (dvi_d, lcd_datal);
dvi_xclk_p_pad : outpad generic map (tech => padtech)
port map (dvi_xclk_p, clkvga_p);
dvi_xclk_n_pad : outpad generic map (tech => padtech)
port map (dvi_xclk_n, clkvga_n);
dvi_h_pad : outpad generic map (tech => padtech)
port map (dvi_h, lcd_hsyncl);
dvi_v_pad : outpad generic map (tech => padtech)
port map (dvi_v, lcd_vsyncl);
dvi_de_pad : outpad generic map (tech => padtech)
port map (dvi_de, lcd_del);
dvi_reset_b_pad : outpad generic map (tech => padtech)
port map (dvi_reset_b, rstn);
iic_scl_dvi_pad : iopad generic map (tech => padtech)
port map (iic_scl_dvi, dvi_i2co.scl, dvi_i2co.scloen, dvi_i2ci.scl);
iic_sda_dvi_pad : iopad generic map (tech => padtech)
port map (iic_sda_dvi, dvi_i2co.sda, dvi_i2co.sdaoen, dvi_i2ci.sda);
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 8, paddr => 8, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(8),
gpioi => gpioi, gpioo => gpioo);
end generate;
nogpio0: if CFG_GRGPIO_ENABLE = 0 generate
gpioo.oen <= (others => '1'); gpioo.val <= (others => '0');
gpioo.dout <= (others => '1');
end generate;
dbg_led_pads : iopadvv generic map (tech => padtech, width => 3, level => cmos, voltage => x33v)
port map (dbg_led(2 downto 0), gpioo.dout(2 downto 0), gpioo.oen(2 downto 0),
gpioi.din(2 downto 0));
dvi_gpio_pad : iopad generic map (tech => padtech)
port map (dvi_gpio1, gpioo.dout(4), gpioo.oen(4), gpioi.din(4));
iic_therm_b_pad : inpad generic map (tech => padtech)
port map (iic_therm_b, gpioi.din(9));
iic_irq_b_pad : inpad generic map (tech => padtech)
port map (iic_irq_b, gpioi.din(10));
iic_alert_b_pad : inpad generic map (tech => padtech)
port map (iic_alert_b, gpioi.din(11));
sbr_pwg_rsm_rstj_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (sbr_pwg_rsm_rstj, gpioo.dout(7), gpioo.oen(7), gpioi.din(7));
sbr_nmi_r_pad : inpad generic map (tech => padtech)
port map (sbr_nmi_r, gpioi.din(6));
sbr_intr_r_pad : inpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (sbr_intr_r, gpioi.din(5));
sbr_ide_rst_b_pad : iopad generic map (tech => padtech)
port map (sbr_ide_rst_b, gpioo.dout(8), gpioo.oen(8), gpioi.din(8));
i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master
i2c0 : i2cmst
generic map (pindex => 9, paddr => 9, pmask => 16#FFF#,
pirq => 3, filter => I2C_FILTER)
port map (rstn, clkm, apbi, apbo(9), i2ci, i2co);
end generate;
noi2cm: if CFG_I2C_ENABLE = 0 generate
i2co.scloen <= '1'; i2co.sdaoen <= '1';
i2co.scl <= '0'; i2co.sda <= '0';
end generate;
i2c_scl_pad : iopad generic map (tech => padtech)
port map (fpga_scl, i2co.scl, i2co.scloen, i2ci.scl);
i2c_sda_pad : iopad generic map (tech => padtech)
port map (fpga_sda, i2co.sda, i2co.sdaoen, i2ci.sda);
spic: if CFG_SPICTRL_ENABLE = 1 generate -- SPI controller
spi1 : spictrl
generic map (pindex => 10, paddr => 10, pmask => 16#fff#, pirq => 12,
fdepth => CFG_SPICTRL_FIFO, slvselen => CFG_SPICTRL_SLVREG,
slvselsz => CFG_SPICTRL_SLVS, odmode => 0, netlist => 0,
syncram => CFG_SPICTRL_SYNCRAM, ft => CFG_SPICTRL_FT)
port map (rstn, clkm, apbi, apbo(10), spii, spio, slvsel);
spii.spisel <= '1'; -- Master only
miso_pad : inpad generic map (tech => padtech)
port map (spi_data_out, spii.miso);
mosi_pad : outpad generic map (tech => padtech)
port map (spi_data_in, spio.mosi);
sck_pad : outpad generic map (tech => padtech)
port map (spi_clk, spio.sck);
slvsel_pad : outpad generic map (tech => padtech)
port map (spi_data_cs_b, slvsel(0));
end generate spic;
nospi: if CFG_SPICTRL_ENABLE = 0 generate
miso_pad : inpad generic map (tech => padtech)
port map (spi_data_out, spii.miso);
mosi_pad : outpad generic map (tech => padtech)
port map (spi_data_in, vcc(0));
sck_pad : outpad generic map (tech => padtech)
port map (spi_clk, gnd(0));
slvsel_pad : outpad generic map (tech => padtech)
port map (spi_data_cs_b, vcc(0));
end generate;
ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register
ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7,
nftslv => CFG_AHBSTATN)
port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth1 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm generic map(hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE,
pindex => 11, paddr => 11, pirq => 4, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 7,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE),
apbi => apbi, apbo => apbo(11), ethi => ethi, etho => etho);
emdio_pad : iopad generic map (tech => padtech)
port map (phy0_mdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
etxc_pad : clkpad generic map (tech => padtech, arch => 2, level => cmos, voltage => x25v)
port map (phy0_txclk, ethi.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, arch => 2, level => cmos, voltage => x25v)
port map (phy0_rxclk, ethi.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 4)
port map (phy0_rxd, ethi.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (phy0_rxctl_rxdv, ethi.rx_dv);
erxer_pad : inpad generic map (tech => padtech)
port map (phy0_rxer, ethi.rx_er);
-- Collision detect and carrier sense are not connected on the
-- board.
ethi.rx_col <= '0';
ethi.rx_crs <= ethi.rx_dv;
etxd_pad : outpadv generic map (tech => padtech, width => 4)
port map (phy0_txd, etho.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map (phy0_txctl_txen, etho.tx_en);
etxer_pad : outpad generic map (tech => padtech)
port map (phy0_txer, etho.tx_er);
emdc_pad : outpad generic map (tech => padtech)
port map (phy0_mdc, etho.mdc);
erst_pad : outpad generic map (tech => padtech)
port map (phy0_reset, rstn);
-- ethi.gtx_clk <= egtx_clk;
end generate;
-----------------------------------------------------------------------
--- PCI ------------------------------------------------------------
----------------------------------------------------------------------
pp : if CFG_PCI /= 0 generate
pci_mtf0 : if CFG_PCI = 2 generate -- master/target with fifo
pci0 : pci_mtf generic map (memtech => memtech,
hmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH,
fifodepth => log2(CFG_PCIDEPTH), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
hslvndx => 7, pindex => 4, paddr => 4, haddr => 16#800#, hmask => 16#c00#,
ioaddr => 16#400#, irq => 5, irqmask => 16#F#, nsync => 2, hostrst => 1)
port map (rstn, clkm, pciclk, pcii, pcio, apbi, apbo(4),
ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH), ahbsi, ahbso(7));
end generate;
pci_mtf1 : if CFG_PCI = 3 generate -- master/target with fifo and DMA
dma : pcidma generic map (memtech => memtech,
dmstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH+1,
dapbndx => 5, dapbaddr => 5, blength => blength,
mstndx => NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH,
fifodepth => log2(fifodepth), device_id => CFG_PCIDID, vendor_id => CFG_PCIVID,
slvndx => 7, apbndx => 4, apbaddr => 4, haddr => 16#800#, hmask => 16#c00#,
ioaddr => 16#400#, irq => 5, irqmask => 16#F#, nsync => 2, hostrst => 1)
port map (rstn, clkm, pciclk, pcii, pcio, apbo(5),
ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH+1),
apbi, apbo(4), ahbmi, ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_SVGA_ENABLE+CFG_GRETH),
ahbsi, ahbso(7));
end generate;
pci_trc0 : if CFG_PCITBUFEN /= 0 generate -- PCI trace buffer
pt0 : pcitrace generic map (depth => (6 + log2(CFG_PCITBUF/256)),
memtech => memtech, pindex => 12, paddr => 16#100#, pmask => 16#f00#)
port map (rstn, clkm, pciclk, pcii, apbi, apbo(12));
end generate;
pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter
pciarb0 : pciarb generic map (pindex => 13, paddr => 13, nb_agents => CFG_PCI_ARB_NGNT,
apb_en => CFG_PCI_ARBAPB)
port map (clk => pciclk, rst_n => pcii.rst, req_n => pci_arb_req, frame_n => pcii.frame,
gnt_n => pci_arb_gnt, pclk => clkm, prst_n => rstn, apbi => apbi, apbo => apbo(13));
-- Internal connection of req(2)
pci_arb_req(0 to 4) <= pci_arb_reql(0 to 1) & pci_reql & pci_arb_reql(3 to 4);
pci_arb_req(5 to 7) <= (others => '1');
end generate;
end generate;
nopcia0: if CFG_PCI = 0 or CFG_PCI_ARB = 0 generate
pci_arb_gnt <= (others => '1');
end generate;
nopci_mtf: if CFG_PCI /= 2 and CFG_PCI /= 3 generate
pcio <= pci_out_none;
end generate;
pgnt_pad : outpadv generic map (tech => padtech, width => 5, level => pci33)
port map (pci_p_gnt_b, pci_arb_gnt(0 to 4));
preq_pad : inpadv generic map (tech => padtech, width => 5, level => pci33)
port map (pci_p_req_b, pci_arb_reql);
pcipads0 : pcipads -- PCI pads
generic map (padtech => padtech, host => 2, int => 14, no66 => 1, onchipreqgnt => 1,
drivereset => 1, constidsel => 1)
port map (pci_rst => pci_p_rst_b, pci_gnt => pci_arb_gnt(2), pci_idsel => '0', --pci_fpga_idsel,
pci_lock => pci_p_lock_b, pci_ad => pci_p_ad, pci_cbe => pci_p_cbe_b,
pci_frame => pci_p_frame_b, pci_irdy => pci_p_irdy_b, pci_trdy => pci_p_trdy_b,
pci_devsel => pci_p_devsel_b, pci_stop => pci_p_stop_b, pci_perr => pci_p_perr_b,
pci_par => pci_p_par, pci_req => pci_reql, pci_serr => pci_p_serr_b,
pci_host => pci_host, pci_66 => pci_66, pcii => pcii, pcio => pcio, pci_int => pci_intv);
pci_intv <= pci_p_intd_b & pci_p_intc_b & pci_p_intb_b & pci_p_inta_b;
pci_host <= '0'; -- Always host
pci_66 <= '0';
-----------------------------------------------------------------------
--- SYSTEM MONITOR ---------------------------------------------------
-----------------------------------------------------------------------
grsmon: if CFG_GRSYSMON = 1 generate
sysm0 : grsysmon generic map (tech => fabtech, hindex => 8,
hirq => 1, caddr => 16#003#, cmask => 16#fff#,
saddr => 16#004#, smask => 16#ffe#, split => CFG_SPLIT,
extconvst => 0, wrdalign => 1, INIT_40 => X"0000",
INIT_41 => X"0000", INIT_42 => X"0800", INIT_43 => X"0000",
INIT_44 => X"0000", INIT_45 => X"0000", INIT_46 => X"0000",
INIT_47 => X"0000", INIT_48 => X"0000", INIT_49 => X"0000",
INIT_4A => X"0000", INIT_4B => X"0000", INIT_4C => X"0000",
INIT_4D => X"0000", INIT_4E => X"0000", INIT_4F => X"0000",
INIT_50 => X"0000", INIT_51 => X"0000", INIT_52 => X"0000",
INIT_53 => X"0000", INIT_54 => X"0000", INIT_55 => X"0000",
INIT_56 => X"0000", INIT_57 => X"0000",
SIM_MONITOR_FILE => "sysmon.txt")
port map (rstn, clkm, ahbsi, ahbso(8), sysmoni, sysmono);
sysmoni.convst <= '0';
sysmoni.convstclk <= '0';
sysmoni.vauxn <= (others => '0');
sysmoni.vauxp <= (others => '0');
-- sysmoni.vn <= test_mon_vn0_n;
-- sysmoni.vp <= test_mon_vp0_p;
end generate grsmon;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 9, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map ( rstn, clkm, ahbsi, ahbso(9));
end generate;
-----------------------------------------------------------------------
--- APB bridge with LOGAN --------------------------------------------
-----------------------------------------------------------------------
-- log: if CFG_LOGAN = 1 generate -- Logan is enabled by constant
-- -- declared above
-- apb0 : apbctrl -- AHB/APB bridge
-- generic map (hindex => 11, haddr => 16#F00#, nslaves => 1)
-- port map (rstn, clkm, ahbsi, ahbso(11), apbi1, apbo1);
-- logan0 : logan -- Logic analyzer
-- generic map (dbits => 64, depth => 4096, trigl => 2, usereg => 1,
-- usequal => 0, pindex => 0, paddr => 0, pmask => 16#F00#,
-- memtech => memtech)
-- port map (rstn, clkm, pciclk, apbi1, apbo1(0), signals);
-- signals(0) <= pcii.rst;
-- signals(1) <= pcii.gnt;
-- signals(2) <= pcii.idsel;
-- signals(34 downto 3) <= pcii.ad;
-- signals(38 downto 35) <= pcii.cbe;
-- signals(39) <= pcii.frame;
-- signals(40) <= pcii.irdy;
-- signals(41) <= pcii.trdy;
-- signals(42) <= pcii.devsel;
-- signals(43) <= pcii.stop;
-- signals(44) <= pcii.lock;
-- signals(45) <= pcii.perr;
-- signals(46) <= pcii.serr;
-- signals(47) <= pcii.par;
-- signals(48) <= pcii.host;
-- signals(49) <= pcii.pci66;
-- signals(53 downto 50) <= pcii.int;
-- signals(58 downto 54) <= pci_arb_gnt(0 to 4);
-- signals(63 downto 59) <= pci_arb_req(0 to 4);
-- end generate log;
nolog: if CFG_LOGAN /= 1 generate
signals <= (others => '0');
end generate nolog;
-----------------------------------------------------------------------
--- AHB DEBUG --------------------------------------------------------
-----------------------------------------------------------------------
-- dma0 : ahbdma
-- generic map (hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG,
-- pindex => 13, paddr => 13, dbuf => 6)
-- port map (rstn, clkm, apbi, apbo(13), ahbmi,
-- ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG));
-- at0 : ahbtrace
-- generic map ( hindex => 7, ioaddr => 16#200#, iomask => 16#E00#,
-- tech => memtech, irq => 0, kbytes => 8)
-- port map ( rstn, clkm, ahbmi, ahbsi, ahbso(7));
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
-- nam1 : for i in (NCPU+CFG_AHB_UART+CFG_ETH+CFG_AHB_ETH+CFG_AHB_JTAG) to NAHBMST-1 generate
-- ahbmo(i) <= ahbm_none;
-- end generate;
-- nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
-- nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => system_table(XILINX_ML510),
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-avnet-eval-xc4vlx60/testbench.vhd | 1 | 9282 | -----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
use work.config.all; -- configuration
use work.debug.all;
use std.textio.all;
library grlib;
use grlib.stdlib.all;
use grlib.stdio.all;
use grlib.devices.all;
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 10; -- system clock period
romwidth : integer := 16; -- rom data width (8/32)
romdepth : integer := 16 -- rom address depth
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal clk : std_logic := '0';
signal rst : std_logic := '1'; -- Reset
signal rstn: std_logic := '0'; -- Reset
constant ct : integer := clkperiod/2;
signal address : std_logic_vector(22 downto 0);
signal data : std_logic_vector(31 downto 0);
signal romsn : std_logic_vector(1 downto 0);
signal oen : std_ulogic;
signal writen : std_ulogic;
signal iosn : std_ulogic;
-- ddr memory
signal ddr_clk : std_logic;
signal ddr_clkb : std_logic;
signal ddr_clk_fb : std_logic;
signal ddr_cke : std_logic;
signal ddr_csb : std_logic;
signal ddr_web : std_ulogic; -- ddr write enable
signal ddr_rasb : std_ulogic; -- ddr ras
signal ddr_casb : std_ulogic; -- ddr cas
signal ddr_dm : std_logic_vector (1 downto 0); -- ddr dm
signal ddr_dqs : std_logic_vector (1 downto 0); -- ddr dqs
signal ddr_ad : std_logic_vector (12 downto 0); -- ddr address
signal ddr_ba : std_logic_vector (1 downto 0); -- ddr bank address
signal ddr_dq : std_logic_vector (15 downto 0); -- ddr data
signal brdyn : std_ulogic;
signal bexcn : std_ulogic;
signal wdog : std_ulogic;
signal dsuen, dsutx, dsurx, dsubre, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal test : std_ulogic;
signal rtsn, ctsn : std_ulogic;
signal error : std_logic;
signal pio : std_logic_vector(15 downto 0);
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk2 : std_ulogic := '1';
signal clk50 : std_ulogic := '1';
signal clk_200p : std_ulogic := '0';
signal clk_200n : std_ulogic := '1';
signal plllock : std_ulogic;
-- pulled up high, therefore std_logic
signal txd1, rxd1 : std_logic;
signal eth_macclk, etx_clk, erx_clk, erx_dv, erx_er, erx_col, erx_crs, etx_en, etx_er : std_logic := '0';
signal erxd, etxd : std_logic_vector(3 downto 0) := (others => '0');
signal erxdt, etxdt : std_logic_vector(7 downto 0) := (others => '0');
signal emdc, emdio : std_logic; --dummy signal for the mdc,mdio in the phy which is not used
constant lresp : boolean := false;
signal resoutn : std_logic;
signal dsubren : std_ulogic;
signal dsuactn : std_ulogic;
begin
dsubren <= not dsubre;
-- clock and reset
clk <= not clk after ct * 1 ns;
clk50 <= not clk50 after 10 ns;
clk_200p <= not clk_200p after 2.5 ns;
clk_200n <= not clk_200n after 2.5 ns;
rst <= '1', '0' after 1000 ns;
rstn <= not rst;
dsuen <= '0'; dsubre <= '0'; rxd1 <= 'H';
address(0) <= '0';
ddr_dqs <= (others => 'L');
d3 : entity work.leon3mp
port map (
resetn => rst,
resoutn => resoutn,
clk_100mhz => clk,
clk_50mhz => clk50,
clk_200p => clk_200p,
clk_200n => clk_200n,
errorn => error,
address => address(22 downto 1),
data => data(31 downto 16),
testdata => data(15 downto 0),
ddr_clk0 => ddr_clk,
ddr_clk0b => ddr_clkb,
ddr_clk_fb => ddr_clk_fb,
ddr_cke0 => ddr_cke,
ddr_cs0b => ddr_csb,
ddr_web => ddr_web,
ddr_rasb => ddr_rasb,
ddr_casb => ddr_casb,
ddr_dm => ddr_dm,
ddr_dqs => ddr_dqs,
ddr_ad => ddr_ad,
ddr_ba => ddr_ba,
ddr_dq => ddr_dq,
sertx => dsutx,
serrx => dsurx,
rtsn => rtsn,
ctsn => ctsn,
dsuen => dsuen,
dsubre => dsubre,
dsuact => dsuactn,
oen => oen,
writen => writen,
iosn => iosn,
romsn => romsn(0),
emdio => emdio,
etx_clk => etx_clk,
erx_clk => erx_clk,
erxd => erxd,
erx_dv => erx_dv,
erx_er => erx_er,
erx_col => erx_col,
erx_crs => erx_crs,
etxd => etxd,
etx_en => etx_en,
etx_er => etx_er,
emdc => emdc
);
ddr_clk_fb <= ddr_clk;
u1 : mt46v16m16
generic map (index => -1, fname => sdramfile, fdelay => 300*CFG_MIG_DDR2)
port map(
Dq => ddr_dq(15 downto 0), Dqs => ddr_dqs(1 downto 0), Addr => ddr_ad,
Ba => ddr_ba, Clk => ddr_clk, Clk_n => ddr_clkb, Cke => ddr_cke,
Cs_n => ddr_csb, Ras_n => ddr_rasb, Cas_n => ddr_casb, We_n => ddr_web,
Dm => ddr_dm(1 downto 0));
prom0 : for i in 0 to (romwidth/8)-1 generate
sr0 : sram generic map (index => i+4, abits => romdepth, fname => promfile)
port map (address(romdepth downto 1), data(31-i*8 downto 24-i*8), romsn(0),
writen, oen);
end generate;
phy0 : if (CFG_GRETH = 1) generate
emdio <= 'H';
erxd <= erxdt(3 downto 0);
etxdt <= "0000" & etxd;
p0: phy
generic map(base1000_t_fd => 0, base1000_t_hd => 0, address => 3)
port map(resoutn, emdio, etx_clk, erx_clk, erxdt, erx_dv,
erx_er, erx_col, erx_crs, etxdt, etx_en, etx_er, emdc, eth_macclk);
end generate;
error <= 'H'; -- ERROR pull-up
iuerr : process
begin
wait for 5 us;
assert (to_X01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure;
end process;
test0 : grtestmod
port map ( rstn, clk, error, address(21 downto 2), data,
iosn, oen, writen, brdyn);
data <= buskeep(data) after 5 ns;
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 160 * 1 ns;
begin
dsutx <= '1';
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#ef#, txp);
--
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
--
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
--
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
--
-- txc(dsutx, 16#80#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/ec/ddr_ec.vhd | 1 | 2040 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ddr_ec
-- File: ddr_ec.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Lattice DDR regs
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library ec;
use ec.ODDRXB;
--pragma translate_on
entity ec_oddr_reg is
port
( Q : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end;
architecture rtl of ec_oddr_reg is
component ODDRXB
port(
DA : in STD_LOGIC;
DB : in STD_LOGIC;
CLK : in STD_LOGIC;
LSR : in STD_LOGIC;
Q : out STD_LOGIC
);
end component;
begin
U0 : ODDRXB port map( DA => D1, DB => D2, CLK => C1, LSR => R, Q => Q);
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/opencores/i2c/i2c_master_byte_ctrl.vhd | 4 | 13633 | ---------------------------------------------------------------------
---- ----
---- WISHBONE revB2 compl. I2C Master Core; byte-controller ----
---- ----
---- ----
---- Author: Richard Herveille ----
---- [email protected] ----
---- www.asics.ws ----
---- ----
---- Downloaded from: http://www.opencores.org/projects/i2c/ ----
---- ----
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2000 Richard Herveille ----
---- [email protected] ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
-- CVS Log
--
-- $Id: i2c_master_byte_ctrl.vhd,v 1.5 2004/02/18 11:41:48 rherveille Exp $
--
-- $Date: 2004/02/18 11:41:48 $
-- $Revision: 1.5 $
-- $Author: rherveille $
-- $Locker: $
-- $State: Exp $
--
-- Change History:
-- $Log: i2c_master_byte_ctrl.vhd,v $
-- Revision 1.5 2004/02/18 11:41:48 rherveille
-- Fixed a potential bug in the statemachine. During a 'stop' 2 cmd_ack signals were generated. Possibly canceling a new start command.
--
-- Revision 1.4 2003/08/09 07:01:13 rherveille
-- Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line.
-- Fixed a potential bug in the byte controller's host-acknowledge generation.
--
-- Revision 1.3 2002/12/26 16:05:47 rherveille
-- Core is now a Multimaster I2C controller.
--
-- Revision 1.2 2002/11/30 22:24:37 rherveille
-- Cleaned up code
--
-- Revision 1.1 2001/11/05 12:02:33 rherveille
-- Split i2c_master_core.vhd into separate files for each entity; same layout as verilog version.
-- Code updated, is now up-to-date to doc. rev.0.4.
-- Added headers.
--
-- Modified by Jan Andersson ([email protected]:.
-- Changed std_logic_arith to numeric_std.
-- Propagate filter generic
--
------------------------------------------
-- Byte controller section
------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
entity i2c_master_byte_ctrl is
generic (filter : integer; dynfilt : integer);
port (
clk : in std_logic;
rst : in std_logic; -- synchronous active high reset (WISHBONE compatible)
nReset : in std_logic; -- asynchornous active low reset (FPGA compatible)
ena : in std_logic; -- core enable signal
clk_cnt : in std_logic_vector(15 downto 0); -- 4x SCL
-- input signals
start,
stop,
read,
write,
ack_in : std_logic;
din : in std_logic_vector(7 downto 0);
filt : in std_logic_vector((filter-1)*dynfilt downto 0);
-- output signals
cmd_ack : out std_logic; -- command done
ack_out : out std_logic;
i2c_busy : out std_logic; -- arbitration lost
i2c_al : out std_logic; -- i2c bus busy
dout : out std_logic_vector(7 downto 0);
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end entity i2c_master_byte_ctrl;
architecture structural of i2c_master_byte_ctrl is
component i2c_master_bit_ctrl is
generic (filter : integer; dynfilt : integer);
port (
clk : in std_logic;
rst : in std_logic;
nReset : in std_logic;
ena : in std_logic; -- core enable signal
clk_cnt : in std_logic_vector(15 downto 0); -- clock prescale value
cmd : in std_logic_vector(3 downto 0);
cmd_ack : out std_logic; -- command done
busy : out std_logic; -- i2c bus busy
al : out std_logic; -- arbitration lost
din : in std_logic;
dout : out std_logic;
filt : in std_logic_vector((filter-1)*dynfilt downto 0);
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end component i2c_master_bit_ctrl;
-- commands for bit_controller block
constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000";
constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001";
constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010";
constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "0100";
constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "1000";
-- signals for bit_controller
signal core_cmd : std_logic_vector(3 downto 0);
signal core_ack, core_txd, core_rxd : std_logic;
signal al : std_logic;
-- signals for shift register
signal sr : std_logic_vector(7 downto 0); -- 8bit shift register
signal shift, ld : std_logic;
-- signals for state machine
signal go, host_ack : std_logic;
-- Added init value to dcnt to prevent simulation meta-value
-- - [email protected]
-- removed init value as it is not compatible with Formality
-- - [email protected]
signal dcnt : std_logic_vector(2 downto 0)
-- pragma translate_off
:= (others => '0')
-- pragma translate_on
; -- data counter
signal cnt_done : std_logic;
begin
-- hookup bit_controller
bit_ctrl: i2c_master_bit_ctrl
generic map (filter, dynfilt)
port map(
clk => clk,
rst => rst,
nReset => nReset,
ena => ena,
clk_cnt => clk_cnt,
cmd => core_cmd,
cmd_ack => core_ack,
busy => i2c_busy,
al => al,
din => core_txd,
dout => core_rxd,
filt => filt,
scl_i => scl_i,
scl_o => scl_o,
scl_oen => scl_oen,
sda_i => sda_i,
sda_o => sda_o,
sda_oen => sda_oen
);
i2c_al <= al;
-- generate host-command-acknowledge
cmd_ack <= host_ack;
-- generate go-signal
go <= (read or write or stop) and not host_ack;
-- assign Dout output to shift-register
dout <= sr;
-- generate shift register
shift_register: process(clk, nReset)
begin
if (nReset = '0') then
sr <= (others => '0');
elsif (clk'event and clk = '1') then
if (rst = '1') then
sr <= (others => '0');
elsif (ld = '1') then
sr <= din;
elsif (shift = '1') then
sr <= (sr(6 downto 0) & core_rxd);
end if;
end if;
end process shift_register;
-- generate data-counter
data_cnt: process(clk, nReset)
begin
if (nReset = '0') then
dcnt <= (others => '0');
elsif (clk'event and clk = '1') then
if (rst = '1') then
dcnt <= (others => '0');
elsif (ld = '1') then
dcnt <= (others => '1'); -- load counter with 7
elsif (shift = '1') then
dcnt <= dcnt -1;
end if;
end if;
end process data_cnt;
cnt_done <= '1' when (dcnt = "000") else '0';
--
-- state machine
--
statemachine : block
type states is (st_idle, st_start, st_read, st_write, st_ack, st_stop);
signal c_state : states;
begin
--
-- command interpreter, translate complex commands into simpler I2C commands
--
nxt_state_decoder: process(clk, nReset)
begin
if (nReset = '0') then
core_cmd <= I2C_CMD_NOP;
core_txd <= '0';
shift <= '0';
ld <= '0';
host_ack <= '0';
c_state <= st_idle;
ack_out <= '0';
elsif (clk'event and clk = '1') then
if (rst = '1' or al = '1') then
core_cmd <= I2C_CMD_NOP;
core_txd <= '0';
shift <= '0';
ld <= '0';
host_ack <= '0';
c_state <= st_idle;
ack_out <= '0';
else
-- initialy reset all signal
core_txd <= sr(7);
shift <= '0';
ld <= '0';
host_ack <= '0';
case c_state is
when st_idle =>
if (go = '1') then
if (start = '1') then
c_state <= st_start;
core_cmd <= I2C_CMD_START;
elsif (read = '1') then
c_state <= st_read;
core_cmd <= I2C_CMD_READ;
elsif (write = '1') then
c_state <= st_write;
core_cmd <= I2C_CMD_WRITE;
else -- stop
c_state <= st_stop;
core_cmd <= I2C_CMD_STOP;
end if;
ld <= '1';
end if;
when st_start =>
if (core_ack = '1') then
if (read = '1') then
c_state <= st_read;
core_cmd <= I2C_CMD_READ;
else
c_state <= st_write;
core_cmd <= I2C_CMD_WRITE;
end if;
ld <= '1';
end if;
when st_write =>
if (core_ack = '1') then
if (cnt_done = '1') then
c_state <= st_ack;
core_cmd <= I2C_CMD_READ;
else
c_state <= st_write; -- stay in same state
core_cmd <= I2C_CMD_WRITE; -- write next bit
shift <= '1';
end if;
end if;
when st_read =>
if (core_ack = '1') then
if (cnt_done = '1') then
c_state <= st_ack;
core_cmd <= I2C_CMD_WRITE;
else
c_state <= st_read; -- stay in same state
core_cmd <= I2C_CMD_READ; -- read next bit
end if;
shift <= '1';
core_txd <= ack_in;
end if;
when st_ack =>
if (core_ack = '1') then
-- check for stop; Should a STOP command be generated ?
if (stop = '1') then
c_state <= st_stop;
core_cmd <= I2C_CMD_STOP;
else
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
-- generate command acknowledge signal
host_ack <= '1';
end if;
-- assign ack_out output to core_rxd (contains last received bit)
ack_out <= core_rxd;
core_txd <= '1';
else
core_txd <= ack_in;
end if;
when st_stop =>
if (core_ack = '1') then
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
-- generate command acknowledge signal
host_ack <= '1';
end if;
when others => -- illegal states
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
report ("Byte controller entered illegal state.");
end case;
end if;
end if;
end process nxt_state_decoder;
end block statemachine;
end architecture structural;
| gpl-2.0 |
borti4938/sd2snes | verilog/sd2snes_sdd1/Test_Top_SDD1.vhd | 2 | 50000 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18.03.2018 22:42:12
-- Design Name:
-- Module Name: Test_FIFO_Input - Behavioral
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.math_real.all;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity Test_Top_SDD1 is
-- Port ( );
end Test_Top_SDD1;
architecture Behavioral of Test_Top_SDD1 is
constant SD2SNES_PERIOD : time := 10.416 ns;
constant CLK_PERIOD : time := 46.56 ns;
constant PHI2_PERIOD : time := 6*CLK_PERIOD;
constant tBAS : time := 33 ns;
constant tADS : time := 30 ns;
constant tMDS : time := 30 ns;
constant tLATCH : time := 25 ns;
constant tDECODER : time := 25 ns;
constant ROM_tACCESS : time := 70 ns;
COMPONENT SDD1
Port( MCLK : in STD_LOGIC;
SNES_CPU_CLK : in STD_LOGIC;
SNES_REFRESH : in STD_LOGIC;
RESET : in STD_LOGIC;
SRAM_CS : out STD_LOGIC;
ROM_OE : out STD_LOGIC;
ROM_CS : out STD_LOGIC;
ROM_ADDR : out STD_LOGIC_VECTOR (21 downto 0);
ROM_DATA : in STD_LOGIC_VECTOR (15 downto 0);
SNES_ADDR : in STD_LOGIC_VECTOR (23 downto 0);
SNES_DATA_IN : in STD_LOGIC_VECTOR (7 downto 0);
SNES_DATA_OUT : out STD_LOGIC_VECTOR (7 downto 0);
SNES_RD : in STD_LOGIC;
SNES_WR : in STD_LOGIC;
SNES_WR_End : in STD_LOGIC );
END COMPONENT;
type bit_vector_file is file of bit_vector;
type bytes_file is file of integer;
file comp_data : bit_vector_file;
file descomp_data : bytes_file;
shared variable Size : integer := 0;
type ROM_Array_t is array(65535 downto 0) of STD_LOGIC_VECTOR(15 downto 0);
signal MaskROM_0 : ROM_Array_t := (others => (others => '0'));
signal MaskROM_1 : ROM_Array_t := (others => (others => '0'));
signal SD2SNES_CLK : STD_LOGIC := '0';
signal MCLK : STD_LOGIC := '0';
signal CPU_CLK : STD_LOGIC := '0';
signal RESET : STD_LOGIC := '0';
signal SRAM_CS : STD_LOGIC := '1';
signal ROM_OE : STD_LOGIC := '1';
signal ROM_CS : STD_LOGIC := '1';
signal ROM_ADDR : STD_LOGIC_VECTOR(21 downto 0) := (others => '0');
signal ROM_DATA : STD_LOGIC_VECTOR(15 downto 0) := (others => '0');
signal SNES_RD : STD_LOGIC := '1';
signal SNES_WR : STD_LOGIC := '1';
signal SNES_WR_Strobe : STD_LOGIC := '0';
signal SNES_ADDR : STD_LOGIC_VECTOR(23 downto 0) := (others => '0');
signal SNES_DATA_IN : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal SNES_DATA_OUT : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal CPU_RD_CYCLE : STD_LOGIC := '0';
signal CPU_WR_CYCLE : STD_LOGIC := '0';
signal CPU_ADDR : STD_LOGIC_VECTOR(15 downto 0) := (others => '0');
signal CPU_BANK : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal CPU_DATA : STD_LOGIC_VECTOR(7 downto 0) := (others => 'Z');
signal CPU_VDA : STD_LOGIC := '0';
signal CPU_VPA : STD_LOGIC := '0';
signal ROM_Data_tready : STD_LOGIC := '0';
signal ROM_Data_tvalid : STD_LOGIC := '0';
signal ROM_Data_tdata : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
signal DMA_Data_tvalid_Pipe : STD_LOGIC_VECTOR(1 downto 0) := "00";
signal DMA_Data_tvalid : STD_LOGIC := '0';
signal DMA_Data_dword : STD_LOGIC_VECTOR(31 downto 0) := (others => '0');
signal Instruction_Addr : STD_LOGIC_VECTOR(23 downto 0) := (others => '0');
signal Compressed_Addr : STD_LOGIC_VECTOR(23 downto 0) := (others => '0');
signal Compressed_Size : STD_LOGIC_VECTOR(15 downto 0) := (others => '0');
signal Start_Decompression : STD_LOGIC := '0';
signal End_Decompression : STD_LOGIC := '0';
begin
uut : SDD1
Port map(MCLK => SD2SNES_CLK,
RESET => RESET,
SNES_CPU_CLK => CPU_CLK,
SNES_REFRESH => '0',
SRAM_CS => SRAM_CS,
ROM_OE => ROM_OE,
ROM_CS => ROM_CS,
ROM_ADDR => ROM_ADDR,
ROM_DATA => ROM_DATA,
SNES_ADDR => SNES_ADDR,
SNES_DATA_IN => SNES_DATA_IN,
SNES_DATA_OUT => SNES_DATA_OUT,
SNES_RD => SNES_RD,
SNES_WR => SNES_WR,
SNES_WR_End => SNES_WR_Strobe );
Process
variable next_vector : bit_vector (0 downto 0);
variable actual_len : natural;
Begin
--Size := 4194304;
--file_open(comp_data, "StarOcean.smc", READ_MODE);
--Size := 3072;
--file_open(comp_data, "sdd1_chunk_0000.bin", READ_MODE);
--Size := 4034;
--file_open(comp_data, "sdd1_chunk_1100.bin", READ_MODE);
Size := 6144;
file_open(comp_data, "sdd1_chunk_0xFED27F.bin", READ_MODE);
--Size := 2084;
--file_open(comp_data, "sdd1_chunk_0xFFD0AB.bin", READ_MODE);
--Size := 768;
--file_open(comp_data, "sdd1_chunk_0xDE84AC.bin", READ_MODE);
--Size := 896;
--file_open(comp_data, "sdd1_chunk_0xDE9AF5.bin", READ_MODE);
file_open(descomp_data, "StarOcean_core.smc", WRITE_MODE);
-- read full ROM from file to memory
for i in 0 to (Size/2)-1 loop
-- read word from file
if not endfile(comp_data) then
read(comp_data, next_vector, actual_len);
if actual_len > next_vector'length then
report "vector too long";
else
MaskROM_0(i)(7 downto 0) <= conv_std_logic_vector(bit'pos(next_vector(0)),8);
end if;
read(comp_data, next_vector, actual_len);
if actual_len > next_vector'length then
report "vector too long";
else
MaskROM_0(i)(15 downto 8) <= conv_std_logic_vector(bit'pos(next_vector(0)),8);
end if;
end if;
wait for 1ps;
end loop;
-- for i in 0 to 1048575 loop
-- -- read word from file
-- if not endfile(comp_data) then
-- read(comp_data, next_vector, actual_len);
-- if actual_len > next_vector'length then
-- report "vector too long";
-- else
-- MaskROM_1(i)(7 downto 0) <= conv_std_logic_vector(bit'pos(next_vector(0)),8);
-- end if;
-- read(comp_data, next_vector, actual_len);
-- if actual_len > next_vector'length then
-- report "vector too long";
-- else
-- MaskROM_1(i)(15 downto 8) <= conv_std_logic_vector(bit'pos(next_vector(0)),8);
-- end if;
-- end if;
-- wait for 1 ps;
-- end loop;
-- begin reset
RESET <= '0';
wait for 1 us;
RESET <= '1';
wait until falling_edge(MCLK);
wait for 100 ns;
wait until falling_edge(CPU_CLK);
wait for (PHI2_PERIOD-CLK_PERIOD/2);
-- decompress from $DBA078, size $0C00, code $C0238E
Instruction_Addr <= X"C0238E";
--Compressed_Addr <= X"DBA078";
Compressed_Addr <= X"C00000";
Compressed_Size <= conv_std_logic_Vector(Size, 16);
Start_Decompression <= '1';
wait until (End_Decompression = '1');
Start_Decompression <= '0';
--assert false report "NONE. End of simulation." severity failure;
wait;
End Process;
-- process to generate instructions to SDD1 core from real ROM
Process
variable Instruction_Addr_i : STD_LOGIC_VECTOR(23 downto 0);
Begin
wait until (Start_Decompression = '1');
SNES_WR_Strobe <= '0';
End_Decompression <= '0';
Instruction_Addr_i := Instruction_Addr;
-- STA $4800 = $01
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 3
SNES_ADDR <= X"004800";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004800";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004800";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004800";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004800";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004800";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- STX $4302 = $A078
Instruction_Addr_i := Instruction_Addr_i+3;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 3
SNES_ADDR <= X"004302";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004302";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004302";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004302";
SNES_DATA_IN <= Compressed_Addr(7 downto 0);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004302";
SNES_DATA_IN <= Compressed_Addr(7 downto 0);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004302";
SNES_DATA_IN <= Compressed_Addr(7 downto 0);
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 4
SNES_ADDR <= X"004303";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004303";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004303";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004303";
SNES_DATA_IN <= Compressed_Addr(15 downto 8);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004303";
SNES_DATA_IN <= Compressed_Addr(15 downto 8);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004303";
SNES_DATA_IN <= Compressed_Addr(15 downto 8);
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- STA $4304 = $DB
Instruction_Addr_i := Instruction_Addr_i+3;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 3
SNES_ADDR <= X"004304";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004304";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004304";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004304";
SNES_DATA_IN <= Compressed_Addr(23 downto 16);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004304";
SNES_DATA_IN <= Compressed_Addr(23 downto 16);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004304";
SNES_DATA_IN <= Compressed_Addr(23 downto 16);
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- STX $4305 = $0C00
Instruction_Addr_i := Instruction_Addr_i+3;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 3
SNES_ADDR <= X"004305";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004305";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004305";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004305";
SNES_DATA_IN <= Compressed_Size(7 downto 0);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004305";
SNES_DATA_IN <= Compressed_Size(7 downto 0);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004305";
SNES_DATA_IN <= Compressed_Size(7 downto 0);
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 4
SNES_ADDR <= X"004306";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004306";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004306";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004306";
SNES_DATA_IN <= Compressed_Size(15 downto 8);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004306";
SNES_DATA_IN <= Compressed_Size(15 downto 8);
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004306";
SNES_DATA_IN <= Compressed_Size(15 downto 8);
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- STA $4801 = $01
Instruction_Addr_i := Instruction_Addr_i+3;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 3
SNES_ADDR <= X"004801";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004801";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004801";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004801";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004801";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"004801";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- PHA
Instruction_Addr_i := Instruction_Addr_i+3;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1 (IO)
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2 (SLOW)
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- PLA
Instruction_Addr_i := Instruction_Addr_i+1;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1 (IO)
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2 (IO)
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 3 (SLOW)
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"0001F0";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- STA $420B = $01
Instruction_Addr_i := Instruction_Addr_i+1;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 1
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 2
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i+2;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- PHI2 CYCLE 3
SNES_ADDR <= X"00420B";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00420B";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00420B";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00420B";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00420B";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00420B";
SNES_DATA_IN <= X"01";
SNES_RD <= '1';
SNES_WR <= '0';
SNES_WR_Strobe <= '1';
wait until falling_edge(MCLK);
-- STZ $4800 = $00
Instruction_Addr_i := Instruction_Addr_i+3;
-- PHI2 CYCLE 0
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
SNES_WR_Strobe <= '0';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Instruction_Addr_i;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- DMA pre-sync (0 to 7 cycles)
SNES_ADDR <= Instruction_Addr_i+1;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- DMA setup (8 cycles)
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= X"00FFFF";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
-- DMA transfer
for i in 1 to conv_integer(Compressed_Size) loop
DMA_Data_tvalid <= '0';
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
wait until falling_edge(MCLK);
SNES_ADDR <= Compressed_Addr;
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '0';
SNES_WR <= '1';
DMA_Data_tvalid <= '1';
wait until falling_edge(MCLK);
end loop;
SNES_ADDR <= X"C00000";
SNES_DATA_IN <= (others => 'Z');
SNES_RD <= '1';
SNES_WR <= '1';
DMA_Data_tvalid <= '0';
End_Decompression <= '1';
wait until (Start_Decompression = '0');
End Process;
-- read from MaskROM
process( ROM_OE, ROM_CS, ROM_ADDR )
Begin
if( ROM_CS = '0' AND ROM_OE = '0' ) then
ROM_DATA <= MaskROM_0(conv_integer(ROM_ADDR)) after ROM_tACCESS;
else
ROM_DATA <= (others => 'Z') after 15 ns;
end if;
End Process;
-- output data file
process( SD2SNES_CLK )
variable valor : integer;
variable DMA_Data_Idx : integer := 0;
begin
if rising_edge( SD2SNES_CLK ) then
DMA_Data_tvalid_Pipe <= DMA_Data_tvalid_Pipe(0) & DMA_Data_tvalid;
if( DMA_Data_tvalid_Pipe = "01" ) then
if( DMA_Data_Idx = 3 ) then
-- write word to disk
valor := conv_integer(SNES_DATA_OUT & DMA_Data_dword(31 downto 8));
write(descomp_data, valor);
DMA_Data_Idx := 0;
else
DMA_Data_dword <= SNES_DATA_OUT & DMA_Data_dword(31 downto 8);
DMA_Data_Idx := DMA_Data_Idx + 1;
end if;
end if;
end if;
end process;
-- clock generator
Process
Begin
MCLK <= '0';
wait for CLK_PERIOD/2;
MCLK <= '1';
wait for CLK_PERIOD/2;
End Process;
Process
Begin
CPU_CLK <= '1';
wait for PHI2_PERIOD/2;
CPU_CLK <= '0';
wait for PHI2_PERIOD/2;
End Process;
Process
Begin
wait for 3ns;
loop
SD2SNES_CLK <= '1';
wait for SD2SNES_PERIOD/2;
SD2SNES_CLK <= '0';
wait for SD2SNES_PERIOD/2;
end loop;
End Process;
end Behavioral;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/unisim/memory_unisim.vhd | 1 | 26997 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: mem_xilinx_gen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Memory generators for Xilinx rams
------------------------------------------------------------------------------
-- parametrisable sync ram generator using UNISIM RAMB16 block rams
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
--pragma translate_off
library unisim;
use unisim.RAMB16_S36_S36;
use unisim.RAMB16_S36;
use unisim.RAMB16_S18;
use unisim.RAMB16_S9;
use unisim.RAMB16_S4;
use unisim.RAMB16_S2;
use unisim.RAMB16_S1;
--pragma translate_on
entity unisim_syncram is
generic ( abits : integer := 9; dbits : integer := 32);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (dbits -1 downto 0);
dataout : out std_logic_vector (dbits -1 downto 0);
enable : in std_ulogic;
write : in std_ulogic
);
end;
architecture behav of unisim_syncram is
component RAMB16_S36_S36
port (
DOA : out std_logic_vector (31 downto 0);
DOB : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOPB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (31 downto 0);
DIB : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIPB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
component RAMB16_S1
port (
DO : out std_logic_vector (0 downto 0);
ADDR : in std_logic_vector (13 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (0 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S2
port (
DO : out std_logic_vector (1 downto 0);
ADDR : in std_logic_vector (12 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (1 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S4
port (
DO : out std_logic_vector (3 downto 0);
ADDR : in std_logic_vector (11 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (3 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S9
port (
DO : out std_logic_vector (7 downto 0);
DOP : out std_logic_vector (0 downto 0);
ADDR : in std_logic_vector (10 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (7 downto 0);
DIP : in std_logic_vector (0 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S18
port (
DO : out std_logic_vector (15 downto 0);
DOP : out std_logic_vector (1 downto 0);
ADDR : in std_logic_vector (9 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (15 downto 0);
DIP : in std_logic_vector (1 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component RAMB16_S36
port (
DO : out std_logic_vector (31 downto 0);
DOP : out std_logic_vector (3 downto 0);
ADDR : in std_logic_vector (8 downto 0);
CLK : in std_ulogic;
DI : in std_logic_vector (31 downto 0);
DIP : in std_logic_vector (3 downto 0);
EN : in std_ulogic;
SSR : in std_ulogic;
WE : in std_ulogic
);
end component;
component generic_syncram
generic ( abits : integer := 10; dbits : integer := 8 );
port (
clk : in std_ulogic;
address : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
write : in std_ulogic);
end component;
signal gnd : std_ulogic;
signal do, di : std_logic_vector(dbits+72 downto 0);
signal xa, ya : std_logic_vector(19 downto 0);
begin
gnd <= '0'; dataout <= do(dbits-1 downto 0); di(dbits-1 downto 0) <= datain;
di(dbits+72 downto dbits) <= (others => '0'); xa(abits-1 downto 0) <= address;
xa(19 downto abits) <= (others => '0'); ya(abits-1 downto 0) <= address;
ya(19 downto abits) <= (others => '1');
a0 : if (abits <= 5) and (GRLIB_CONFIG_ARRAY(grlib_techmap_strict_ram) = 0) generate
r0 : generic_syncram generic map (abits, dbits)
port map (clk, address, datain, do(dbits-1 downto 0), write);
do(dbits+72 downto dbits) <= (others => '0');
end generate;
a8 : if ((abits > 5 or GRLIB_CONFIG_ARRAY(grlib_techmap_strict_ram) /= 0) and
(abits <= 8)) generate
x : for i in 0 to ((dbits-1)/72) generate
r0 : RAMB16_S36_S36 port map (
do(i*72+36+31 downto i*72+36), do(i*72+31 downto i*72),
do(i*72+36+32+3 downto i*72+36+32), do(i*72+32+3 downto i*72+32),
xa(8 downto 0), ya(8 downto 0), clk, clk,
di(i*72+36+31 downto i*72+36), di(i*72+31 downto i*72),
di(i*72+36+32+3 downto i*72+36+32), di(i*72+32+3 downto i*72+32),
enable, enable, gnd, gnd, write, write);
end generate;
do(dbits+72 downto 72*(((dbits-1)/72)+1)) <= (others => '0');
end generate;
a9 : if (abits = 9) generate
x : for i in 0 to ((dbits-1)/36) generate
r : RAMB16_S36 port map ( do(((i+1)*36)-5 downto i*36),
do(((i+1)*36)-1 downto i*36+32), xa(8 downto 0), clk,
di(((i+1)*36)-5 downto i*36), di(((i+1)*36)-1 downto i*36+32),
enable, gnd, write);
end generate;
do(dbits+72 downto 36*(((dbits-1)/36)+1)) <= (others => '0');
end generate;
a10 : if (abits = 10) generate
x : for i in 0 to ((dbits-1)/18) generate
r : RAMB16_S18 port map ( do(((i+1)*18)-3 downto i*18),
do(((i+1)*18)-1 downto i*18+16), xa(9 downto 0), clk,
di(((i+1)*18)-3 downto i*18), di(((i+1)*18)-1 downto i*18+16),
enable, gnd, write);
end generate;
do(dbits+72 downto 18*(((dbits-1)/18)+1)) <= (others => '0');
end generate;
a11 : if abits = 11 generate
x : for i in 0 to ((dbits-1)/9) generate
r : RAMB16_S9 port map ( do(((i+1)*9)-2 downto i*9),
do(((i+1)*9)-1 downto i*9+8), xa(10 downto 0), clk,
di(((i+1)*9)-2 downto i*9), di(((i+1)*9)-1 downto i*9+8),
enable, gnd, write);
end generate;
do(dbits+72 downto 9*(((dbits-1)/9)+1)) <= (others => '0');
end generate;
a12 : if abits = 12 generate
x : for i in 0 to ((dbits-1)/4) generate
r : RAMB16_S4 port map ( do(((i+1)*4)-1 downto i*4), xa(11 downto 0),
clk, di(((i+1)*4)-1 downto i*4), enable, gnd, write);
end generate;
do(dbits+72 downto 4*(((dbits-1)/4)+1)) <= (others => '0');
end generate;
a13 : if abits = 13 generate
x : for i in 0 to ((dbits-1)/2) generate
r : RAMB16_S2 port map ( do(((i+1)*2)-1 downto i*2), xa(12 downto 0),
clk, di(((i+1)*2)-1 downto i*2), enable, gnd, write);
end generate;
do(dbits+72 downto 2*(((dbits-1)/2)+1)) <= (others => '0');
end generate;
a14 : if abits = 14 generate
x : for i in 0 to (dbits-1) generate
r : RAMB16_S1 port map ( do((i+1)-1 downto i), xa(13 downto 0),
clk, di((i+1)-1 downto i), enable, gnd, write);
end generate;
do(dbits+72 downto dbits) <= (others => '0');
end generate;
a15 : if abits > 14 generate
x: generic_syncram generic map (abits, dbits)
port map (clk, address, datain, do(dbits-1 downto 0), write);
do(dbits+72 downto dbits) <= (others => '0');
end generate;
-- pragma translate_off
-- a_to_high : if abits > 14 generate
-- x : process
-- begin
-- assert false
-- report "Address depth larger than 14 not supported for unisim_syncram"
-- severity failure;
-- wait;
-- end process;
-- end generate;
-- pragma translate_on
end;
LIBRARY ieee;
use ieee.std_logic_1164.all;
--pragma translate_off
library unisim;
use unisim.RAMB16_S36_S36;
use unisim.RAMB16_S18_S18;
use unisim.RAMB16_S9_S9;
use unisim.RAMB16_S4_S4;
use unisim.RAMB16_S2_S2;
use unisim.RAMB16_S1_S1;
--pragma translate_on
entity unisim_syncram_dp is
generic (
abits : integer := 4; dbits : integer := 32
);
port (
clk1 : in std_ulogic;
address1 : in std_logic_vector((abits -1) downto 0);
datain1 : in std_logic_vector((dbits -1) downto 0);
dataout1 : out std_logic_vector((dbits -1) downto 0);
enable1 : in std_ulogic;
write1 : in std_ulogic;
clk2 : in std_ulogic;
address2 : in std_logic_vector((abits -1) downto 0);
datain2 : in std_logic_vector((dbits -1) downto 0);
dataout2 : out std_logic_vector((dbits -1) downto 0);
enable2 : in std_ulogic;
write2 : in std_ulogic);
end;
architecture behav of unisim_syncram_dp is
component RAMB16_S4_S4
port (
DOA : out std_logic_vector (3 downto 0);
DOB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (11 downto 0);
ADDRB : in std_logic_vector (11 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (3 downto 0);
DIB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S1_S1
port (
DOA : out std_logic_vector (0 downto 0);
DOB : out std_logic_vector (0 downto 0);
ADDRA : in std_logic_vector (13 downto 0);
ADDRB : in std_logic_vector (13 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (0 downto 0);
DIB : in std_logic_vector (0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S2_S2
port (
DOA : out std_logic_vector (1 downto 0);
DOB : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector (12 downto 0);
ADDRB : in std_logic_vector (12 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (1 downto 0);
DIB : in std_logic_vector (1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S9_S9
port (
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0);
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
component RAMB16_S18_S18
port (
DOA : out std_logic_vector (15 downto 0);
DOB : out std_logic_vector (15 downto 0);
DOPA : out std_logic_vector (1 downto 0);
DOPB : out std_logic_vector (1 downto 0);
ADDRA : in std_logic_vector (9 downto 0);
ADDRB : in std_logic_vector (9 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (15 downto 0);
DIB : in std_logic_vector (15 downto 0);
DIPA : in std_logic_vector (1 downto 0);
DIPB : in std_logic_vector (1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
component RAMB16_S36_S36
port (
DOA : out std_logic_vector (31 downto 0);
DOB : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOPB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (31 downto 0);
DIB : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIPB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
signal gnd, vcc : std_ulogic;
signal do1, do2, di1, di2 : std_logic_vector(dbits+36 downto 0);
signal addr1, addr2 : std_logic_vector(19 downto 0);
begin
gnd <= '0'; vcc <= '1';
dataout1 <= do1(dbits-1 downto 0); dataout2 <= do2(dbits-1 downto 0);
di1(dbits-1 downto 0) <= datain1; di1(dbits+36 downto dbits) <= (others => '0');
di2(dbits-1 downto 0) <= datain2; di2(dbits+36 downto dbits) <= (others => '0');
addr1(abits-1 downto 0) <= address1; addr1(19 downto abits) <= (others => '0');
addr2(abits-1 downto 0) <= address2; addr2(19 downto abits) <= (others => '0');
a9 : if abits <= 9 generate
x : for i in 0 to ((dbits-1)/36) generate
r0 : RAMB16_S36_S36 port map (
do1(((i+1)*36)-5 downto i*36), do2(((i+1)*36)-5 downto i*36),
do1(((i+1)*36)-1 downto i*36+32), do2(((i+1)*36)-1 downto i*36+32),
addr1(8 downto 0), addr2(8 downto 0), clk1, clk2,
di1(((i+1)*36)-5 downto i*36), di2(((i+1)*36)-5 downto i*36),
di1(((i+1)*36)-1 downto i*36+32), di2(((i+1)*36)-1 downto i*36+32),
enable1, enable2, gnd, gnd, write1, write2);
-- vcc, vcc, gnd, gnd, write1, write2);
end generate;
do1(dbits+36 downto 36*(((dbits-1)/36)+1)) <= (others => '0');
do2(dbits+36 downto 36*(((dbits-1)/36)+1)) <= (others => '0');
end generate;
a10 : if abits = 10 generate
x : for i in 0 to ((dbits-1)/18) generate
r0 : RAMB16_S18_S18 port map (
do1(((i+1)*18)-3 downto i*18), do2(((i+1)*18)-3 downto i*18),
do1(((i+1)*18)-1 downto i*18+16), do2(((i+1)*18)-1 downto i*18+16),
addr1(9 downto 0), addr2(9 downto 0), clk1, clk2,
di1(((i+1)*18)-3 downto i*18), di2(((i+1)*18)-3 downto i*18),
di1(((i+1)*18)-1 downto i*18+16), di2(((i+1)*18)-1 downto i*18+16),
-- vcc, vcc, gnd, gnd, write1, write2);
enable1, enable2, gnd, gnd, write1, write2);
end generate;
do1(dbits+36 downto 18*(((dbits-1)/18)+1)) <= (others => '0');
do2(dbits+36 downto 18*(((dbits-1)/18)+1)) <= (others => '0');
end generate;
a11 : if abits = 11 generate
x : for i in 0 to ((dbits-1)/9) generate
r0 : RAMB16_S9_S9 port map (
do1(((i+1)*9)-2 downto i*9), do2(((i+1)*9)-2 downto i*9),
do1(((i+1)*9)-1 downto i*9+8), do2(((i+1)*9)-1 downto i*9+8),
addr1(10 downto 0), addr2(10 downto 0), clk1, clk2,
di1(((i+1)*9)-2 downto i*9), di2(((i+1)*9)-2 downto i*9),
di1(((i+1)*9)-1 downto i*9+8), di2(((i+1)*9)-1 downto i*9+8),
-- vcc, vcc, gnd, gnd, write1, write2);
enable1, enable2, gnd, gnd, write1, write2);
end generate;
do1(dbits+36 downto 9*(((dbits-1)/9)+1)) <= (others => '0');
do2(dbits+36 downto 9*(((dbits-1)/9)+1)) <= (others => '0');
end generate;
a12 : if abits = 12 generate
x : for i in 0 to ((dbits-1)/4) generate
r0 : RAMB16_S4_S4 port map (
do1(((i+1)*4)-1 downto i*4), do2(((i+1)*4)-1 downto i*4),
addr1(11 downto 0), addr2(11 downto 0), clk1, clk2,
di1(((i+1)*4)-1 downto i*4), di2(((i+1)*4)-1 downto i*4),
-- vcc, vcc, gnd, gnd, write1, write2);
enable1, enable2, gnd, gnd, write1, write2);
end generate;
do1(dbits+36 downto 4*(((dbits-1)/4)+1)) <= (others => '0');
do2(dbits+36 downto 4*(((dbits-1)/4)+1)) <= (others => '0');
end generate;
a13 : if abits = 13 generate
x : for i in 0 to ((dbits-1)/2) generate
r0 : RAMB16_S2_S2 port map (
do1(((i+1)*2)-1 downto i*2), do2(((i+1)*2)-1 downto i*2),
addr1(12 downto 0), addr2(12 downto 0), clk1, clk2,
di1(((i+1)*2)-1 downto i*2), di2(((i+1)*2)-1 downto i*2),
-- vcc, vcc, gnd, gnd, write1, write2);
enable1, enable2, gnd, gnd, write1, write2);
end generate;
do1(dbits+36 downto 2*(((dbits-1)/2)+1)) <= (others => '0');
do2(dbits+36 downto 2*(((dbits-1)/2)+1)) <= (others => '0');
end generate;
a14 : if abits = 14 generate
x : for i in 0 to ((dbits-1)/1) generate
r0 : RAMB16_S1_S1 port map (
do1(((i+1)*1)-1 downto i*1), do2(((i+1)*1)-1 downto i*1),
addr1(13 downto 0), addr2(13 downto 0), clk1, clk2,
di1(((i+1)*1)-1 downto i*1), di2(((i+1)*1)-1 downto i*1),
-- vcc, vcc, gnd, gnd, write1, write2);
enable1, enable2, gnd, gnd, write1, write2);
end generate;
do1(dbits+36 downto dbits) <= (others => '0');
do2(dbits+36 downto dbits) <= (others => '0');
end generate;
-- pragma translate_off
a_to_high : if abits > 14 generate
x : process
begin
assert false
report "Address depth larger than 14 not supported for unisim_syncram_dp"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
entity unisim_syncram_2p is
generic (abits : integer := 6; dbits : integer := 8; sepclk : integer := 0;
wrfst : integer := 0);
port (
rclk : in std_ulogic;
renable : in std_ulogic;
raddress : in std_logic_vector((abits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
wclk : in std_ulogic;
write : in std_ulogic;
waddress : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0));
end;
architecture behav of unisim_syncram_2p is
component unisim_syncram_dp
generic ( abits : integer := 10; dbits : integer := 8 );
port (
clk1 : in std_ulogic;
address1 : in std_logic_vector((abits -1) downto 0);
datain1 : in std_logic_vector((dbits -1) downto 0);
dataout1 : out std_logic_vector((dbits -1) downto 0);
enable1 : in std_ulogic;
write1 : in std_ulogic;
clk2 : in std_ulogic;
address2 : in std_logic_vector((abits -1) downto 0);
datain2 : in std_logic_vector((dbits -1) downto 0);
dataout2 : out std_logic_vector((dbits -1) downto 0);
enable2 : in std_ulogic;
write2 : in std_ulogic
);
end component;
component generic_syncram_2p
generic (abits : integer := 8; dbits : integer := 32; sepclk : integer := 0);
port (
rclk : in std_ulogic;
wclk : in std_ulogic;
rdaddress: in std_logic_vector (abits -1 downto 0);
wraddress: in std_logic_vector (abits -1 downto 0);
data: in std_logic_vector (dbits -1 downto 0);
wren : in std_ulogic;
q: out std_logic_vector (dbits -1 downto 0)
);
end component;
signal write2, renable2 : std_ulogic;
signal datain2 : std_logic_vector((dbits-1) downto 0);
begin
-- nowf: if wrfst = 0 generate
write2 <= '0'; renable2 <= renable; datain2 <= (others => '0');
-- end generate;
-- wf : if wrfst = 1 generate
-- write2 <= '0' when (waddress /= raddress) else write;
-- renable2 <= renable or write2; datain2 <= datain;
-- end generate;
a0 : if abits <= 5 and GRLIB_CONFIG_ARRAY(grlib_techmap_strict_ram) = 0 generate
x0 : generic_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, wclk, raddress, waddress, datain, write, dataout);
end generate;
a6 : if abits > 5 or GRLIB_CONFIG_ARRAY(grlib_techmap_strict_ram) /= 0 generate
x0 : unisim_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, datain2, dataout, renable2, write2);
end generate;
end;
-- parametrisable sync ram generator using unisim block rams
library ieee;
use ieee.std_logic_1164.all;
--pragma translate_off
library unisim;
use unisim.RAMB16_S36_S36;
--pragma translate_on
entity unisim_syncram64 is
generic ( abits : integer := 9);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (63 downto 0);
dataout : out std_logic_vector (63 downto 0);
enable : in std_logic_vector (1 downto 0);
write : in std_logic_vector (1 downto 0)
);
end;
architecture behav of unisim_syncram64 is
component unisim_syncram
generic ( abits : integer := 9; dbits : integer := 32);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (dbits -1 downto 0);
dataout : out std_logic_vector (dbits -1 downto 0);
enable : in std_ulogic;
write : in std_ulogic
);
end component;
component RAMB16_S36_S36
port (
DOA : out std_logic_vector (31 downto 0);
DOB : out std_logic_vector (31 downto 0);
DOPA : out std_logic_vector (3 downto 0);
DOPB : out std_logic_vector (3 downto 0);
ADDRA : in std_logic_vector (8 downto 0);
ADDRB : in std_logic_vector (8 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (31 downto 0);
DIB : in std_logic_vector (31 downto 0);
DIPA : in std_logic_vector (3 downto 0);
DIPB : in std_logic_vector (3 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic);
end component;
signal gnd : std_logic_vector(3 downto 0);
signal xa, ya : std_logic_vector(19 downto 0);
begin
gnd <= "0000";
xa(abits-1 downto 0) <= address; xa(19 downto abits) <= (others => '0');
ya(abits-1 downto 0) <= address; ya(19 downto abits) <= (others => '1');
a8 : if abits <= 8 generate
r0 : RAMB16_S36_S36 port map (
dataout(63 downto 32), dataout(31 downto 0), open, open,
xa(8 downto 0), ya(8 downto 0), clk, clk,
datain(63 downto 32), datain(31 downto 0), gnd, gnd,
enable(1), enable(0), gnd(0), gnd(0), write(1), write(0));
end generate;
a9 : if abits > 8 generate
x1 : unisim_syncram generic map ( abits, 32)
port map (clk, address, datain(63 downto 32), dataout(63 downto 32),
enable(1), write(1));
x2 : unisim_syncram generic map ( abits, 32)
port map (clk, address, datain(31 downto 0), dataout(31 downto 0),
enable(0), write(0));
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
entity unisim_syncram128 is
generic ( abits : integer := 9);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (127 downto 0);
dataout : out std_logic_vector (127 downto 0);
enable : in std_logic_vector (3 downto 0);
write : in std_logic_vector (3 downto 0)
);
end;
architecture behav of unisim_syncram128 is
component unisim_syncram64 is
generic ( abits : integer := 9);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (63 downto 0);
dataout : out std_logic_vector (63 downto 0);
enable : in std_logic_vector (1 downto 0);
write : in std_logic_vector (1 downto 0)
);
end component;
begin
x0 : unisim_syncram64 generic map (abits)
port map (clk, address, datain(127 downto 64), dataout(127 downto 64),
enable(3 downto 2), write(3 downto 2));
x1 : unisim_syncram64 generic map (abits)
port map (clk, address, datain(63 downto 0), dataout(63 downto 0),
enable(1 downto 0), write(1 downto 0));
end;
library ieee;
use ieee.std_logic_1164.all;
--pragma translate_off
library unisim;
use unisim.RAMB16_S36_S36;
--pragma translate_on
entity unisim_syncram128bw is
generic ( abits : integer := 9);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (127 downto 0);
dataout : out std_logic_vector (127 downto 0);
enable : in std_logic_vector (15 downto 0);
write : in std_logic_vector (15 downto 0)
);
end;
architecture behav of unisim_syncram128bw is
component unisim_syncram
generic ( abits : integer := 9; dbits : integer := 32);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (dbits -1 downto 0);
dataout : out std_logic_vector (dbits -1 downto 0);
enable : in std_ulogic;
write : in std_ulogic
);
end component;
component RAMB16_S9_S9
port (
DOA : out std_logic_vector (7 downto 0);
DOB : out std_logic_vector (7 downto 0);
DOPA : out std_logic_vector (0 downto 0);
DOPB : out std_logic_vector (0 downto 0);
ADDRA : in std_logic_vector (10 downto 0);
ADDRB : in std_logic_vector (10 downto 0);
CLKA : in std_ulogic;
CLKB : in std_ulogic;
DIA : in std_logic_vector (7 downto 0);
DIB : in std_logic_vector (7 downto 0);
DIPA : in std_logic_vector (0 downto 0);
DIPB : in std_logic_vector (0 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
SSRA : in std_ulogic;
SSRB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic
);
end component;
signal gnd : std_logic_vector(3 downto 0);
signal xa, ya : std_logic_vector(19 downto 0);
begin
gnd <= "0000";
xa(abits-1 downto 0) <= address; xa(19 downto abits) <= (others => '0');
ya(abits-1 downto 0) <= address; ya(19 downto abits) <= (others => '1');
a11 : if abits <= 10 generate
x0 : for i in 0 to 7 generate
r0 : RAMB16_S9_S9 port map (
dataout(i*8+7+64 downto i*8+64), dataout(i*8+7 downto i*8), open, open,
xa(10 downto 0), ya(10 downto 0), clk, clk,
datain(i*8+7+64 downto i*8+64), datain(i*8+7 downto i*8), gnd(0 downto 0), gnd(0 downto 0),
enable(i+8), enable(i), gnd(0), gnd(0), write(i+8), write(i));
end generate;
end generate;
a12 : if abits > 10 generate
x0 : for i in 0 to 15 generate
x2 : unisim_syncram generic map ( abits, 8)
port map (clk, address, datain(i*8+7 downto i*8),
dataout(i*8+7 downto i*8), enable(i), write(i));
end generate;
end generate;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/umc18/pads_umc18.vhd | 1 | 8514 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: umcpads_gen
-- File: umcpads_gen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: UMC pad wrappers
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package umcpads is
-- input pad
component ICMT3V port( A : in std_logic; Z : out std_logic); end component;
-- input pad with pull-up
component ICMT3VPU port( A : in std_logic; Z : out std_logic); end component;
-- input pad with pull-down
component ICMT3VPD port( A : in std_logic; Z : out std_logic); end component;
-- schmitt input pad
component ISTRT3V port( A : in std_logic; Z : out std_logic); end component;
-- output pads
component OCM3V4 port( Z : out std_logic; A : in std_logic); end component;
component OCM3V12 port( Z : out std_logic; A : in std_logic); end component;
component OCM3V24 port( Z : out std_logic; A : in std_logic); end component;
-- tri-state output pads
component OCMTR4 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component OCMTR12 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component OCMTR24 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
-- bidirectional pads
component BICM3V4 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component BICM3V12 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component BICM3V24 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
-- pragma translate_off
library umc18;
use umc18.ICMT3V;
use umc18.ICMT3VPU;
use umc18.ICMT3VPD;
use umc18.ISTRT3V;
-- pragma translate_on
entity umc_inpad is
generic (level : integer := 0; voltage : integer := 0; filter : integer := 0);
port (pad : in std_logic; o : out std_logic);
end;
architecture rtl of umc_inpad is
component ICMT3V port( A : in std_logic; Z : out std_logic); end component;
component ICMT3VPU port( A : in std_logic; Z : out std_logic); end component;
component ICMT3VPD port( A : in std_logic; Z : out std_logic); end component;
component ISTRT3V port( A : in std_logic; Z : out std_logic); end component;
begin
norm : if filter = 0 generate
ip : ICMT3V port map (a => pad, z => o);
end generate;
pu : if filter = pullup generate
ip : ICMT3VPU port map (a => pad, z => o);
end generate;
pd : if filter = pulldown generate
ip : ICMT3VPD port map (a => pad, z => o);
end generate;
sch : if filter = schmitt generate
ip : ISTRT3V port map (a => pad, z => o);
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
-- pragma translate_off
library umc18;
use umc18.BICM3V4;
use umc18.BICM3V12;
use umc18.BICM3V24;
-- pragma translate_on
entity umc_iopad is
generic (level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 0);
port (pad : inout std_logic; i, en : in std_logic; o : out std_logic);
end ;
architecture rtl of umc_iopad is
component BICM3V4 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component BICM3V12 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component BICM3V24 port( IO : inout std_logic; EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
begin
f4 : if (strength <= 4) generate
op : BICM3V4 port map (a => i, en => en, io => pad, z => o);
end generate;
f12 : if (strength > 4) and (strength <= 12) generate
op : BICM3V12 port map (a => i, en => en, io => pad, z => o);
end generate;
f24 : if (strength > 16) generate
op : BICM3V24 port map (a => i, en => en, io => pad, z => o);
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
-- pragma translate_off
library umc18;
use umc18.OCM3V4;
use umc18.OCM3V12;
use umc18.OCM3V24;
-- pragma translate_on
entity umc_outpad is
generic (level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 0);
port (pad : out std_logic; i : in std_logic);
end ;
architecture rtl of umc_outpad is
component OCM3V4 port( Z : out std_logic; A : in std_logic); end component;
component OCM3V12 port( Z : out std_logic; A : in std_logic); end component;
component OCM3V24 port( Z : out std_logic; A : in std_logic); end component;
begin
f4 : if (strength <= 4) generate
op : OCM3V4 port map (a => i, z => pad);
end generate;
f12 : if (strength > 4) and (strength <= 12) generate
op : OCM3V12 port map (a => i, z => pad);
end generate;
f24 : if (strength > 12) generate
op : OCM3V24 port map (a => i, z => pad);
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
-- pragma translate_off
library umc18;
use umc18.OCMTR4;
use umc18.OCMTR12;
use umc18.OCMTR24;
-- pragma translate_on
entity umc_toutpad is
generic (level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 0);
port (pad : out std_logic; i, en : in std_logic);
end ;
architecture rtl of umc_toutpad is
component OCMTR4 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component OCMTR12 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
component OCMTR24 port( EN : in std_logic; A : in std_logic; Z : out std_logic); end component;
begin
f4 : if (strength <= 4) generate
op : OCMTR4 port map (a => i, en => en, z => pad);
end generate;
f12 : if (strength > 4) and (strength <= 12) generate
op : OCMTR12 port map (a => i, en => en, z => pad);
end generate;
f24 : if (strength > 12) generate
op : OCMTR24 port map (a => i, en => en, z => pad);
end generate;
end;
library umc18;
-- pragma translate_off
use umc18.LVDS_Driver;
use umc18.LVDS_Receiver;
use umc18.LVDS_Biasmodule;
-- pragma translate_on
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity umc_lvds_combo is
generic (voltage : integer := 0; width : integer := 1);
port (odpadp, odpadn, ospadp, ospadn : out std_logic_vector(0 to width-1);
odval, osval, en : in std_logic_vector(0 to width-1);
idpadp, idpadn, ispadp, ispadn : in std_logic_vector(0 to width-1);
idval, isval : out std_logic_vector(0 to width-1);
lvdsref : in std_logic);
end ;
architecture rtl of umc_lvds_combo is
component LVDS_Driver port ( A, Vref, HI : in std_logic; Z, ZN : out std_logic); end component;
component LVDS_Receiver port ( A, AN : in std_logic; Z : out std_logic); end component;
component LVDS_Biasmodule port ( RefR : in std_logic; Vref, HI : out std_logic); end component;
signal vref, hi : std_logic;
begin
lvds_bias: LVDS_Biasmodule port map (lvdsref, vref, hi);
swloop : for i in 0 to width-1 generate
spw_rxd_pad : LVDS_Receiver port map (idpadp(i), idpadn(i), idval(i));
spw_rxs_pad : LVDS_Receiver port map (ispadp(i), ispadn(i), isval(i));
spw_txd_pad : LVDS_Driver port map (odval(i), vref, hi, odpadp(i), odpadn(i));
spw_txs_pad : LVDS_Driver port map (osval(i), vref, hi, ospadp(i), ospadn(i));
end generate;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/tech/unisim/ise/simple_simprim.vhd | 4 | 5477 |
library ieee;
use ieee.std_logic_1164.all;
package simple_simprim is
component ramb4_generic
generic ( abits : integer := 10; dbits : integer := 8 );
port (DI : in std_logic_vector (dbits-1 downto 0);
EN : in std_ulogic;
WE : in std_ulogic;
RST : in std_ulogic;
CLK : in std_ulogic;
ADDR : in std_logic_vector (abits-1 downto 0);
DO : out std_logic_vector (dbits-1 downto 0)
);
end component;
component ramb4_sx_sx
generic (abits : integer := 10; dbits : integer := 8 );
port (DIA : in std_logic_vector (dbits-1 downto 0);
DIB : in std_logic_vector (dbits-1 downto 0);
ENA : in std_ulogic;
ENB : in std_ulogic;
WEA : in std_ulogic;
WEB : in std_ulogic;
RSTA : in std_ulogic;
RSTB : in std_ulogic;
CLKA : in std_ulogic;
CLKB : in std_ulogic;
ADDRA : in std_logic_vector (abits-1 downto 0);
ADDRB : in std_logic_vector (abits-1 downto 0);
DOA : out std_logic_vector (dbits-1 downto 0);
DOB : out std_logic_vector (dbits-1 downto 0)
);
end component;
component ramb16_sx
generic (abits : integer := 10; dbits : integer := 8 );
port (
DO : out std_logic_vector (dbits-1 downto 0);
ADDR : in std_logic_vector (abits-1 downto 0);
DI : in std_logic_vector (dbits-1 downto 0);
EN : in std_ulogic;
CLK : in std_ulogic;
WE : in std_ulogic;
SSR : in std_ulogic);
end component;
component ram16_sx_sx
generic (abits : integer := 10; dbits : integer := 8 );
port (
DOA : out std_logic_vector (dbits-1 downto 0);
DOB : out std_logic_vector (dbits-1 downto 0);
ADDRA : in std_logic_vector (abits-1 downto 0);
CLKA : in std_ulogic;
DIA : in std_logic_vector (dbits-1 downto 0);
ENA : in std_ulogic;
WEA : in std_ulogic;
ADDRB : in std_logic_vector (abits-1 downto 0);
CLKB : in std_ulogic;
DIB : in std_logic_vector (dbits-1 downto 0);
ENB : in std_ulogic;
WEB : in std_ulogic);
end component;
end;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ramb4_generic is
generic ( abits : integer := 10; dbits : integer := 8 );
port (DI : in std_logic_vector (dbits-1 downto 0);
EN : in std_ulogic;
WE : in std_ulogic;
RST : in std_ulogic;
CLK : in std_ulogic;
ADDR : in std_logic_vector (abits-1 downto 0);
DO : out std_logic_vector (dbits-1 downto 0)
);
end;
architecture behavioral of ramb4_generic is
type mem is array(0 to (2**abits -1))
of std_logic_vector((dbits -1) downto 0);
begin
main : process(clk)
variable memarr : mem;
begin
if rising_edge(clk)then
if (en = '1') and not (is_x(addr)) then
do <= memarr(to_integer(unsigned(addr)));
end if;
if (we and en) = '1' then
if not is_x(addr) then
memarr(to_integer(unsigned(addr))) := di;
end if;
end if;
end if;
end process;
end;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ramb16_sx is
generic ( abits : integer := 10; dbits : integer := 8 );
port (
DO : out std_logic_vector (dbits-1 downto 0);
ADDR : in std_logic_vector (abits-1 downto 0);
DI : in std_logic_vector (dbits-1 downto 0);
EN : in std_ulogic;
CLK : in std_ulogic;
WE : in std_ulogic;
SSR : in std_ulogic
);
end;
architecture behav of ramb16_sx is
begin
rp : process(clk)
subtype dword is std_logic_vector(dbits-1 downto 0);
type dregtype is array (0 to 2**abits -1) of DWord;
variable rfd : dregtype := (others => (others => '0'));
begin
if rising_edge(clk) and not is_x (addr) then
if en = '1' then
do <= rfd(to_integer(unsigned(addr)));
if we = '1' then rfd(to_integer(unsigned(addr))) := di; end if;
end if;
end if;
end process;
end;
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ram16_sx_sx is
generic ( abits : integer := 10; dbits : integer := 8 );
port (
DOA : out std_logic_vector (dbits-1 downto 0);
DOB : out std_logic_vector (dbits-1 downto 0);
ADDRA : in std_logic_vector (abits-1 downto 0);
CLKA : in std_ulogic;
DIA : in std_logic_vector (dbits-1 downto 0);
ENA : in std_ulogic;
WEA : in std_ulogic;
ADDRB : in std_logic_vector (abits-1 downto 0);
CLKB : in std_ulogic;
DIB : in std_logic_vector (dbits-1 downto 0);
ENB : in std_ulogic;
WEB : in std_ulogic
);
end;
architecture behav of ram16_sx_sx is
signal async : std_ulogic := '0';
begin
ramproc : process(clka, clkb)
subtype dword is std_logic_vector(dbits-1 downto 0);
type dregtype is array (0 to 2**abits -1) of DWord;
variable rfd : dregtype := (others => (others => '0'));
begin
if rising_edge(clka) and not is_x (addra) then
if ena = '1' then
if wea = '1' then
rfd(to_integer(unsigned(addra))) := dia;
end if;
doa <= rfd(to_integer(unsigned(addra)));
else
doa <= (others => '1');
end if;
end if;
if rising_edge(clkb) and not is_x (addrb) then
if enb = '1' then
if web = '1' then
rfd(to_integer(unsigned(addrb))) := dib;
end if;
dob <= rfd(to_integer(unsigned(addrb)));
else
dob <= (others => '1');
end if;
end if;
end process;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/pci/grpci1/pci_mt.vhd | 1 | 28846 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: pci_mt
-- File: pci_mt.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Modified: Alf Vaerneus - Gaisler Research
-- Description: Simple PCI master and target interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.pci.all;
use gaisler.pcilib.all;
entity pci_mt is
generic (
hmstndx : integer := 0;
abits : integer := 21;
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
master : integer := 1; -- Enable PCI Master
hslvndx : integer := 0;
haddr : integer := 16#F00#;
hmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
nsync : integer range 1 to 2 := 1; -- 1 or 2 sync regs between clocks
oepol : integer := 0
);
port(
rst : in std_logic;
clk : in std_logic;
pciclk : in std_logic;
pcii : in pci_in_type;
pcio : out pci_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of pci_mt is
constant REVISION : amba_version_type := 0;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_PCISBRG, 0, REVISION, 0),
4 => ahb_membar(haddr, '0', '0', hmask),
5 => ahb_iobar (ioaddr, 16#E00#),
others => zero32);
constant CSYNC : integer := nsync-1;
constant MADDR_WIDTH : integer := abits;
constant HADDR_WIDTH : integer := 28;
type pci_input_type is record
ad : std_logic_vector(31 downto 0);
cbe : std_logic_vector(3 downto 0);
frame : std_logic;
devsel : std_logic;
idsel : std_logic;
trdy : std_logic;
irdy : std_logic;
par : std_logic;
stop : std_logic;
rst : std_logic;
gnt : std_logic;
end record;
type ahbs_input_type is record
haddr : std_logic_vector(HADDR_WIDTH - 1 downto 0);
htrans : std_logic_vector(1 downto 0);
hwrite : std_logic;
hsize : std_logic_vector(1 downto 0);
hburst : std_logic_vector(2 downto 0);
hwdata : std_logic_vector(31 downto 0);
hsel : std_logic;
hiosel : std_logic;
hready : std_logic;
end record;
type pci_target_state_type is (idle, b_busy, s_data, backoff, turn_ar);
type pci_master_state_type is (idle, addr, m_data, turn_ar, s_tar, dr_bus);
type pci_config_command_type is record
ioen : std_logic; -- I/O access enable
men : std_logic; -- Memory access enable
msen : std_logic; -- Master enable
spcen : std_logic; -- Special cycle enable
mwie : std_logic; -- Memory write and invalidate enable
vgaps : std_logic; -- VGA palette snooping enable
per : std_logic; -- Parity error response enable
wcc : std_logic; -- Address stepping enable
serre : std_logic; -- Enable SERR# driver
fbtbe : std_logic; -- Fast back-to-back enable
end record;
type pci_config_status_type is record
c66mhz : std_logic; -- 66MHz capability
udf : std_logic; -- UDF supported
fbtbc : std_logic; -- Fast back-to-back capability
dped : std_logic; -- Data parity error detected
dst : std_logic_vector(1 downto 0); -- DEVSEL timing
sta : std_logic; -- Signaled target abort
rta : std_logic; -- Received target abort
rma : std_logic; -- Received master abort
sse : std_logic; -- Signaled system error
dpe : std_logic; -- Detected parity error
end record;
type pci_reg_type is record
addr : std_logic_vector(MADDR_WIDTH-1 downto 0);
ad : std_logic_vector(31 downto 0);
cbe : std_logic_vector(3 downto 0);
lcbe : std_logic_vector(3 downto 0);
t_state : pci_target_state_type; -- PCI target state machine
m_state : pci_master_state_type; -- PCI master state machine
csel : std_logic; -- Configuration chip select
msel : std_logic; -- Memory hit
read : std_logic;
devsel : std_logic; -- PCI device select
trdy : std_logic; -- Target ready
irdy : std_logic; -- Master ready
stop : std_logic; -- Target stop request
par : std_logic; -- PCI bus parity
req : std_logic; -- Master bus request
oe_par : std_logic;
oe_ad : std_logic;
oe_trdy : std_logic;
oe_devsel: std_logic;
oe_ctrl : std_logic;
oe_cbe : std_logic;
oe_stop : std_logic;
oe_frame : std_logic;
oe_irdy : std_logic;
oe_req : std_logic;
noe_par : std_logic;
noe_ad : std_logic;
noe_trdy : std_logic;
noe_devsel: std_logic;
noe_ctrl : std_logic;
noe_cbe : std_logic;
noe_stop : std_logic;
noe_frame : std_logic;
noe_irdy : std_logic;
noe_req : std_logic;
request : std_logic; -- Request from Back-end
frame : std_logic; -- Master frame
bar0 : std_logic_vector(31 downto MADDR_WIDTH);
page : std_logic_vector(31 downto MADDR_WIDTH-1);
comm : pci_config_command_type;
stat : pci_config_status_type;
laddr : std_logic_vector(31 downto 0);
ldata : std_logic_vector(31 downto 0);
pwrite : std_logic;
hwrite : std_logic;
start : std_logic;
hreq : std_logic;
hreq_ack : std_logic_vector(csync downto 0);
preq : std_logic_vector(csync downto 0);
preq_ack : std_logic;
rready : std_logic_vector(csync downto 0);
wready : std_logic_vector(csync downto 0);
sync : std_logic_vector(csync downto 0);
pabort : std_logic;
mcnt : std_logic_vector(2 downto 0);
maddr : std_logic_vector(31 downto 0);
mdata : std_logic_vector(31 downto 0);
stop_req : std_logic;
end record;
type cpu_master_state_type is (idle, sync1, busy, sync2);
type cpu_slave_state_type is (idle, getd, req, sync, read, sync2, t_done);
type cpu_reg_type is record
tdata : std_logic_vector(31 downto 0); -- Target data
maddr : std_logic_vector(31 downto 0); -- Master data
mdata : std_logic_vector(31 downto 0); -- Master data
be : std_logic_vector(3 downto 0);
m_state : cpu_master_state_type; -- AMBA master state machine
s_state : cpu_slave_state_type; -- AMBA slave state machine
start : std_logic_vector(csync downto 0);
hreq : std_logic_vector(csync downto 0);
hreq_ack : std_logic;
preq : std_logic;
preq_ack : std_logic_vector(csync downto 0);
sync : std_logic;
hwrite : std_logic; -- AHB write on PCI
pabort : std_logic_vector(csync downto 0);
perror : std_logic;
rready : std_logic;
wready : std_logic;
hrdata : std_logic_vector(31 downto 0);
hresp : std_logic_vector(1 downto 0);
pciba : std_logic_vector(3 downto 0);
end record;
signal clk_int : std_logic;
signal pr : pci_input_type;
signal hr : ahbs_input_type;
signal r, rin : pci_reg_type;
signal r2, r2in : cpu_reg_type;
signal dmai : ahb_dma_in_type;
signal dmao : ahb_dma_out_type;
signal roe_ad, rioe_ad : std_logic_vector(31 downto 0);
attribute syn_preserve : boolean;
attribute syn_preserve of roe_ad : signal is true;
begin
-- Back-end state machine (AHB clock domain)
comb : process (rst, r2, r, dmao, hr, ahbsi)
variable vdmai : ahb_dma_in_type;
variable v : cpu_reg_type;
variable request : std_logic;
variable hready : std_logic;
variable hresp, hsize, htrans : std_logic_vector(1 downto 0);
variable p_done : std_logic;
begin
v := r2;
vdmai.start := '0'; vdmai.burst := '0'; vdmai.size := "010";
vdmai.address := r.laddr; v.sync := '1';
vdmai.wdata := ahbdrivedata(r.ldata); vdmai.write := r.pwrite;
v.start(0) := r2.start(csync); v.start(csync) := r.start;
v.hreq(0) := r2.hreq(csync); v.hreq(csync) := r.hreq;
v.pabort(0) := r2.pabort(csync); v.pabort(csync) := r.pabort;
v.preq_ack(0) := r2.preq_ack(csync); v.preq_ack(csync) := r.preq_ack;
hready := '1'; hresp := HRESP_OKAY; request := '0';
hsize := "10"; htrans := "00";
p_done := r2.hreq(0) or r2.pabort(0);
---- *** APB register access *** ----
--if (apbi.psel and apbi.penable and apbi.pwrite) = '1' then
--v.pciba := apbi.pwdata(31 downto 28);
--end if;
--apbo.prdata <= r2.pciba & addzero;
if hr.hiosel = '1' then
if hr.hwrite = '1' then v.pciba := ahbreadword(ahbsi.hwdata)(31 downto 28); end if;
v.hrdata := r2.pciba & addzero(27 downto 0);
end if;
---- *** AHB MASTER *** ----
case r2.m_state is
when idle =>
v.sync := '0';
if r2.start(0) = '1' then
if r.pwrite = '1' then v.m_state := sync1; v.wready := '0';
else v.m_state := busy; vdmai.start := '1'; end if;
end if;
when sync1 =>
if r2.start(0) = '0' then v.m_state := busy; vdmai.start := '1'; end if;
when busy =>
if dmao.active = '1' then
if dmao.ready = '1' then
v.rready := not r.pwrite; v.tdata := dmao.rdata(31 downto 0); v.m_state := sync2;
end if;
else vdmai.start := '1'; end if;
when sync2 =>
if r2.start(0) = '0' then
v.m_state := idle; v.wready := '1'; v.rready := '0';
end if;
end case;
---- *** AHB MASTER END *** ----
---- *** AHB SLAVE *** ----
if MASTER = 1 then
if (hr.hready and hr.hsel) = '1' then
hsize := hr.hsize; htrans := hr.htrans;
if (hr.htrans(1) and r.comm.msen) = '1' then request := '1'; end if;
end if;
if (request = '1' and r2.s_state = idle) then
v.maddr := r2.pciba & hr.haddr;
v.hwrite := hr.hwrite;
case hsize is
when "00" => v.be := "1110"; -- Decode byte enable
when "01" => v.be := "1100";
when "10" => v.be := "0000";
when others => v.be := "1111";
end case;
elsif r2.s_state = getd and r2.hwrite = '1' then
v.mdata := hr.hwdata;
end if;
if r2.hreq(0) = '1' then v.hrdata := r.ldata; end if;
if r2.preq_ack(0) = '1' then v.preq := '0'; end if;
if r2.pabort(0) = '1' then v.perror := '1'; end if;
if p_done = '0' then v.hreq_ack := '0'; end if;
-- AHB slave state machine
case r2.s_state is
when idle => if request = '1' then v.s_state := getd; end if;
when getd => v.s_state := req; v.preq := '1';
when req => if r2.preq_ack(0) = '1' then v.s_state := sync; end if;
when sync => if r2.preq_ack(0) = '0' then v.s_state := read; end if;
when read =>
if p_done = '1' then v.hreq_ack := '1'; v.s_state := sync2; end if;
when sync2 => if p_done = '0' then v.s_state := t_done; end if;
when t_done => if request = '1' then v.s_state := idle; end if;
when others => v.s_state := idle;
end case;
if request = '1' then
if r2.s_state = t_done then
if r2.perror = '1' then hresp := HRESP_ERROR;
else hresp := HRESP_OKAY; end if;
v.perror := '0';
else hresp := HRESP_RETRY; end if;
end if;
if r.comm.msen = '0' then hresp := HRESP_ERROR; end if; -- Master disabled
if htrans(1) = '0' then hresp := HRESP_OKAY; end if; -- Response OK for BUSY and IDLE
if (hresp /= HRESP_OKAY and (hr.hready and hr.hsel) = '1') then -- insert one wait cycle
hready := '0';
end if;
if hr.hready = '0' then hresp := r2.hresp; end if;
v.hresp := hresp;
end if;
---- *** AHB SLAVE END *** ----
if rst = '0' then
v.s_state := idle; v.rready := '0'; v.wready := '1';
v.m_state := idle; v.preq := '0'; v.hreq_ack := '0';
v.perror := '0'; v.be := (others => '1');
v.pciba := (others => '0'); v.hresp := (others => '0');
end if;
r2in <= v; dmai <= vdmai;
ahbso.hready <= hready;
ahbso.hresp <= hresp;
ahbso.hrdata <= ahbdrivedata(r2.hrdata);
end process;
ahbso.hconfig <= hconfig when MASTER = 1 else (others => zero32);
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hindex <= hslvndx;
-- PCI target core (PCI clock domain)
pcicomb : process(pcii.rst, pr, pcii, r, r2, roe_ad)
variable v : pci_reg_type;
variable chit, mhit, hit, ready, cwrite : std_logic;
variable cdata, cwdata : std_logic_vector(31 downto 0);
variable comp : std_logic; -- Last transaction cycle on PCI bus
variable iready : std_logic;
variable mto : std_logic;
variable tad, mad : std_logic_vector(31 downto 0);
-- variable cbe : std_logic_vector(3 downto 0);
variable caddr : std_logic_vector(7 downto 2);
variable voe_ad : std_logic_vector(31 downto 0);
variable oe_par : std_logic;
variable oe_ad : std_logic;
variable oe_ctrl : std_logic;
variable oe_trdy : std_logic;
variable oe_devsel: std_logic;
variable oe_cbe : std_logic;
variable oe_stop : std_logic;
variable oe_frame : std_logic;
variable oe_irdy : std_logic;
variable oe_req : std_logic;
begin
-- Process defaults
v := r; v.trdy := '1'; v.stop := '1'; v.frame := '1';
v.oe_ad := '1'; v.devsel := '1'; v.oe_frame := '1';
v.irdy := '1'; v.req := '1'; voe_ad := roe_ad;
v.oe_req := '0'; v.oe_cbe := '1'; v.oe_irdy := '1';
v.rready(0) := r.rready(csync); v.rready(csync) := r2.rready;
v.wready(0) := r.wready(csync); v.wready(csync) := r2.wready;
v.sync(0) := r.sync(csync); v.sync(csync) := r2.sync;
v.preq(0) := r.preq(csync); v.preq(csync) := r2.preq;
v.hreq_ack(0) := r.hreq_ack(csync); v.hreq_ack(csync) := r2.hreq_ack;
comp := '0'; mto := '0'; tad := r.ad; mad := r.ad; v.stop_req := '0';
--cbe := r.cbe;
----- *** PCI TARGET *** --------
-- address decoding
if (r.t_state = s_data) and ((pr.irdy or r.trdy or r.read) = '0') then
cwrite := r.csel;
if ((r.msel and r.addr(MADDR_WIDTH-1)) = '1') and (pr.cbe = "0000") then
v.page := pr.ad(31 downto MADDR_WIDTH-1);
end if;
if (pr.cbe = "0000") and (r.addr(MADDR_WIDTH-1) = '1') then
end if;
else cwrite := '0'; end if;
cdata := (others => '0'); caddr := r.addr(7 downto 2);
case caddr is
when "000000" => -- 0x00, device & vendor id
cdata := conv_std_logic_vector(DEVICE_ID, 16) &
conv_std_logic_vector(VENDOR_ID, 16);
when "000001" => -- 0x04, status & command
cdata(1) := r.comm.men; cdata(2) := r.comm.msen; cdata(25) := '1';
cdata(28) := r.stat.rta; cdata(29) := r.stat.rma;
when "000010" => -- 0x08, class code & revision
when "000011" => -- 0x0c, latency & cacheline size
when "000100" => -- 0x10, BAR0
cdata(31 downto MADDR_WIDTH) := r.bar0;
when others =>
end case;
cwdata := pr.ad;
if pr.cbe(3) = '1' then cwdata(31 downto 24) := cdata(31 downto 24); end if;
if pr.cbe(2) = '1' then cwdata(23 downto 16) := cdata(23 downto 16); end if;
if pr.cbe(1) = '1' then cwdata(15 downto 8) := cdata(15 downto 8); end if;
if pr.cbe(0) = '1' then cwdata( 7 downto 0) := cdata( 7 downto 0); end if;
if cwrite = '1' then
case caddr is
when "000001" => -- 0x04, status & command
v.comm.men := cwdata(1);
v.comm.msen := cwdata(2);
v.stat.rta := r.stat.rta and not cwdata(28);
v.stat.rma := r.stat.rma and not cwdata(29);
when "000100" => -- 0x10, BAR0
v.bar0 := cwdata(31 downto MADDR_WIDTH);
when others =>
end case;
end if;
if (((pr.cbe = pci_config_read) or (pr.cbe = pci_config_write))
and (pr.ad(1 downto 0) = "00"))
then chit := '1'; else chit := '0'; end if;
if ((pr.cbe = pci_memory_read) or (pr.cbe = pci_memory_write))
and (r.bar0 = pr.ad(31 downto MADDR_WIDTH))
and (r.bar0 /= zero(31 downto MADDR_WIDTH))
then mhit := '1'; else mhit := '0'; end if;
hit := r.csel or r.msel;
ready := r.csel or (r.rready(0) and r.read) or (r.wready(0) and not r.read and not r.start) or
r.addr(MADDR_WIDTH-1);
-- target state machine
case r.t_state is
when idle =>
if pr.frame = '0' then v.t_state := b_busy; end if; -- !HIT ?
v.addr := pr.ad(MADDR_WIDTH-1 downto 0); -- v.cbe := pr.cbe;
v.csel := pr.idsel and chit;
v.msel := r.comm.men and mhit; v.read := not pr.cbe(0);
if (r.sync(0) and r.start and r.pwrite) = '1' then v.start := '0'; end if;
when turn_ar =>
if pr.frame = '1' then v.t_state := idle; end if;
if pr.frame = '0' then v.t_state := b_busy; end if; -- !HIT ?
v.addr := pr.ad(MADDR_WIDTH-1 downto 0); -- v.cbe := pr.cbe;
v.csel := pr.idsel and chit;
v.msel := r.comm.men and mhit; v.read := not pr.cbe(0);
if (r.sync(0) and r.start and r.pwrite) = '1' then v.start := '0'; end if;
when b_busy =>
if hit = '1' then
v.t_state := s_data; v.trdy := not ready; v.stop := pr.frame and ready;
v.devsel := '0';
else
v.t_state := backoff;
end if;
when s_data =>
v.stop := r.stop; v.devsel := '0';
v.trdy := r.trdy or not pcii.irdy;
if (pcii.frame and not pcii.irdy) = '1' then
v.t_state := turn_ar; v.stop := '1'; v.trdy := '1'; v.devsel := '1';
end if;
when backoff =>
if pr.frame = '1' then v.t_state := idle; end if;
end case;
if ((r.t_state = s_data) or (r.t_state = turn_ar)) and
(((pr.irdy or pr.trdy) = '0') or
((not pr.irdy and not pr.stop and pr.trdy and not r.start and r.wready(0)) = '1'))
then
if (pr.trdy and r.read)= '0' then v.start := '0'; end if;
if (r.start = '0') and ((r.msel and not r.addr(MADDR_WIDTH-1)) = '1') and
(((pr.trdy and r.read and not r.rready(0)) or (not pr.trdy and not r.read)) = '1')
then
v.laddr := r.page & r.addr(MADDR_WIDTH-2 downto 0);
v.ldata := pr.ad; v.pwrite := not r.read; v.start := '1';
end if;
end if;
-- if (v.t_state = s_data) and (r.read = '1') then v.oe_ad := '0'; end if;
-- v.oe_par := r.oe_ad;
if r.csel = '1' then tad := cdata;
elsif r.addr(MADDR_WIDTH-1) = '1' then
tad(31 downto MADDR_WIDTH-1) := r.page;
tad(MADDR_WIDTH-2 downto 0) := (others => '0');
else tad := r2.tdata; end if;
if (v.t_state = s_data) or (r.t_state = s_data) then
v.oe_ctrl := '0';
else v.oe_ctrl := '1'; end if;
----- *** PCI TARGET END*** --------
----- *** PCI MASTER *** --------
if MASTER = 1 then
if r.preq(0) = '1' then
if (r.m_state = idle or r.m_state = dr_bus) and r.request = '0' and r.hreq = '0' then
v.request := '1';
v.hwrite := r2.hwrite;
v.lcbe := r2.be;
v.mdata := r2.mdata;
v.maddr :=r2.maddr;
end if;
end if;
if r.hreq_ack(0) = '1' then v.hreq := '0'; v.pabort := '0'; end if;
if r.preq(0) = '0' then v.preq_ack := '0'; end if;
comp := not(pcii.trdy or pcii.irdy);
if ((pr.irdy and not pr.frame) or (pr.devsel and r.frame and not r.oe_frame)) = '1' then -- Covers both master timeout and devsel timeout
if r.mcnt /= "000" then v.mcnt := r.mcnt - 1;
else mto := '1'; end if;
else v.mcnt := (others => '1'); end if;
-- PCI master state machine
case r.m_state is
when idle => -- Master idle
if (pr.gnt = '0' and (pr.frame and pr.irdy) = '1') then
if r.request = '1' then v.m_state := addr; v.preq_ack := '1';
else v.m_state := dr_bus; end if;
end if;
when addr => -- Always one address cycle at the beginning of an transaction
v.m_state := m_data;
when m_data => -- Master transfers data
--if (r.request and not pr.gnt and pr.frame and not pr.trdy -- Not supporting address stepping!
--and pr.stop and l_cycle and sa) = '1' then
--v.m_state <= addr;
v.hreq := comp;
if (pr.frame = '0') or ((pr.frame and pcii.trdy and pcii.stop and not mto) = '1') then
v.m_state := m_data;
elsif ((pr.frame and (mto or not pcii.stop)) = '1') then
v.m_state := s_tar;
else v.m_state := turn_ar; v.request := '0'; end if;
when turn_ar => -- Transaction complete
if (r.request and not pr.gnt) = '1' then v.m_state := addr;
elsif (r.request or pr.gnt) = '0' then v.m_state := dr_bus;
else v.m_state := idle; end if;
when s_tar => -- Stop was asserted
v.request := pr.trdy and not pr.stop and not pr.devsel;
v.stop_req := '1';
if (pr.stop or pr.devsel or pr.trdy) = '0' then -- Disconnect with data
v.m_state := turn_ar;
elsif pr.gnt = '0' then
v.pabort := not v.request;
v.m_state := dr_bus;
else v.m_state := idle; v.pabort := not v.request; end if;
when dr_bus => -- Drive bus when parked on this agent
if (r.request = '1' and (pcii.gnt or r.req) = '0') then v.m_state := addr; v.preq_ack := '1';
elsif pcii.gnt = '1' then v.m_state := idle; end if;
end case;
if v.m_state = addr then mad := r.maddr; else mad := r.mdata; end if;
if (pr.irdy or pr.trdy or r.hwrite) = '0' then v.ldata := pr.ad; end if;
-- Target abort
if ((pr.devsel and pr.trdy and not pr.gnt and not pr.stop) = '1') then v.stat.rta := '1'; end if;
-- Master abort
if mto = '1' then v.stat.rma := '1'; end if;
-- Drive FRAME# and IRDY#
if (v.m_state = addr or v.m_state = m_data) then v.oe_frame := '0'; end if;
-- Drive CBE#
if (v.m_state = addr or v.m_state = m_data or v.m_state = dr_bus) then v.oe_cbe := '0'; end if;
-- Drive IRDY# (FRAME# delayed one pciclk)
v.oe_irdy := r.oe_frame;
-- FRAME# assert
if v.m_state = addr then v.frame := '0'; end if; -- Only single transfers valid
-- IRDY# assert
if v.m_state = m_data then v.irdy := '0'; end if;
-- REQ# assert
if (v.request = '1' and (v.m_state = idle or r.m_state = idle) and (v.stop_req or r.stop_req) = '0') then v.req := '0'; end if;
-- C/BE# assert
if v.m_state = addr then v.cbe := "011" & r.hwrite; else v.cbe := r.lcbe; end if;
end if;
----- *** PCI MASTER END *** --------
----- *** SHARED BUS SIGNALS *** -------
-- Drive PAR
v.oe_par := r.oe_ad; --Delayed one clock
v.par := xorv(r.ad & r.cbe); -- Default asserted by master
v.ad := mad; -- Default asserted by master
-- Master
if (v.m_state = addr or (v.m_state = m_data and r.hwrite = '1') or v.m_state = dr_bus) then
v.oe_ad := '0';
end if;
-- Drive AD
-- Target
if r.read = '1' then
if v.t_state = s_data then
v.oe_ad := '0';
v.ad := tad;
elsif r.t_state = s_data then
v.par := xorv(r.ad & pcii.cbe);
end if;
end if;
v.oe_stop := v.oe_ctrl; v.oe_devsel := v.oe_ctrl; v.oe_trdy := v.oe_ctrl;
v.noe_ad := not v.oe_ad; v.noe_ctrl := not v.oe_ctrl;
v.noe_par := not v.oe_par; v.noe_req := not v.oe_req;
v.noe_frame := not v.oe_frame; v.noe_cbe := not v.oe_cbe;
v.noe_irdy := not v.oe_irdy;
v.noe_stop := not v.oe_ctrl; v.noe_devsel := not v.oe_ctrl;
v.noe_trdy := not v.oe_ctrl;
if oepol = 0 then
voe_ad := (others => v.oe_ad);
oe_ad := r.oe_ad; oe_ctrl := r.oe_ctrl; oe_par := r.oe_par;
oe_req := r.oe_req; oe_frame := r.oe_frame; oe_cbe := r.oe_cbe;
oe_irdy := r.oe_irdy; oe_stop := r.oe_stop; oe_trdy := r.oe_trdy;
oe_devsel := r.oe_devsel;
else
voe_ad := (others => v.noe_ad);
oe_ad := r.noe_ad; oe_ctrl := r.noe_ctrl; oe_par := r.noe_par;
oe_req := r.noe_req; oe_frame := r.noe_frame; oe_cbe := r.noe_cbe;
oe_irdy := r.noe_irdy; oe_stop := r.noe_stop; oe_trdy := r.noe_trdy;
oe_devsel := r.noe_devsel;
end if;
----- *** SHARED BUS SIGNALS END *** -------
if pr.rst = '0' then
v.t_state := idle; v.m_state := idle; v.comm.men := '0'; v.start := '0';
v.bar0 := (others => '0'); v.msel := '0'; v.csel := '0';
v.page := (others => '0'); v.page(31 downto 30) := "01"; v.par := '0';
v.hwrite := '0'; v.request := '0'; v.comm.msen := '0';
v.laddr := (others => '0'); v.ldata := (others => '0');
v.hreq := '0'; v.preq_ack := '0'; v.pabort := '0';
v.mcnt := (others => '1'); v.maddr := (others => '0');
v.lcbe := (others => '0'); v.mdata := (others => '0');
v.pwrite := '0'; v.stop_req := '0';
v.stat.rta := '0'; v.stat.rma := '0';
end if;
rin <= v;
rioe_ad <= voe_ad;
pcio.reqen <= oe_req;
pcio.req <= r.req;
pcio.frameen <= oe_frame;
pcio.frame <= r.frame;
pcio.irdyen <= oe_irdy;
pcio.irdy <= r.irdy;
pcio.cbeen <= (others => oe_cbe);
pcio.cbe <= r.cbe;
pcio.vaden <= roe_ad;
pcio.aden <= oe_ad;
pcio.ad <= r.ad;
pcio.trdy <= r.trdy;
pcio.ctrlen <= oe_ctrl;
pcio.trdyen <= oe_trdy;
pcio.devselen <= oe_devsel;
pcio.stopen <= oe_stop;
pcio.stop <= r.stop;
pcio.devsel <= r.devsel;
pcio.par <= r.par;
pcio.paren <= oe_par;
pcio.rst <= '1';
end process;
pcir : process (pciclk, pcii.rst)
begin
if rising_edge (pciclk) then
pr.ad <= to_x01(pcii.ad);
pr.cbe <= to_x01(pcii.cbe);
pr.devsel <= to_x01(pcii.devsel);
pr.frame <= to_x01(pcii.frame);
pr.idsel <= to_x01(pcii.idsel);
pr.irdy <= to_x01(pcii.irdy);
pr.trdy <= to_x01(pcii.trdy);
pr.par <= to_x01(pcii.par);
pr.stop <= to_x01(pcii.stop);
pr.rst <= to_x01(pcii.rst);
pr.gnt <= to_x01(pcii.gnt);
r <= rin;
roe_ad <= rioe_ad;
end if;
if pcii.rst = '0' then -- asynch reset required
r.oe_ad <= '1'; r.oe_ctrl <= '1'; r.oe_par <= '1'; r.oe_stop <= '1';
r.oe_req <= '1'; r.oe_frame <= '1'; r.oe_cbe <= '1'; r.oe_irdy <= '1';
r.oe_trdy <= '1'; r.oe_devsel <= '1';
r.noe_ad <= '0'; r.noe_ctrl <= '0'; r.noe_par <= '0'; r.noe_req <= '0';
r.noe_frame <= '0'; r.noe_cbe <= '0'; r.noe_irdy <= '0'; r.noe_stop <= '0';
r.noe_trdy <= '0'; r.noe_devsel <= '0';
if oepol = 0 then roe_ad <= (others => '1');
else roe_ad <= (others => '0'); end if;
end if;
end process;
cpur : process (rst,clk)
begin
if rising_edge (clk) then
hr.haddr <= ahbsi.haddr(HADDR_WIDTH - 1 downto 0);
hr.htrans <= ahbsi.htrans;
hr.hwrite <= ahbsi.hwrite;
hr.hsize <= ahbsi.hsize(1 downto 0);
hr.hburst <= ahbsi.hburst;
hr.hwdata <= ahbreadword(ahbsi.hwdata);
hr.hsel <= ahbsi.hsel(hslvndx) and ahbsi.hmbsel(0);
hr.hiosel <= ahbsi.hsel(hslvndx) and ahbsi.hmbsel(1);
hr.hready <= ahbsi.hready;
r2 <= r2in;
end if;
end process;
oe0 : if oepol = 0 generate
pcio.perren <= '1';
pcio.serren <= '1';
pcio.inten <= '1';
pcio.vinten <= (others => '1');
pcio.locken <= '1';
end generate;
oe1 : if oepol = 1 generate
pcio.perren <= '0';
pcio.serren <= '0';
pcio.inten <= '0';
pcio.vinten <= (others => '0');
pcio.locken <= '0';
end generate;
pcio.perr <= '1';
pcio.serr <= '1';
pcio.int <= '1';
msttgt : if MASTER = 1 generate
ahbmst0 : ahbmst generic map (hindex => hmstndx, devid => GAISLER_PCISBRG)
port map (rst, clk, dmai, dmao, ahbmi, ahbmo);
-- pragma translate_off
bootmsg : report_version
generic map ("pci_mt" & tost(hslvndx) &
": Simple 32-bit PCI Bridge, rev " & tost(REVISION) &
", " & tost(2**abits/2**20) & " Mbyte PCI memory BAR" );
-- pragma translate_on
end generate;
tgtonly : if MASTER = 0 generate
ahbmst0 : ahbmst generic map (hindex => hmstndx, devid => GAISLER_PCITRG)
port map (rst, clk, dmai, dmao, ahbmi, ahbmo);
-- pragma translate_off
bootmsg : report_version
generic map ("pci_mt" & tost(hmstndx) &
": Simple 32-bit Bridge, target-only, rev " & tost(REVISION) &
", " & tost(2**abits/2**20) & " Mbyte PCI memory BAR" );
-- pragma translate_on
end generate;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/tech/stratixiii/simprims/stratixiii_atoms.vhd | 2 | 954499 | -- Copyright (C) 1991-2009 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions
-- and other software and tools, and its AMPP partner logic
-- functions, and any output files from any of the foregoing
-- (including device programming or simulation files), and any
-- associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License
-- Subscription Agreement, Altera MegaCore Function License
-- Agreement, or other applicable license agreement, including,
-- without limitation, that your use is for the sole purpose of
-- programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the
-- applicable agreement for further details.
-- Quartus II 9.0 Build 235 03/01/2009
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
package stratixiii_atom_pack is
function str_to_bin (lut_mask : string ) return std_logic_vector;
function product(list : std_logic_vector) return std_logic ;
function alt_conv_integer(arg : in std_logic_vector) return integer;
-- default generic values
CONSTANT DefWireDelay : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01 : VitalDelayType01 := (0 ns, 0 ns);
CONSTANT DefPropDelay01Z : VitalDelayType01Z := (OTHERS => 0 ns);
CONSTANT DefSetupHoldCnst : TIME := 0 ns;
CONSTANT DefPulseWdthCnst : TIME := 0 ns;
-- default control options
-- CONSTANT DefGlitchMode : VitalGlitchKindType := OnEvent;
-- change default delay type to Transport : for spr 68748
CONSTANT DefGlitchMode : VitalGlitchKindType := VitalTransport;
CONSTANT DefGlitchMsgOn : BOOLEAN := FALSE;
CONSTANT DefGlitchXOn : BOOLEAN := FALSE;
CONSTANT DefMsgOnChecks : BOOLEAN := TRUE;
CONSTANT DefXOnChecks : BOOLEAN := TRUE;
-- output strength mapping
-- UX01ZWHL-
CONSTANT PullUp : VitalOutputMapType := "UX01HX01X";
CONSTANT NoPullUpZ : VitalOutputMapType := "UX01ZX01X";
CONSTANT PullDown : VitalOutputMapType := "UX01LX01X";
-- primitive result strength mapping
CONSTANT wiredOR : VitalResultMapType := ( 'U', 'X', 'L', '1' );
CONSTANT wiredAND : VitalResultMapType := ( 'U', 'X', '0', 'H' );
CONSTANT L : VitalTableSymbolType := '0';
CONSTANT H : VitalTableSymbolType := '1';
CONSTANT x : VitalTableSymbolType := '-';
CONSTANT S : VitalTableSymbolType := 'S';
CONSTANT R : VitalTableSymbolType := '/';
CONSTANT U : VitalTableSymbolType := 'X';
CONSTANT V : VitalTableSymbolType := 'B'; -- valid clock signal (non-rising)
-- Declare array types for CAM_SLICE
TYPE stratixiii_mem_data IS ARRAY (0 to 31) of STD_LOGIC_VECTOR (31 downto 0);
function int2str( value : integer ) return string;
function map_x_to_0 (value : std_logic) return std_logic;
function SelectDelay (CONSTANT Paths: IN VitalPathArray01Type) return TIME;
function int2bit (arg : boolean) return std_logic;
function int2bit (arg : integer) return std_logic;
function bin2int (s : std_logic_vector) return integer;
function bin2int (s : std_logic) return integer;
function int2bin (arg : integer; size : integer) return std_logic_vector;
function int2bin (arg : boolean; size : integer) return std_logic_vector;
function calc_sum_len( widtha : integer; widthb : integer) return integer;
end stratixiii_atom_pack;
library IEEE;
use IEEE.std_logic_1164.all;
package body stratixiii_atom_pack is
type masklength is array (4 downto 1) of std_logic_vector(3 downto 0);
function str_to_bin (lut_mask : string) return std_logic_vector is
variable slice : masklength := (OTHERS => "0000");
variable mask : std_logic_vector(15 downto 0);
begin
for i in 1 to lut_mask'length loop
case lut_mask(i) is
when '0' => slice(i) := "0000";
when '1' => slice(i) := "0001";
when '2' => slice(i) := "0010";
when '3' => slice(i) := "0011";
when '4' => slice(i) := "0100";
when '5' => slice(i) := "0101";
when '6' => slice(i) := "0110";
when '7' => slice(i) := "0111";
when '8' => slice(i) := "1000";
when '9' => slice(i) := "1001";
when 'a' => slice(i) := "1010";
when 'A' => slice(i) := "1010";
when 'b' => slice(i) := "1011";
when 'B' => slice(i) := "1011";
when 'c' => slice(i) := "1100";
when 'C' => slice(i) := "1100";
when 'd' => slice(i) := "1101";
when 'D' => slice(i) := "1101";
when 'e' => slice(i) := "1110";
when 'E' => slice(i) := "1110";
when others => slice(i) := "1111";
end case;
end loop;
mask := (slice(1) & slice(2) & slice(3) & slice(4));
return (mask);
end str_to_bin;
function product (list: std_logic_vector) return std_logic is
begin
for i in 0 to 31 loop
if list(i) = '0' then
return ('0');
end if;
end loop;
return ('1');
end product;
function alt_conv_integer(arg : in std_logic_vector) return integer is
variable result : integer;
begin
result := 0;
for i in arg'range loop
if arg(i) = '1' then
result := result + 2**i;
end if;
end loop;
return result;
end alt_conv_integer;
function int2str( value : integer ) return string is
variable ivalue,index : integer;
variable digit : integer;
variable line_no: string(8 downto 1) := " ";
begin
ivalue := value;
index := 1;
if (ivalue = 0) then
line_no := " 0";
end if;
while (ivalue > 0) loop
digit := ivalue MOD 10;
ivalue := ivalue/10;
case digit is
when 0 =>
line_no(index) := '0';
when 1 =>
line_no(index) := '1';
when 2 =>
line_no(index) := '2';
when 3 =>
line_no(index) := '3';
when 4 =>
line_no(index) := '4';
when 5 =>
line_no(index) := '5';
when 6 =>
line_no(index) := '6';
when 7 =>
line_no(index) := '7';
when 8 =>
line_no(index) := '8';
when 9 =>
line_no(index) := '9';
when others =>
ASSERT FALSE
REPORT "Illegal number!"
SEVERITY ERROR;
end case;
index := index + 1;
end loop;
return line_no;
end;
function map_x_to_0 (value : std_logic) return std_logic is
begin
if (Is_X (value) = TRUE) then
return '0';
else
return value;
end if;
end;
function SelectDelay (CONSTANT Paths : IN VitalPathArray01Type) return TIME IS
variable Temp : TIME;
variable TransitionTime : TIME := TIME'HIGH;
variable PathDelay : TIME := TIME'HIGH;
begin
for i IN Paths'RANGE loop
next when not Paths(i).PathCondition;
next when Paths(i).InputChangeTime > TransitionTime;
Temp := Paths(i).PathDelay(tr01);
if Paths(i).InputChangeTime < TransitionTime then
PathDelay := Temp;
else
if Temp < PathDelay then
PathDelay := Temp;
end if;
end if;
TransitionTime := Paths(i).InputChangeTime;
end loop;
return PathDelay;
end;
function int2bit (arg : integer) return std_logic is
variable int_val : integer := arg;
variable result : std_logic;
begin
if (int_val = 0) then
result := '0';
else
result := '1';
end if;
return result;
end int2bit;
function int2bit (arg : boolean) return std_logic is
variable int_val : boolean := arg;
variable result : std_logic;
begin
if (int_val ) then
result := '1';
else
result := '0';
end if;
return result;
end int2bit;
function bin2int (s : std_logic_vector) return integer is
constant temp : std_logic_vector(s'high-s'low DOWNTO 0) := s;
variable result : integer := 0;
begin
for i in temp'range loop
if (temp(i) = '1') then
result := result + (2**i);
end if;
end loop;
return(result);
end bin2int;
function bin2int (s : std_logic) return integer is
constant temp : std_logic := s;
variable result : integer := 0;
begin
if (temp = '1') then
result := 1;
else
result := 0;
end if;
return(result);
end bin2int;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function int2bin (arg : boolean; size : integer) return std_logic_vector is
variable result : std_logic_vector(size-1 downto 0);
begin
if(arg)then
result := (OTHERS => '1');
else
result := (OTHERS => '0');
end if;
return result;
end int2bin;
function calc_sum_len( widtha : integer; widthb : integer) return integer is
variable result: integer;
begin
if(widtha >= widthb) then
result := widtha + 1;
else
result := widthb + 1;
end if;
return result;
end calc_sum_len;
end stratixiii_atom_pack;
Library ieee;
use ieee.std_logic_1164.all;
Package stratixiii_pllpack is
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer);
procedure find_m_and_n_4_manual_phase ( inclock_period : in integer;
vco_phase_shift_step : in integer;
clk0_mult: in integer; clk1_mult: in integer;
clk2_mult: in integer; clk3_mult: in integer;
clk4_mult: in integer; clk5_mult: in integer;
clk6_mult: in integer; clk7_mult: in integer;
clk8_mult: in integer; clk9_mult: in integer;
clk0_div : in integer; clk1_div : in integer;
clk2_div : in integer; clk3_div : in integer;
clk4_div : in integer; clk5_div : in integer;
clk6_div : in integer; clk7_div : in integer;
clk8_div : in integer; clk9_div : in integer;
clk0_used : in string; clk1_used : in string;
clk2_used : in string; clk3_used : in string;
clk4_used : in string; clk5_used : in string;
clk6_used : in string; clk7_used : in string;
clk8_used : in string; clk9_used : in string;
m : out integer;
n : out integer );
function gcd (X: integer; Y: integer) return integer;
function count_digit (X: integer) return integer;
function scale_num (X: integer; Y: integer) return integer;
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer) return integer;
function output_counter_value (clk_divide: integer; clk_mult : integer ;
M: integer; N: integer ) return integer;
function counter_mode (duty_cycle: integer; output_counter_value: integer) return string;
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer;
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer;
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer;
function counter_time_delay ( clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer;
function get_phase_degree (phase_shift: integer; clk_period: integer) return integer;
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer;
function counter_ph (tap_phase: integer; m : integer; n: integer) return integer;
function ph_adjust (tap_phase: integer; ph_base : integer) return integer;
function translate_string (mode : string) return string;
function str2int (s : string) return integer;
function dqs_str2int (s : string) return integer;
end stratixiii_pllpack;
package body stratixiii_pllpack is
-- finds the closest integer fraction of a given pair of numerator and denominator.
procedure find_simple_integer_fraction( numerator : in integer;
denominator : in integer;
max_denom : in integer;
fraction_num : out integer;
fraction_div : out integer) is
constant MAX_ITER : integer := 20;
type INT_ARRAY is array ((MAX_ITER-1) downto 0) of integer;
variable quotient_array : INT_ARRAY;
variable int_loop_iter : integer;
variable int_quot : integer;
variable m_value : integer;
variable d_value : integer;
variable old_m_value : integer;
variable swap : integer;
variable loop_iter : integer;
variable num : integer;
variable den : integer;
variable i_max_iter : integer;
begin
loop_iter := 0;
if (numerator = 0) then
num := 1;
else
num := numerator;
end if;
if (denominator = 0) then
den := 1;
else
den := denominator;
end if;
i_max_iter := max_iter;
while (loop_iter < i_max_iter) loop
int_quot := num / den;
quotient_array(loop_iter) := int_quot;
num := num - (den*int_quot);
loop_iter := loop_iter+1;
if ((num = 0) or (max_denom /= -1) or (loop_iter = i_max_iter)) then
-- calculate the numerator and denominator if there is a restriction on the
-- max denom value or if the loop is ending
m_value := 0;
d_value := 1;
-- get the rounded value at this stage for the remaining fraction
if (den /= 0) then
m_value := (2*num/den);
end if;
-- calculate the fraction numerator and denominator at this stage
for int_loop_iter in (loop_iter-1) downto 0 loop
if (m_value = 0) then
m_value := quotient_array(int_loop_iter);
d_value := 1;
else
old_m_value := m_value;
m_value := (quotient_array(int_loop_iter)*m_value) + d_value;
d_value := old_m_value;
end if;
end loop;
-- if the denominator is less than the maximum denom_value or if there is no restriction save it
if ((d_value <= max_denom) or (max_denom = -1)) then
if ((m_value = 0) or (d_value = 0)) then
fraction_num := numerator;
fraction_div := denominator;
else
fraction_num := m_value;
fraction_div := d_value;
end if;
end if;
-- end the loop if the denomitor has overflown or the numerator is zero (no remainder during this round)
if (((d_value > max_denom) and (max_denom /= -1)) or (num = 0)) then
i_max_iter := loop_iter;
end if;
end if;
-- swap the numerator and denominator for the next round
swap := den;
den := num;
num := swap;
end loop;
end find_simple_integer_fraction;
-- find the M and N values for Manual phase based on the following 5 criterias:
-- 1. The PFD frequency (i.e. Fin / N) must be in the range 5 MHz to 720 MHz
-- 2. The VCO frequency (i.e. Fin * M / N) must be in the range 300 MHz to 1300 MHz
-- 3. M is less than 512
-- 4. N is less than 512
-- 5. It's the smallest M/N which satisfies all the above constraints, and is within 2ps
-- of the desired vco-phase-shift-step
procedure find_m_and_n_4_manual_phase ( inclock_period : in integer;
vco_phase_shift_step : in integer;
clk0_mult: in integer; clk1_mult: in integer;
clk2_mult: in integer; clk3_mult: in integer;
clk4_mult: in integer; clk5_mult: in integer;
clk6_mult: in integer; clk7_mult: in integer;
clk8_mult: in integer; clk9_mult: in integer;
clk0_div : in integer; clk1_div : in integer;
clk2_div : in integer; clk3_div : in integer;
clk4_div : in integer; clk5_div : in integer;
clk6_div : in integer; clk7_div : in integer;
clk8_div : in integer; clk9_div : in integer;
clk0_used : in string; clk1_used : in string;
clk2_used : in string; clk3_used : in string;
clk4_used : in string; clk5_used : in string;
clk6_used : in string; clk7_used : in string;
clk8_used : in string; clk9_used : in string;
m : out integer;
n : out integer ) is
constant MAX_M : integer := 511;
constant MAX_N : integer := 511;
constant MAX_PFD : integer := 720;
constant MIN_PFD : integer := 5;
constant MAX_VCO : integer := 1300;
constant MIN_VCO : integer := 300;
constant MAX_OFFSET : real := 0.004;
variable vco_period : integer;
variable pfd_freq : integer;
variable vco_freq : integer;
variable vco_ps_step_value : integer;
variable i_m : integer;
variable i_n : integer;
variable i_pre_m : integer;
variable i_pre_n : integer;
variable closest_vco_step_value : integer;
variable i_max_iter : integer;
variable loop_iter : integer;
variable clk0_div_factor_real : real;
variable clk1_div_factor_real : real;
variable clk2_div_factor_real : real;
variable clk3_div_factor_real : real;
variable clk4_div_factor_real : real;
variable clk5_div_factor_real : real;
variable clk6_div_factor_real : real;
variable clk7_div_factor_real : real;
variable clk8_div_factor_real : real;
variable clk9_div_factor_real : real;
variable clk0_div_factor_int : integer;
variable clk1_div_factor_int : integer;
variable clk2_div_factor_int : integer;
variable clk3_div_factor_int : integer;
variable clk4_div_factor_int : integer;
variable clk5_div_factor_int : integer;
variable clk6_div_factor_int : integer;
variable clk7_div_factor_int : integer;
variable clk8_div_factor_int : integer;
variable clk9_div_factor_int : integer;
begin
vco_period := vco_phase_shift_step * 8;
i_pre_m := 0;
i_pre_n := 0;
closest_vco_step_value := 0;
LOOP_1 : for i_n_out in 1 to MAX_N loop
for i_m_out in 1 to MAX_M loop
clk0_div_factor_real := real(clk0_div * i_m_out) / real(clk0_mult * i_n_out);
clk1_div_factor_real := real(clk1_div * i_m_out) / real(clk1_mult * i_n_out);
clk2_div_factor_real := real(clk2_div * i_m_out) / real(clk2_mult * i_n_out);
clk3_div_factor_real := real(clk3_div * i_m_out) / real(clk3_mult * i_n_out);
clk4_div_factor_real := real(clk4_div * i_m_out) / real(clk4_mult * i_n_out);
clk5_div_factor_real := real(clk5_div * i_m_out) / real(clk5_mult * i_n_out);
clk6_div_factor_real := real(clk6_div * i_m_out) / real(clk6_mult * i_n_out);
clk7_div_factor_real := real(clk7_div * i_m_out) / real(clk7_mult * i_n_out);
clk8_div_factor_real := real(clk8_div * i_m_out) / real(clk8_mult * i_n_out);
clk9_div_factor_real := real(clk9_div * i_m_out) / real(clk9_mult * i_n_out);
clk0_div_factor_int := integer(clk0_div_factor_real);
clk1_div_factor_int := integer(clk1_div_factor_real);
clk2_div_factor_int := integer(clk2_div_factor_real);
clk3_div_factor_int := integer(clk3_div_factor_real);
clk4_div_factor_int := integer(clk4_div_factor_real);
clk5_div_factor_int := integer(clk5_div_factor_real);
clk6_div_factor_int := integer(clk6_div_factor_real);
clk7_div_factor_int := integer(clk7_div_factor_real);
clk8_div_factor_int := integer(clk8_div_factor_real);
clk9_div_factor_int := integer(clk9_div_factor_real);
if (((abs(clk0_div_factor_real - real(clk0_div_factor_int)) < MAX_OFFSET) or (clk0_used = "unused")) and
((abs(clk1_div_factor_real - real(clk1_div_factor_int)) < MAX_OFFSET) or (clk1_used = "unused")) and
((abs(clk2_div_factor_real - real(clk2_div_factor_int)) < MAX_OFFSET) or (clk2_used = "unused")) and
((abs(clk3_div_factor_real - real(clk3_div_factor_int)) < MAX_OFFSET) or (clk3_used = "unused")) and
((abs(clk4_div_factor_real - real(clk4_div_factor_int)) < MAX_OFFSET) or (clk4_used = "unused")) and
((abs(clk5_div_factor_real - real(clk5_div_factor_int)) < MAX_OFFSET) or (clk5_used = "unused")) and
((abs(clk6_div_factor_real - real(clk6_div_factor_int)) < MAX_OFFSET) or (clk6_used = "unused")) and
((abs(clk7_div_factor_real - real(clk7_div_factor_int)) < MAX_OFFSET) or (clk7_used = "unused")) and
((abs(clk8_div_factor_real - real(clk8_div_factor_int)) < MAX_OFFSET) or (clk8_used = "unused")) and
((abs(clk9_div_factor_real - real(clk9_div_factor_int)) < MAX_OFFSET) or (clk9_used = "unused")) )
then
if ((i_m_out /= 0) and (i_n_out /= 0))
then
pfd_freq := 1000000 / (inclock_period * i_n_out);
vco_freq := (1000000 * i_m_out) / (inclock_period * i_n_out);
vco_ps_step_value := (inclock_period * i_n_out) / (8 * i_m_out);
if ( (i_m_out < max_m) and (i_n_out < max_n) and (pfd_freq >= min_pfd) and (pfd_freq <= max_pfd) and
(vco_freq >= min_vco) and (vco_freq <= max_vco) )
then
if (abs(vco_ps_step_value - vco_phase_shift_step) <= 2)
then
i_pre_m := i_m_out;
i_pre_n := i_n_out;
exit LOOP_1;
else
if (abs(vco_ps_step_value - vco_phase_shift_step) < abs(closest_vco_step_value - vco_phase_shift_step))
then
i_pre_m := i_m_out;
i_pre_n := i_n_out;
closest_vco_step_value := vco_ps_step_value;
end if;
end if;
end if;
end if;
end if;
end loop;
end loop;
if ((i_pre_m /= 0) and (i_pre_n /= 0))
then
find_simple_integer_fraction(i_pre_m, i_pre_n,
MAX_N, m, n);
else
n := 1;
m := lcm (clk0_mult, clk1_mult, clk2_mult, clk3_mult,
clk4_mult, clk5_mult, clk6_mult,
clk7_mult, clk8_mult, clk9_mult, inclock_period);
end if;
end find_m_and_n_4_manual_phase;
-- find the greatest common denominator of X and Y
function gcd (X: integer; Y: integer) return integer is
variable L, S, R, G : integer := 1;
begin
if (X < Y) then -- find which is smaller.
S := X;
L := Y;
else
S := Y;
L := X;
end if;
R := S;
while ( R > 1) loop
S := L;
L := R;
R := S rem L; -- divide bigger number by smaller.
-- remainder becomes smaller number.
end loop;
if (R = 0) then -- if evenly divisible then L is gcd else it is 1.
G := L;
else
G := R;
end if;
return G;
end gcd;
-- count the number of digits in the given integer
function count_digit (X: integer)
return integer is
variable count, result: integer := 0;
begin
result := X;
while (result /= 0) loop
result := (result / 10);
count := count + 1;
end loop;
return count;
end count_digit;
-- reduce the given huge number to Y significant digits
function scale_num (X: integer; Y: integer)
return integer is
variable count : integer := 0;
variable lc, fac_ten, result: integer := 1;
begin
count := count_digit(X);
for lc in 1 to (count-Y) loop
fac_ten := fac_ten * 10;
end loop;
result := (X / fac_ten);
return result;
end scale_num;
-- find the least common multiple of A1 to A10
function lcm (A1: integer; A2: integer; A3: integer; A4: integer;
A5: integer; A6: integer; A7: integer;
A8: integer; A9: integer; A10: integer; P: integer)
return integer is
variable M1, M2, M3, M4, M5 , M6, M7, M8, M9, R: integer := 1;
begin
M1 := (A1 * A2)/gcd(A1, A2);
M2 := (M1 * A3)/gcd(M1, A3);
M3 := (M2 * A4)/gcd(M2, A4);
M4 := (M3 * A5)/gcd(M3, A5);
M5 := (M4 * A6)/gcd(M4, A6);
M6 := (M5 * A7)/gcd(M5, A7);
M7 := (M6 * A8)/gcd(M6, A8);
M8 := (M7 * A9)/gcd(M7, A9);
M9 := (M8 * A10)/gcd(M8, A10);
if (M9 < 3) then
R := 10;
elsif (M9 = 3) then
R := 9;
elsif ((M9 <= 10) and (M9 > 3)) then
R := 4 * M9;
elsif (M9 > 1000) then
R := scale_num(M9,3);
else
R := M9 ;
end if;
return R;
end lcm;
-- find the factor of division of the output clock frequency compared to the VCO
function output_counter_value (clk_divide: integer; clk_mult: integer ;
M: integer; N: integer ) return integer is
variable r_real : real := 1.0;
variable r: integer := 1;
begin
r_real := real(clk_divide * M)/ real(clk_mult * N);
r := integer(r_real);
return R;
end output_counter_value;
-- find the mode of each PLL counter - bypass, even or odd
function counter_mode (duty_cycle: integer; output_counter_value: integer)
return string is
variable R: string (1 to 6) := " ";
variable counter_value: integer := 1;
begin
counter_value := (2*duty_cycle*output_counter_value)/100;
if output_counter_value = 1 then
R := "bypass";
elsif (counter_value REM 2) = 0 then
R := " even";
else
R := " odd";
end if;
return R;
end counter_mode;
-- find the number of VCO clock cycles to hold the output clock high
function counter_high (output_counter_value: integer := 1; duty_cycle: integer)
return integer is
variable R: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value *2)/100 ;
if (half_cycle_high REM 2 = 0) then
R := half_cycle_high/2 ;
else
R := (half_cycle_high/2) + 1;
end if;
return R;
end;
-- find the number of VCO clock cycles to hold the output clock low
function counter_low (output_counter_value: integer; duty_cycle: integer)
return integer is
variable R, R1: integer := 1;
variable half_cycle_high : integer := 1;
begin
half_cycle_high := (duty_cycle * output_counter_value*2)/100 ;
if (half_cycle_high REM 2 = 0) then
R1 := half_cycle_high/2 ;
else
R1 := (half_cycle_high/2) + 1;
end if;
R := output_counter_value - R1;
if (R = 0) then
R := 1;
end if;
return R;
end;
-- find the smallest time delay amongst t1 to t10
function mintimedelay (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 > 0) then return m9; else return 0; end if;
end;
-- find the numerically largest negative number, and return its absolute value
function maxnegabs (t1: integer; t2: integer; t3: integer; t4: integer;
t5: integer; t6: integer; t7: integer; t8: integer;
t9: integer; t10: integer) return integer is
variable m1,m2,m3,m4,m5,m6,m7,m8,m9 : integer := 0;
begin
if (t1 < t2) then m1 := t1; else m1 := t2; end if;
if (m1 < t3) then m2 := m1; else m2 := t3; end if;
if (m2 < t4) then m3 := m2; else m3 := t4; end if;
if (m3 < t5) then m4 := m3; else m4 := t5; end if;
if (m4 < t6) then m5 := m4; else m5 := t6; end if;
if (m5 < t7) then m6 := m5; else m6 := t7; end if;
if (m6 < t8) then m7 := m6; else m7 := t8; end if;
if (m7 < t9) then m8 := m7; else m8 := t9; end if;
if (m8 < t10) then m9 := m8; else m9 := t10; end if;
if (m9 < 0) then return (0 - m9); else return 0; end if;
end;
-- adjust the phase (tap_phase) with the largest negative number (ph_base)
function ph_adjust (tap_phase: integer; ph_base : integer) return integer is
begin
return (tap_phase + ph_base);
end;
-- find the time delay for each PLL counter
function counter_time_delay (clk_time_delay: integer;
m_time_delay: integer; n_time_delay: integer)
return integer is
variable R: integer := 0;
begin
R := clk_time_delay + m_time_delay - n_time_delay;
return R;
end;
-- calculate the given phase shift (in ps) in terms of degrees
function get_phase_degree (phase_shift: integer; clk_period: integer)
return integer is
variable result: integer := 0;
begin
result := ( phase_shift * 360 ) / clk_period;
-- to round up the calculation result
if (result > 0) then
result := result + 1;
elsif (result < 0) then
result := result - 1;
else
result := 0;
end if;
return result;
end;
-- find the number of VCO clock cycles to wait initially before the first rising
-- edge of the output clock
function counter_initial (tap_phase: integer; m: integer; n: integer)
return integer is
variable R: integer;
variable R1: real;
begin
R1 := (real(abs(tap_phase)) * real(m))/(360.0 * real(n)) + 0.6;
-- Note NCSim VHDL had problem in rounding up for 0.5 - 0.99.
-- This checking will ensure that the rounding up is done.
if (R1 >= 0.5) and (R1 <= 1.0) then
R1 := 1.0;
end if;
R := integer(R1);
return R;
end;
-- find which VCO phase tap (0 to 7) to align the rising edge of the output clock to
function counter_ph (tap_phase: integer; m: integer; n: integer) return integer is
variable R: integer := 0;
begin
-- 0.5 is added for proper rounding of the tap_phase.
R := integer(real(integer(real(tap_phase * m / n)+ 0.5) REM 360)/45.0) rem 8;
return R;
end;
-- convert given string to length 6 by padding with spaces
function translate_string (mode : string) return string is
variable new_mode : string (1 to 6) := " ";
begin
if (mode = "bypass") then
new_mode := "bypass";
elsif (mode = "even") then
new_mode := " even";
elsif (mode = "odd") then
new_mode := " odd";
end if;
return new_mode;
end;
function str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "i n string parameter! "
SEVERITY ERROR;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
ASSERT FALSE
REPORT "Illegal Character "& s(i) & "in string parameter! "
SEVERITY ERROR;
end case;
newdigit := newdigit * 10 + digit;
end loop;
return (sign*newdigit);
end;
function dqs_str2int (s : string) return integer is
variable len : integer := s'length;
variable newdigit : integer := 0;
variable sign : integer := 1;
variable digit : integer := 0;
variable err : boolean := false;
begin
for i in 1 to len loop
case s(i) is
when '-' =>
if i = 1 then
sign := -1;
else
ASSERT FALSE
REPORT "Illegal Character "& s(i) & " in string parameter! "
SEVERITY ERROR;
err := true;
end if;
when '0' =>
digit := 0;
when '1' =>
digit := 1;
when '2' =>
digit := 2;
when '3' =>
digit := 3;
when '4' =>
digit := 4;
when '5' =>
digit := 5;
when '6' =>
digit := 6;
when '7' =>
digit := 7;
when '8' =>
digit := 8;
when '9' =>
digit := 9;
when others =>
-- set error flag
err := true;
end case;
if (err) then
err := false;
else
newdigit := newdigit * 10 + digit;
end if;
end loop;
return (sign*newdigit);
end;
end stratixiii_pllpack;
--
--
-- DFFE Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_dffe is
generic(
TimingChecksOn: Boolean := True;
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
port(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC;
CLRN : in STD_LOGIC;
PRN : in STD_LOGIC;
CLK : in STD_LOGIC;
ENA : in STD_LOGIC);
attribute VITAL_LEVEL0 of stratixiii_dffe : entity is TRUE;
end stratixiii_dffe;
-- architecture body --
architecture behave of stratixiii_dffe is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal D_ipd : STD_ULOGIC := 'U';
signal CLRN_ipd : STD_ULOGIC := 'U';
signal PRN_ipd : STD_ULOGIC := 'U';
signal CLK_ipd : STD_ULOGIC := 'U';
signal ENA_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (D_ipd, D, tipd_D);
VitalWireDelay (CLRN_ipd, CLRN, tipd_CLRN);
VitalWireDelay (PRN_ipd, PRN, tipd_PRN);
VitalWireDelay (CLK_ipd, CLK, tipd_CLK);
VitalWireDelay (ENA_ipd, ENA, tipd_ENA);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (D_ipd, CLRN_ipd, PRN_ipd, CLK_ipd, ENA_ipd)
-- timing check results
VARIABLE Tviol_D_CLK : STD_ULOGIC := '0';
VARIABLE Tviol_ENA_CLK : STD_ULOGIC := '0';
VARIABLE TimingData_D_CLK : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_ENA_CLK : VitalTimingDataType := VitalTimingDataInit;
-- functionality results
VARIABLE Violation : STD_ULOGIC := '0';
VARIABLE PrevData_Q : STD_LOGIC_VECTOR(0 to 7);
VARIABLE D_delayed : STD_ULOGIC := 'U';
VARIABLE CLK_delayed : STD_ULOGIC := 'U';
VARIABLE ENA_delayed : STD_ULOGIC := 'U';
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => '0');
-- output glitch detection variables
VARIABLE Q_VitalGlitchData : VitalGlitchDataType;
CONSTANT dffe_Q_tab : VitalStateTableType := (
( L, L, x, x, x, x, x, x, x, L ),
( L, H, L, H, H, x, x, H, x, H ),
( L, H, L, H, x, L, x, H, x, H ),
( L, H, L, x, H, H, x, H, x, H ),
( L, H, H, x, x, x, H, x, x, S ),
( L, H, x, x, x, x, L, x, x, H ),
( L, H, x, x, x, x, H, L, x, S ),
( L, x, L, L, L, x, H, H, x, L ),
( L, x, L, L, x, L, H, H, x, L ),
( L, x, L, x, L, H, H, H, x, L ),
( L, x, x, x, x, x, x, x, x, S ));
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_D_CLK,
TimingData => TimingData_D_CLK,
TestSignal => D_ipd,
TestSignalName => "D",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_D_CLK_noedge_posedge,
SetupLow => tsetup_D_CLK_noedge_posedge,
HoldHigh => thold_D_CLK_noedge_posedge,
HoldLow => thold_D_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) OR ( (NOT ENA_ipd) )) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ENA_CLK,
TimingData => TimingData_ENA_CLK,
TestSignal => ENA_ipd,
TestSignalName => "ENA",
RefSignal => CLK_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ENA_CLK_noedge_posedge,
SetupLow => tsetup_ENA_CLK_noedge_posedge,
HoldHigh => thold_ENA_CLK_noedge_posedge,
HoldLow => thold_ENA_CLK_noedge_posedge,
CheckEnabled => TO_X01(( (NOT PRN_ipd) ) OR ( (NOT CLRN_ipd) ) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/DFFE",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
-------------------------
-- Functionality Section
-------------------------
Violation := Tviol_D_CLK or Tviol_ENA_CLK;
VitalStateTable(
StateTable => dffe_Q_tab,
DataIn => (
Violation, CLRN_ipd, CLK_delayed, Results(1), D_delayed, ENA_delayed, PRN_ipd, CLK_ipd),
Result => Results,
NumStates => 1,
PreviousDataIn => PrevData_Q);
D_delayed := D_ipd;
CLK_delayed := CLK_ipd;
ENA_delayed := ENA_ipd;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Q,
OutSignalName => "Q",
OutTemp => Results(1),
Paths => ( 0 => (PRN_ipd'last_event, tpd_PRN_Q_negedge, TRUE),
1 => (CLRN_ipd'last_event, tpd_CLRN_Q_negedge, TRUE),
2 => (CLK_ipd'last_event, tpd_CLK_Q_posedge, TRUE)),
GlitchData => Q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--
--
-- stratixiii_mux21 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_mux21 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic);
attribute VITAL_LEVEL0 of stratixiii_mux21 : entity is TRUE;
end stratixiii_mux21;
architecture AltVITAL of stratixiii_mux21 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal A_ipd, B_ipd, S_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (A_ipd, A, tipd_A);
VitalWireDelay (B_ipd, B, tipd_B);
VitalWireDelay (S_ipd, S, tipd_S);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (A_ipd, B_ipd, S_ipd)
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if (S_ipd = '1') then
tmp_MO := B_ipd;
else
tmp_MO := A_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (A_ipd'last_event, tpd_A_MO, TRUE),
1 => (B_ipd'last_event, tpd_B_MO, TRUE),
2 => (S_ipd'last_event, tpd_S_MO, TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- stratixiii_mux41 Model
--
--
LIBRARY IEEE;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_mux41 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN0_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN1_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN2_MO : VitalDelayType01 := DefPropDelay01;
tpd_IN3_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_IN0 : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01;
tipd_IN3 : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
IN0 : in std_logic := '0';
IN1 : in std_logic := '0';
IN2 : in std_logic := '0';
IN3 : in std_logic := '0';
S : in std_logic_vector(1 downto 0) := (OTHERS => '0');
MO : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_mux41 : entity is TRUE;
end stratixiii_mux41;
architecture AltVITAL of stratixiii_mux41 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
signal IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd : std_logic;
signal S_ipd : std_logic_vector(1 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN0_ipd, IN0, tipd_IN0);
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
VitalWireDelay (IN2_ipd, IN2, tipd_IN2);
VitalWireDelay (IN3_ipd, IN3, tipd_IN3);
VitalWireDelay (S_ipd(0), S(0), tipd_S(0));
VitalWireDelay (S_ipd(1), S(1), tipd_S(1));
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN0_ipd, IN1_ipd, IN2_ipd, IN3_ipd, S_ipd(0), S_ipd(1))
-- output glitch detection variables
VARIABLE MO_GlitchData : VitalGlitchDataType;
variable tmp_MO : std_logic;
begin
-------------------------
-- Functionality Section
-------------------------
if ((S_ipd(1) = '1') AND (S_ipd(0) = '1')) then
tmp_MO := IN3_ipd;
elsif ((S_ipd(1) = '1') AND (S_ipd(0) = '0')) then
tmp_MO := IN2_ipd;
elsif ((S_ipd(1) = '0') AND (S_ipd(0) = '1')) then
tmp_MO := IN1_ipd;
else
tmp_MO := IN0_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => MO,
OutSignalName => "MO",
OutTemp => tmp_MO,
Paths => ( 0 => (IN0_ipd'last_event, tpd_IN0_MO, TRUE),
1 => (IN1_ipd'last_event, tpd_IN1_MO, TRUE),
2 => (IN2_ipd'last_event, tpd_IN2_MO, TRUE),
3 => (IN3_ipd'last_event, tpd_IN3_MO, TRUE),
4 => (S_ipd(0)'last_event, tpd_S_MO(0), TRUE),
5 => (S_ipd(1)'last_event, tpd_S_MO(1), TRUE)),
GlitchData => MO_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--
--
-- stratixiii_and1 Model
--
--
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixiii_atom_pack.all;
-- entity declaration --
entity stratixiii_and1 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC);
attribute VITAL_LEVEL0 of stratixiii_and1 : entity is TRUE;
end stratixiii_and1;
-- architecture body --
architecture AltVITAL of stratixiii_and1 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
SIGNAL IN1_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN1_ipd)
-- functionality results
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => 'X');
ALIAS Y_zd : STD_ULOGIC is Results(1);
-- output glitch detection variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
begin
-------------------------
-- Functionality Section
-------------------------
Y_zd := TO_X01(IN1_ipd);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => (0 => (IN1_ipd'last_event, tpd_IN1_Y, TRUE)),
GlitchData => Y_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
-------------------------------------------------------------------
--
-- Entity Name : stratixiii_jtag
--
-- Description : Stratix JTAG VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_jtag is
generic (
lpm_type : string := "stratixiii_jtag"
);
port (
tms : in std_logic;
tck : in std_logic;
tdi : in std_logic;
ntrst : in std_logic;
tdoutap : in std_logic;
tdouser : in std_logic;
tdo: out std_logic;
tmsutap: out std_logic;
tckutap: out std_logic;
tdiutap: out std_logic;
shiftuser: out std_logic;
clkdruser: out std_logic;
updateuser: out std_logic;
runidleuser: out std_logic;
usr1user: out std_logic
);
end stratixiii_jtag;
architecture architecture_jtag of stratixiii_jtag is
begin
end architecture_jtag;
-------------------------------------------------------------------
--
-- Entity Name : stratixiii_crcblock
--
-- Description : Stratix CRCBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_crcblock is
generic (
oscillator_divider : integer := 1;
crc_deld_disable : string := "off";
error_delay : integer := 0 ;
error_dra_dl_bypass : string := "off";
lpm_type : string := "stratixiii_crcblock"
);
port (
clk : in std_logic;
shiftnld : in std_logic;
crcerror : out std_logic;
regout : out std_logic
);
end stratixiii_crcblock;
architecture architecture_crcblock of stratixiii_crcblock is
begin
end architecture_crcblock;
---------------------------------------------------------------------
--
-- Entity Name : stratixiii_lcell_comb
--
-- Description : Stratix III LCELL_COMB VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_lcell_comb is
generic (
lut_mask : std_logic_vector(63 downto 0) := (OTHERS => '1');
shared_arith : string := "off";
extended_lut : string := "off";
dont_touch : string := "off";
lpm_type : string := "stratixiii_lcell_comb";
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*";
tpd_dataa_combout : VitalDelayType01 := DefPropDelay01;
tpd_datab_combout : VitalDelayType01 := DefPropDelay01;
tpd_datac_combout : VitalDelayType01 := DefPropDelay01;
tpd_datad_combout : VitalDelayType01 := DefPropDelay01;
tpd_datae_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_combout : VitalDelayType01 := DefPropDelay01;
tpd_datag_combout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datab_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datac_sumout : VitalDelayType01 := DefPropDelay01;
tpd_datad_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_sumout : VitalDelayType01 := DefPropDelay01;
tpd_cin_sumout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_sumout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_cout : VitalDelayType01 := DefPropDelay01;
tpd_datab_cout : VitalDelayType01 := DefPropDelay01;
tpd_datac_cout : VitalDelayType01 := DefPropDelay01;
tpd_datad_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataf_cout : VitalDelayType01 := DefPropDelay01;
tpd_cin_cout : VitalDelayType01 := DefPropDelay01;
tpd_sharein_cout : VitalDelayType01 := DefPropDelay01;
tpd_dataa_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datab_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datac_shareout : VitalDelayType01 := DefPropDelay01;
tpd_datad_shareout : VitalDelayType01 := DefPropDelay01;
tipd_dataa : VitalDelayType01 := DefPropDelay01;
tipd_datab : VitalDelayType01 := DefPropDelay01;
tipd_datac : VitalDelayType01 := DefPropDelay01;
tipd_datad : VitalDelayType01 := DefPropDelay01;
tipd_datae : VitalDelayType01 := DefPropDelay01;
tipd_dataf : VitalDelayType01 := DefPropDelay01;
tipd_datag : VitalDelayType01 := DefPropDelay01;
tipd_cin : VitalDelayType01 := DefPropDelay01;
tipd_sharein : VitalDelayType01 := DefPropDelay01
);
port (
dataa : in std_logic := '0';
datab : in std_logic := '0';
datac : in std_logic := '0';
datad : in std_logic := '0';
datae : in std_logic := '0';
dataf : in std_logic := '0';
datag : in std_logic := '0';
cin : in std_logic := '0';
sharein : in std_logic := '0';
combout : out std_logic;
sumout : out std_logic;
cout : out std_logic;
shareout : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_lcell_comb : entity is TRUE;
end stratixiii_lcell_comb;
architecture vital_lcell_comb of stratixiii_lcell_comb is
attribute VITAL_LEVEL0 of vital_lcell_comb : architecture is TRUE;
signal dataa_ipd : std_logic;
signal datab_ipd : std_logic;
signal datac_ipd : std_logic;
signal datad_ipd : std_logic;
signal datae_ipd : std_logic;
signal dataf_ipd : std_logic;
signal datag_ipd : std_logic;
signal cin_ipd : std_logic;
signal sharein_ipd : std_logic;
signal f2_input3 : std_logic;
-- sub masks
signal f0_mask : std_logic_vector(15 downto 0);
signal f1_mask : std_logic_vector(15 downto 0);
signal f2_mask : std_logic_vector(15 downto 0);
signal f3_mask : std_logic_vector(15 downto 0);
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (dataa_ipd, dataa, tipd_dataa);
VitalWireDelay (datab_ipd, datab, tipd_datab);
VitalWireDelay (datac_ipd, datac, tipd_datac);
VitalWireDelay (datad_ipd, datad, tipd_datad);
VitalWireDelay (datae_ipd, datae, tipd_datae);
VitalWireDelay (dataf_ipd, dataf, tipd_dataf);
VitalWireDelay (datag_ipd, datag, tipd_datag);
VitalWireDelay (cin_ipd, cin, tipd_cin);
VitalWireDelay (sharein_ipd, sharein, tipd_sharein);
end block;
f0_mask <= lut_mask(15 downto 0);
f1_mask <= lut_mask(31 downto 16);
f2_mask <= lut_mask(47 downto 32);
f3_mask <= lut_mask(63 downto 48);
f2_input3 <= datag_ipd WHEN (extended_lut = "on") ELSE datac_ipd;
VITALtiming : process(dataa_ipd, datab_ipd, datac_ipd, datad_ipd,
datae_ipd, dataf_ipd, f2_input3, cin_ipd,
sharein_ipd)
variable combout_VitalGlitchData : VitalGlitchDataType;
variable sumout_VitalGlitchData : VitalGlitchDataType;
variable cout_VitalGlitchData : VitalGlitchDataType;
variable shareout_VitalGlitchData : VitalGlitchDataType;
-- sub lut outputs
variable f0_out : std_logic;
variable f1_out : std_logic;
variable f2_out : std_logic;
variable f3_out : std_logic;
-- muxed output
variable g0_out : std_logic;
variable g1_out : std_logic;
-- internal variables
variable f2_f : std_logic;
variable adder_input2 : std_logic;
-- output variables
variable combout_tmp : std_logic;
variable sumout_tmp : std_logic;
variable cout_tmp : std_logic;
-- temp variable for NCVHDL
variable lut_mask_var : std_logic_vector(63 downto 0) := (OTHERS => '1');
begin
lut_mask_var := lut_mask;
------------------------
-- Timing Check Section
------------------------
f0_out := VitalMUX(data => f0_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f1_out := VitalMUX(data => f1_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
f2_out := VitalMUX(data => f2_mask,
dselect => (datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
f3_out := VitalMUX(data => f3_mask,
dselect => (datad_ipd,
f2_input3,
datab_ipd,
dataa_ipd));
-- combout
if (extended_lut = "on") then
if (datae_ipd = '0') then
g0_out := f0_out;
g1_out := f2_out;
elsif (datae_ipd = '1') then
g0_out := f1_out;
g1_out := f3_out;
else
g0_out := 'X';
g1_out := 'X';
end if;
if (dataf_ipd = '0') then
combout_tmp := g0_out;
elsif ((dataf_ipd = '1') or (g0_out = g1_out))then
combout_tmp := g1_out;
else
combout_tmp := 'X';
end if;
else
combout_tmp := VitalMUX(data => lut_mask_var,
dselect => (dataf_ipd,
datae_ipd,
datad_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
end if;
-- sumout and cout
f2_f := VitalMUX(data => f2_mask,
dselect => (dataf_ipd,
datac_ipd,
datab_ipd,
dataa_ipd));
if (shared_arith = "on") then
adder_input2 := sharein_ipd;
else
adder_input2 := NOT f2_f;
end if;
sumout_tmp := cin_ipd XOR f0_out XOR adder_input2;
cout_tmp := (cin_ipd AND f0_out) OR (cin_ipd AND adder_input2) OR
(f0_out AND adder_input2);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => combout,
OutSignalName => "COMBOUT",
OutTemp => combout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_combout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_combout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_combout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_combout, TRUE),
4 => (datae_ipd'last_event, tpd_datae_combout, TRUE),
5 => (dataf_ipd'last_event, tpd_dataf_combout, TRUE),
6 => (datag_ipd'last_event, tpd_datag_combout, TRUE)),
GlitchData => combout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => sumout,
OutSignalName => "SUMOUT",
OutTemp => sumout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_sumout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_sumout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_sumout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_sumout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_sumout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_sumout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_sumout, TRUE)),
GlitchData => sumout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => cout,
OutSignalName => "COUT",
OutTemp => cout_tmp,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_cout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_cout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_cout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_cout, TRUE),
4 => (dataf_ipd'last_event, tpd_dataf_cout, TRUE),
5 => (cin_ipd'last_event, tpd_cin_cout, TRUE),
6 => (sharein_ipd'last_event, tpd_sharein_cout, TRUE)),
GlitchData => cout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => shareout,
OutSignalName => "SHAREOUT",
OutTemp => f2_out,
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_shareout, TRUE),
1 => (datab_ipd'last_event, tpd_datab_shareout, TRUE),
2 => (datac_ipd'last_event, tpd_datac_shareout, TRUE),
3 => (datad_ipd'last_event, tpd_datad_shareout, TRUE)),
GlitchData => shareout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_comb;
---------------------------------------------------------------------
--
-- Entity Name : stratixiii_routing_wire
--
-- Description : Stratix III Routing Wire VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_routing_wire is
generic (
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_datainglitch_dataout : VitalDelayType01 := DefPropDelay01;
tipd_datain : VitalDelayType01 := DefPropDelay01
);
PORT (
datain : in std_logic;
dataout : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_routing_wire : entity is TRUE;
end stratixiii_routing_wire;
ARCHITECTURE behave of stratixiii_routing_wire is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal datain_ipd : std_logic;
signal datainglitch_inert : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process(datain_ipd, datainglitch_inert)
variable datain_inert_VitalGlitchData : VitalGlitchDataType;
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => datainglitch_inert,
OutSignalName => "datainglitch_inert",
OutTemp => datain_ipd,
Paths => (1 => (datain_ipd'last_event, tpd_datainglitch_dataout, TRUE)),
GlitchData => datain_inert_VitalGlitchData,
Mode => VitalInertial,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => datainglitch_inert,
Paths => (1 => (datain_ipd'last_event, tpd_datain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_lvds_tx_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_lvds_tx_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic;
d : IN std_logic;
clrn : IN std_logic;
prn : IN std_logic
);
attribute VITAL_LEVEL0 of stratixiii_lvds_tx_reg : ENTITY is TRUE;
END stratixiii_lvds_tx_reg;
ARCHITECTURE vital_titan_lvds_tx_reg of stratixiii_lvds_tx_reg is
attribute VITAL_LEVEL0 of vital_titan_lvds_tx_reg : architecture is TRUE;
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d_ipd,
TestSignalName => "d",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT ena_ipd) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_lvds_tx_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_titan_lvds_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixiii_lvds_tx_parallel_register
--
-- Description : Register for the 10 data input channels of the Stratix III
-- LVDS Tx
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE std.textio.all;
ENTITY stratixiii_lvds_tx_parallel_register is
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END stratixiii_lvds_tx_parallel_register;
ARCHITECTURE vital_tx_reg of stratixiii_lvds_tx_parallel_register is
signal clk_ipd : std_logic;
signal enable_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, enable_ipd, datain_ipd, devpor, devclrn)
variable Tviol_datain_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable i : integer := 0;
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (now = 0 ns) then
dataout_tmp := (OTHERS => '0');
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_lvds_tx_parallel_register",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay(
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
end vital_tx_reg;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixiii_lvds_tx_out_block
--
-- Description : Negative-edge triggered register on the Tx output.
-- Also, optionally generates an identical/inverted output clock
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE std.textio.all;
ENTITY stratixiii_lvds_tx_out_block is
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END stratixiii_lvds_tx_out_block;
ARCHITECTURE vital_tx_out_block of stratixiii_lvds_tx_out_block is
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
signal inv_clk : integer;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, datain_ipd, devpor, devclrn)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable dataout_tmp : std_logic;
begin
if (now = 0 ns) then
dataout_tmp := '0';
else
if (bypass_serializer = "false") then
if (use_falling_clock_edge = "false") then
dataout_tmp := datain_ipd;
end if;
if (clk_ipd'event and clk_ipd = '0') then
if (use_falling_clock_edge = "true") then
dataout_tmp := datain_ipd;
end if;
end if;
else
if (invert_clock = "false") then
dataout_tmp := clk_ipd;
else
dataout_tmp := NOT (clk_ipd);
end if;
if (invert_clock = "false") then
inv_clk <= 0;
else
inv_clk <= 1;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
if (bypass_serializer = "false") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (datain_ipd'last_event, tpd_datain_dataout, TRUE),
1 => (clk_ipd'last_event, tpd_clk_dataout_negedge, use_falling_clock_edge = "true")),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
if (bypass_serializer = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_tx_out_block;
--////////////////////////////////////////////////////////////////////////////
--
-- Entity name : stratixiii_lvds_transmitter
--
-- Description : Timing simulation model for the Stratix III LVDS Tx WYSIWYG.
-- It instantiates the following sub-modules :
-- 1) primitive DFFE
-- 2) Stratix III_lvds_tx_parallel_register and
-- 3) Stratix III_lvds_tx_out_block
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE std.textio.all;
USE work.stratixiii_lvds_tx_parallel_register;
USE work.stratixiii_lvds_tx_out_block;
USE work.stratixiii_lvds_tx_reg;
ENTITY stratixiii_lvds_transmitter is
GENERIC ( channel_width : integer := 10;
bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
use_serial_data_input : String := "false";
use_post_dpa_serial_data_input : String := "false";
is_used_as_outclk : String := "false";
tx_output_path_delay_engineering_bits : Integer := -1;
enable_dpaclk_to_lvdsout : string := "off";
preemphasis_setting : integer := 0;
vod_setting : integer := 0;
differential_drive : integer := 0;
lpm_type : string := "stratixiii_lvds_transmitter";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk0_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk0_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tpd_serialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_dpaclkin_dataout : VitalDelayType01 := DefPropDelay01;
tpd_postdpaserialdatain_dataout : VitalDelayType01 := DefPropDelay01;
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tipd_serialdatain : VitalDelayType01 := DefpropDelay01;
tipd_dpaclkin : VitalDelayType01 := DefpropDelay01;
tipd_postdpaserialdatain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk0 : in std_logic;
enable0 : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
serialdatain : in std_logic := '0';
postdpaserialdatain : in std_logic := '0';
dpaclkin : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic;
serialfdbkout : out std_logic
);
end stratixiii_lvds_transmitter;
ARCHITECTURE vital_transmitter_atom of stratixiii_lvds_transmitter is
signal clk0_ipd : std_logic;
signal serialdatain_ipd : std_logic;
signal postdpaserialdatain_ipd : std_logic;
signal dpaclkin_ipd : std_logic;
signal input_data : std_logic_vector(channel_width - 1 downto 0);
signal txload0 : std_logic;
signal shift_out : std_logic;
signal clk0_dly0 : std_logic;
signal clk0_dly1 : std_logic;
signal clk0_dly2 : std_logic;
signal datain_dly : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly1 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly2 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly3 : std_logic_vector(channel_width - 1 downto 0);
signal datain_dly4 : std_logic_vector(channel_width - 1 downto 0);
signal vcc : std_logic := '1';
signal tmp_dataout : std_logic;
COMPONENT stratixiii_lvds_tx_parallel_register
GENERIC ( channel_width : integer := 10;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01)
);
PORT ( clk : in std_logic;
enable : in std_logic;
datain : in std_logic_vector(channel_width - 1 downto 0);
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic_vector(channel_width - 1 downto 0)
);
END COMPONENT;
COMPONENT stratixiii_lvds_tx_out_block
GENERIC ( bypass_serializer : String := "false";
invert_clock : String := "false";
use_falling_clock_edge : String := "false";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_negedge : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01
);
PORT ( clk : in std_logic;
datain : in std_logic;
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
dataout : out std_logic
);
END COMPONENT;
COMPONENT stratixiii_lvds_tx_reg
GENERIC (TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01
);
PORT ( q : out STD_LOGIC := '0';
d : in STD_LOGIC := '1';
clrn : in STD_LOGIC := '1';
prn : in STD_LOGIC := '1';
clk : in STD_LOGIC := '0';
ena : in STD_LOGIC := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (serialdatain_ipd, serialdatain, tipd_serialdatain);
VitalWireDelay (dpaclkin_ipd, dpaclkin, tipd_dpaclkin);
VitalWireDelay (postdpaserialdatain_ipd, postdpaserialdatain, tipd_postdpaserialdatain);
end block;
txload0_reg: stratixiii_lvds_tx_reg
PORT MAP (d => enable0,
clrn => vcc,
prn => vcc,
ena => vcc,
clk => clk0_dly2,
q => txload0
);
input_reg: stratixiii_lvds_tx_parallel_register
GENERIC MAP ( channel_width => channel_width)
PORT MAP ( clk => txload0,
enable => vcc,
datain => datain_dly,
dataout => input_data,
devclrn => devclrn,
devpor => devpor
);
output_module: stratixiii_lvds_tx_out_block
GENERIC MAP ( bypass_serializer => bypass_serializer,
use_falling_clock_edge => use_falling_clock_edge,
invert_clock => invert_clock)
PORT MAP ( clk => clk0_dly2,
datain => shift_out,
dataout => tmp_dataout,
devclrn => devclrn,
devpor => devpor
);
clk_delay: process (clk0_ipd, datain)
begin
clk0_dly0 <= clk0_ipd;
datain_dly1 <= datain;
end process;
clk_delay1: process (clk0_dly0, datain_dly1)
begin
clk0_dly1 <= clk0_dly0;
datain_dly2 <= datain_dly1;
end process;
clk_delay2: process (clk0_dly1, datain_dly2)
begin
clk0_dly2 <= clk0_dly1;
datain_dly3 <= datain_dly2;
end process;
data_delay: process (datain_dly3)
begin
datain_dly4 <= datain_dly3;
end process;
data_delay1: process (datain_dly4)
begin
datain_dly <= datain_dly4;
end process;
VITAL: process (clk0_ipd, devclrn, devpor)
variable dataout_VitalGlitchData : VitalGlitchDataType;
variable i : integer := 0;
variable shift_data : std_logic_vector(channel_width-1 downto 0);
begin
if (now = 0 ns) then
shift_data := (OTHERS => '0');
end if;
if ((devpor = '0') or (devclrn = '0')) then
shift_data := (OTHERS => '0');
else
if (bypass_serializer = "false") then
if (clk0_ipd'event and clk0_ipd = '1') then
if (txload0 = '1') then
shift_data := input_data;
end if;
shift_out <= shift_data(channel_width - 1);
for i in channel_width-1 downto 1 loop
shift_data(i) := shift_data(i - 1);
end loop;
end if;
end if;
end if;
end process;
process (serialdatain_ipd,
postdpaserialdatain_ipd,
dpaclkin_ipd,
tmp_dataout
)
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (serialdatain_ipd'event and use_serial_data_input = "true") then
dataout_tmp := serialdatain_ipd;
elsif (postdpaserialdatain_ipd'event and use_post_dpa_serial_data_input = "true") then
dataout_tmp := postdpaserialdatain_ipd;
elsif (dpaclkin_ipd'event and enable_dpaclk_to_lvdsout = "on") then
dataout_tmp := dpaclkin_ipd;
else
dataout_tmp := tmp_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
if (use_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (serialdatain_ipd'last_event, tpd_serialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
elsif (use_post_dpa_serial_data_input = "true") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (postdpaserialdatain_ipd'last_event, tpd_postdpaserialdatain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
elsif (enable_dpaclk_to_lvdsout = "on") then
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (dpaclkin_ipd'last_event, tpd_dpaclkin_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
else
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (0 => (tmp_dataout'last_event, DefPropDelay01, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end if;
end process;
end vital_transmitter_atom;
--
--
-- STRATIXIII_RUBLOCK Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_rublock is
generic
(
sim_init_config : string := "factory";
sim_init_watchdog_value : integer := 0;
sim_init_status : integer := 0;
lpm_type : string := "stratixiii_rublock"
);
port
(
clk : in std_logic;
shiftnld : in std_logic;
captnupdt : in std_logic;
regin : in std_logic;
rsttimer : in std_logic;
rconfig : in std_logic;
regout : out std_logic
);
end stratixiii_rublock;
architecture architecture_rublock of stratixiii_rublock is
begin
end architecture_rublock;
----------------------------------------------------------------------------
-- Module Name : stratixiii_ram_register
-- Description : Register module for RAM inputs/outputs
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_ram_register IS
GENERIC (
width : INTEGER := 1;
preset : STD_LOGIC := '0';
tipd_d : VitalDelayArrayType01(143 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_stall : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpw_ena_posedge : VitalDelayType := DefPulseWdthCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aclr_q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_stall_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_aclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END stratixiii_ram_register;
ARCHITECTURE reg_arch OF stratixiii_ram_register IS
SIGNAL d_ipd : STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
SIGNAL clk_ipd : STD_LOGIC;
SIGNAL ena_ipd : STD_LOGIC;
SIGNAL aclr_ipd : STD_LOGIC;
SIGNAL stall_ipd : STD_LOGIC;
BEGIN
WireDelay : BLOCK
BEGIN
loopbits : FOR i in d'RANGE GENERATE
VitalWireDelay (d_ipd(i), d(i), tipd_d(i));
END GENERATE;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (stall_ipd, stall, tipd_stall);
END BLOCK;
-- REMTITAN PROCESS (d_ipd,ena_ipd,clk_ipd,aclr_ipd,devclrn,devpor)
PROCESS (d_ipd,ena_ipd,stall_ipd,clk_ipd,aclr_ipd,devclrn,devpor)
VARIABLE Tviol_clk_ena : STD_ULOGIC := '0';
VARIABLE Tviol_clk_aclr : STD_ULOGIC := '0';
VARIABLE Tviol_data_clk : STD_ULOGIC := '0';
VARIABLE TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_stall : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_aclr : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_data_clk : VitalTimingDataType := VitalTimingDataInit;
VARIABLE Tviol_ena : STD_ULOGIC := '0';
VARIABLE PeriodData_ena : VitalPeriodDataType := VitalPeriodDataInit;
VARIABLE q_VitalGlitchDataArray : VitalGlitchDataArrayType(143 downto 0);
VARIABLE CQDelay : TIME := 0 ns;
VARIABLE q_reg : STD_LOGIC_VECTOR(width - 1 DOWNTO 0) := (OTHERS => preset);
BEGIN
IF (aclr_ipd = '1' OR devclrn = '0' OR devpor = '0') THEN
q_reg := (OTHERS => preset);
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1' AND stall_ipd = '0') THEN
q_reg := d_ipd;
END IF;
-- Timing checks
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_stall,
TestSignal => stall_ipd,
TestSignalName => "stall",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_stall_clk_noedge_posedge,
SetupLow => tsetup_stall_clk_noedge_posedge,
HoldHigh => thold_stall_clk_noedge_posedge,
HoldLow => thold_stall_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_aclr,
TimingData => TimingData_clk_aclr,
TestSignal => aclr_ipd,
TestSignalName => "aclr",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_aclr_clk_noedge_posedge,
SetupLow => tsetup_aclr_clk_noedge_posedge,
HoldHigh => thold_aclr_clk_noedge_posedge,
HoldLow => thold_aclr_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_data_clk,
TimingData => TimingData_data_clk,
TestSignal => d_ipd,
TestSignalName => "data",
RefSignal => clk_ipd,
RefSignalName => "clk",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => ((aclr_ipd) OR (NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/RAM Register VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalPeriodPulseCheck (
Violation => Tviol_ena,
PeriodData => PeriodData_ena,
TestSignal => ena_ipd,
TestSignalName => "ena",
PulseWidthHigh => tpw_ena_posedge,
HeaderMsg => "/RAM Register VitalPeriodPulseCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
-- Path Delay Selection
CQDelay := SelectDelay (
Paths => (
(0 => (clk_ipd'LAST_EVENT,tpd_clk_q_posedge,TRUE),
1 => (aclr_ipd'LAST_EVENT,tpd_aclr_q_posedge,TRUE))
)
);
q <= TRANSPORT q_reg AFTER CQDelay;
END PROCESS;
aclrout <= aclr_ipd;
END reg_arch;
----------------------------------------------------------------------------
-- Module Name : stratixiii_ram_pulse_generator
-- Description : Generate pulse to initiate memory read/write operations
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_ram_pulse_generator IS
GENERIC (
tipd_clk : VitalDelayType01 := (0.5 ns,0.5 ns);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tpd_clk_pulse_posedge : VitalDelayType01 := DefPropDelay01
);
PORT (
clk,ena : IN STD_LOGIC;
delaywrite : IN STD_LOGIC := '0';
pulse,cycle : OUT STD_LOGIC
);
ATTRIBUTE VITAL_Level0 OF stratixiii_ram_pulse_generator:ENTITY IS TRUE;
END stratixiii_ram_pulse_generator;
ARCHITECTURE pgen_arch OF stratixiii_ram_pulse_generator IS
SIGNAL clk_ipd,ena_ipd : STD_LOGIC;
SIGNAL state : STD_LOGIC;
ATTRIBUTE VITAL_Level0 OF pgen_arch:ARCHITECTURE IS TRUE;
BEGIN
WireDelay : BLOCK
BEGIN
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
END BLOCK;
PROCESS (clk_ipd,state)
BEGIN
IF (state = '1' AND state'EVENT) THEN
state <= '0';
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1') THEN
IF (delaywrite = '1') THEN
state <= '1' AFTER 1 NS; -- delayed write
ELSE
state <= '1';
END IF;
END IF;
END PROCESS;
PathDelay : PROCESS
VARIABLE pulse_VitalGlitchData : VitalGlitchDataType;
BEGIN
WAIT UNTIL state'EVENT;
VitalPathDelay01 (
OutSignal => pulse,
OutSignalName => "pulse",
OutTemp => state,
Paths => (0 => (clk_ipd'LAST_EVENT,tpd_clk_pulse_posedge,TRUE)),
GlitchData => pulse_VitalGlitchData,
Mode => DefGlitchMode,
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks
);
END PROCESS;
cycle <= clk_ipd;
END pgen_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE work.stratixiii_ram_register;
USE work.stratixiii_ram_pulse_generator;
ENTITY stratixiii_ram_block IS
GENERIC (
-- -------- GLOBAL PARAMETERS ---------
operation_mode : STRING := "single_port";
mixed_port_feed_through_mode : STRING := "dont_care";
ram_block_type : STRING := "auto";
logical_ram_name : STRING := "ram_name";
init_file : STRING := "init_file.hex";
init_file_layout : STRING := "none";
enable_ecc : STRING := "false";
data_interleave_width_in_bits : INTEGER := 1;
data_interleave_offset_in_bits : INTEGER := 1;
port_a_logical_ram_depth : INTEGER := 0;
port_a_logical_ram_width : INTEGER := 0;
port_a_first_address : INTEGER := 0;
port_a_last_address : INTEGER := 0;
port_a_first_bit_number : INTEGER := 0;
port_a_address_clear : STRING := "none";
port_a_data_out_clear : STRING := "none";
port_a_data_in_clock : STRING := "clock0";
port_a_address_clock : STRING := "clock0";
port_a_write_enable_clock : STRING := "clock0";
port_a_read_enable_clock : STRING := "clock0";
port_a_byte_enable_clock : STRING := "clock0";
port_a_data_out_clock : STRING := "none";
port_a_data_width : INTEGER := 1;
port_a_address_width : INTEGER := 1;
port_a_byte_enable_mask_width : INTEGER := 1;
port_b_logical_ram_depth : INTEGER := 0;
port_b_logical_ram_width : INTEGER := 0;
port_b_first_address : INTEGER := 0;
port_b_last_address : INTEGER := 0;
port_b_first_bit_number : INTEGER := 0;
port_b_address_clear : STRING := "none";
port_b_data_out_clear : STRING := "none";
port_b_data_in_clock : STRING := "clock1";
port_b_address_clock : STRING := "clock1";
port_b_write_enable_clock: STRING := "clock1";
port_b_read_enable_clock: STRING := "clock1";
port_b_byte_enable_clock : STRING := "clock1";
port_b_data_out_clock : STRING := "none";
port_b_data_width : INTEGER := 1;
port_b_address_width : INTEGER := 1;
port_b_byte_enable_mask_width : INTEGER := 1;
port_a_read_during_write_mode : STRING := "new_data_no_nbe_read";
port_b_read_during_write_mode : STRING := "new_data_no_nbe_read";
power_up_uninitialized : STRING := "false";
port_b_byte_size : INTEGER := 0;
port_a_byte_size : INTEGER := 0;
lpm_type : string := "stratixiii_ram_block";
lpm_hint : string := "true";
clk0_input_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_core_clock_enable : STRING := "none"; -- ena0,ena2,none
clk0_output_clock_enable : STRING := "none"; -- ena0,none
clk1_input_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_core_clock_enable : STRING := "none"; -- ena1,ena3,none
clk1_output_clock_enable : STRING := "none"; -- ena1,none
clock_duty_cycle_dependence : STRING := "Auto";
mem_init0 : BIT_VECTOR := X"0";
mem_init1 : BIT_VECTOR := X"0";
mem_init2 : BIT_VECTOR := X"0";
mem_init3 : BIT_VECTOR := X"0";
mem_init4 : BIT_VECTOR := X"0";
mem_init5 : BIT_VECTOR := X"0";
mem_init6 : BIT_VECTOR := X"0";
mem_init7 : BIT_VECTOR := X"0";
mem_init8 : BIT_VECTOR := X"0";
mem_init9 : BIT_VECTOR := X"0";
mem_init10 : BIT_VECTOR := X"0";
mem_init11 : BIT_VECTOR := X"0";
mem_init12 : BIT_VECTOR := X"0";
mem_init13 : BIT_VECTOR := X"0";
mem_init14 : BIT_VECTOR := X"0";
mem_init15 : BIT_VECTOR := X"0";
mem_init16 : BIT_VECTOR := X"0";
mem_init17 : BIT_VECTOR := X"0";
mem_init18 : BIT_VECTOR := X"0";
mem_init19 : BIT_VECTOR := X"0";
mem_init20 : BIT_VECTOR := X"0";
mem_init21 : BIT_VECTOR := X"0";
mem_init22 : BIT_VECTOR := X"0";
mem_init23 : BIT_VECTOR := X"0";
mem_init24 : BIT_VECTOR := X"0";
mem_init25 : BIT_VECTOR := X"0";
mem_init26 : BIT_VECTOR := X"0";
mem_init27 : BIT_VECTOR := X"0";
mem_init28 : BIT_VECTOR := X"0";
mem_init29 : BIT_VECTOR := X"0";
mem_init30 : BIT_VECTOR := X"0";
mem_init31 : BIT_VECTOR := X"0";
mem_init32 : BIT_VECTOR := X"0";
mem_init33 : BIT_VECTOR := X"0";
mem_init34 : BIT_VECTOR := X"0";
mem_init35 : BIT_VECTOR := X"0";
mem_init36 : BIT_VECTOR := X"0";
mem_init37 : BIT_VECTOR := X"0";
mem_init38 : BIT_VECTOR := X"0";
mem_init39 : BIT_VECTOR := X"0";
mem_init40 : BIT_VECTOR := X"0";
mem_init41 : BIT_VECTOR := X"0";
mem_init42 : BIT_VECTOR := X"0";
mem_init43 : BIT_VECTOR := X"0";
mem_init44 : BIT_VECTOR := X"0";
mem_init45 : BIT_VECTOR := X"0";
mem_init46 : BIT_VECTOR := X"0";
mem_init47 : BIT_VECTOR := X"0";
mem_init48 : BIT_VECTOR := X"0";
mem_init49 : BIT_VECTOR := X"0";
mem_init50 : BIT_VECTOR := X"0";
mem_init51 : BIT_VECTOR := X"0";
mem_init52 : BIT_VECTOR := X"0";
mem_init53 : BIT_VECTOR := X"0";
mem_init54 : BIT_VECTOR := X"0";
mem_init55 : BIT_VECTOR := X"0";
mem_init56 : BIT_VECTOR := X"0";
mem_init57 : BIT_VECTOR := X"0";
mem_init58 : BIT_VECTOR := X"0";
mem_init59 : BIT_VECTOR := X"0";
mem_init60 : BIT_VECTOR := X"0";
mem_init61 : BIT_VECTOR := X"0";
mem_init62 : BIT_VECTOR := X"0";
mem_init63 : BIT_VECTOR := X"0";
mem_init64 : BIT_VECTOR := X"0";
mem_init65 : BIT_VECTOR := X"0";
mem_init66 : BIT_VECTOR := X"0";
mem_init67 : BIT_VECTOR := X"0";
mem_init68 : BIT_VECTOR := X"0";
mem_init69 : BIT_VECTOR := X"0";
mem_init70 : BIT_VECTOR := X"0";
mem_init71 : BIT_VECTOR := X"0";
connectivity_checking : string := "off"
);
-- -------- PORT DECLARATIONS ---------
PORT (
portadatain : IN STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portawe : IN STD_LOGIC := '0';
portare : IN STD_LOGIC := '1';
portbdatain : IN STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0) := (OTHERS => '0');
portbaddr : IN STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0) := (OTHERS => '0');
portbwe : IN STD_LOGIC := '0';
portbre : IN STD_LOGIC := '1';
clk0 : IN STD_LOGIC := '0';
clk1 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
ena1 : IN STD_LOGIC := '1';
ena2 : IN STD_LOGIC := '1';
ena3 : IN STD_LOGIC := '1';
clr0 : IN STD_LOGIC := '0';
clr1 : IN STD_LOGIC := '0';
portabyteenamasks : IN STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbbyteenamasks : IN STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
devclrn : IN STD_LOGIC := '1';
devpor : IN STD_LOGIC := '1';
portaaddrstall : IN STD_LOGIC := '0';
portbaddrstall : IN STD_LOGIC := '0';
eccstatus : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) := "000";
dftout : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := "000000000";
portadataout : OUT STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
portbdataout : OUT STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0)
);
END stratixiii_ram_block;
ARCHITECTURE block_arch OF stratixiii_ram_block IS
COMPONENT stratixiii_ram_pulse_generator
PORT (
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
delaywrite : IN STD_LOGIC := '0';
pulse : OUT STD_LOGIC;
cycle : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT stratixiii_ram_register
GENERIC (
preset : STD_LOGIC := '0';
width : integer := 1
);
PORT (
d : IN STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
clk : IN STD_LOGIC;
aclr : IN STD_LOGIC;
devclrn : IN STD_LOGIC;
devpor : IN STD_LOGIC;
ena : IN STD_LOGIC;
stall : IN STD_LOGIC;
q : OUT STD_LOGIC_VECTOR(width - 1 DOWNTO 0);
aclrout : OUT STD_LOGIC
);
END COMPONENT;
FUNCTION cond (condition : BOOLEAN;CONSTANT a,b : INTEGER) RETURN INTEGER IS
VARIABLE c: INTEGER;
BEGIN
IF (condition) THEN c := a; ELSE c := b; END IF;
RETURN c;
END;
SUBTYPE port_type IS BOOLEAN;
CONSTANT primary : port_type := TRUE;
CONSTANT secondary : port_type := FALSE;
CONSTANT primary_port_is_a : BOOLEAN := (port_b_data_width <= port_a_data_width);
CONSTANT primary_port_is_b : BOOLEAN := NOT primary_port_is_a;
CONSTANT mode_is_rom : BOOLEAN := (operation_mode = "rom");
CONSTANT mode_is_sp : BOOLEAN := (operation_mode = "single_port");
CONSTANT mode_is_dp : BOOLEAN := (operation_mode = "dual_port");
CONSTANT mode_is_bdp : BOOLEAN := (operation_mode = "bidir_dual_port");
CONSTANT wired_mode : BOOLEAN := (port_a_address_width = port_b_address_width) AND (port_a_address_width = 1)
AND (port_a_data_width /= port_b_data_width);
CONSTANT num_cols : INTEGER := cond(mode_is_rom OR mode_is_sp,1,
cond(wired_mode,2,2 ** (ABS(port_b_address_width - port_a_address_width))));
CONSTANT data_width : INTEGER := cond(primary_port_is_a,port_a_data_width,port_b_data_width);
CONSTANT data_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_data_width,port_b_data_width);
CONSTANT address_unit_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_a,port_a_address_width,port_b_address_width);
CONSTANT address_width : INTEGER := cond(mode_is_rom OR mode_is_sp OR primary_port_is_b,port_a_address_width,port_b_address_width);
CONSTANT byte_size_a : INTEGER := port_a_data_width / port_a_byte_enable_mask_width;
CONSTANT byte_size_b : INTEGER := port_b_data_width / port_b_byte_enable_mask_width;
CONSTANT out_a_is_reg : BOOLEAN := (port_a_data_out_clock /= "none" AND port_a_data_out_clock /= "UNUSED");
CONSTANT out_b_is_reg : BOOLEAN := (port_b_data_out_clock /= "none" AND port_b_data_out_clock /= "UNUSED");
CONSTANT bytes_a_disabled : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT bytes_b_disabled : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '0');
CONSTANT ram_type : BOOLEAN := FALSE;
TYPE bool_to_std_logic_map IS ARRAY(TRUE DOWNTO FALSE) OF STD_LOGIC;
CONSTANT bool_to_std_logic : bool_to_std_logic_map := ('1','0');
-- Hardware write modes
CONSTANT dual_clock : BOOLEAN := (operation_mode = "dual_port" OR
operation_mode = "bidir_dual_port") AND
(port_b_address_clock = "clock1");
CONSTANT both_new_data_same_port : BOOLEAN := (
((port_a_read_during_write_mode = "new_data_no_nbe_read") OR
(port_a_read_during_write_mode = "dont_care")) AND
((port_b_read_during_write_mode = "new_data_no_nbe_read") OR
(port_b_read_during_write_mode = "dont_care"))
);
SIGNAL hw_write_mode_a : STRING(3 DOWNTO 1);
SIGNAL hw_write_mode_b : STRING(3 DOWNTO 1);
SIGNAL delay_write_pulse_a : STD_LOGIC ;
SIGNAL delay_write_pulse_b : STD_LOGIC ;
CONSTANT be_mask_write_a : BOOLEAN := (port_a_read_during_write_mode = "new_data_with_nbe_read");
CONSTANT be_mask_write_b : BOOLEAN := (port_b_read_during_write_mode = "new_data_with_nbe_read");
CONSTANT old_data_write_a : BOOLEAN := (port_a_read_during_write_mode = "old_data");
CONSTANT old_data_write_b : BOOLEAN := (port_b_read_during_write_mode = "old_data");
SIGNAL read_before_write_a : BOOLEAN;
SIGNAL read_before_write_b : BOOLEAN;
-- -------- internal signals ---------
-- clock / clock enable
SIGNAL clk_a_in,clk_b_in : STD_LOGIC;
SIGNAL clk_a_byteena,clk_b_byteena : STD_LOGIC;
SIGNAL clk_a_out,clk_b_out : STD_LOGIC;
SIGNAL clkena_a_out,clkena_b_out : STD_LOGIC;
SIGNAL clkena_out_c0, clkena_out_c1 : STD_LOGIC;
SIGNAL write_cycle_a,write_cycle_b : STD_LOGIC;
SIGNAL clk_a_rena, clk_a_wena : STD_LOGIC;
SIGNAL clk_a_core : STD_LOGIC;
SIGNAL clk_b_rena, clk_b_wena : STD_LOGIC;
SIGNAL clk_b_core : STD_LOGIC;
SUBTYPE one_bit_bus_type IS STD_LOGIC_VECTOR(0 DOWNTO 0);
-- asynch clear
TYPE clear_mode_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
TYPE clear_vec_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL datain_a_clr,datain_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr,dataout_b_clr : STD_LOGIC;
SIGNAL dataout_a_clr_reg, dataout_b_clr_reg : STD_LOGIC;
SIGNAL dataout_a_clr_reg_in, dataout_b_clr_reg_in : one_bit_bus_type;
SIGNAL dataout_a_clr_reg_out, dataout_b_clr_reg_out : one_bit_bus_type;
SIGNAL addr_a_clr,addr_b_clr : STD_LOGIC;
SIGNAL byteena_a_clr,byteena_b_clr : STD_LOGIC;
SIGNAL we_a_clr,re_a_clr,we_b_clr,re_b_clr : STD_LOGIC;
SIGNAL datain_a_clr_in,datain_b_clr_in : STD_LOGIC;
SIGNAL addr_a_clr_in,addr_b_clr_in : STD_LOGIC;
SIGNAL byteena_a_clr_in,byteena_b_clr_in : STD_LOGIC;
SIGNAL we_a_clr_in,re_a_clr_in,we_b_clr_in,re_b_clr_in : STD_LOGIC;
SIGNAL mem_invalidate,mem_invalidate_loc,read_latch_invalidate : clear_mode_type;
SIGNAL clear_asserted_during_write : clear_vec_type;
-- port A registers
SIGNAL we_a_reg : STD_LOGIC;
SIGNAL re_a_reg : STD_LOGIC;
SIGNAL we_a_reg_in,we_a_reg_out : one_bit_bus_type;
SIGNAL re_a_reg_in,re_a_reg_out : one_bit_bus_type;
SIGNAL addr_a_reg : STD_LOGIC_VECTOR(port_a_address_width - 1 DOWNTO 0);
SIGNAL datain_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a_reg : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL dataout_a : STD_LOGIC_VECTOR(port_a_data_width - 1 DOWNTO 0);
SIGNAL byteena_a_reg : STD_LOGIC_VECTOR(port_a_byte_enable_mask_width- 1 DOWNTO 0);
-- port B registers
SIGNAL we_b_reg, re_b_reg : STD_LOGIC;
SIGNAL re_b_reg_in,re_b_reg_out,we_b_reg_in,we_b_reg_out : one_bit_bus_type;
SIGNAL addr_b_reg : STD_LOGIC_VECTOR(port_b_address_width - 1 DOWNTO 0);
SIGNAL datain_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b_reg : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL dataout_b : STD_LOGIC_VECTOR(port_b_data_width - 1 DOWNTO 0);
SIGNAL byteena_b_reg : STD_LOGIC_VECTOR(port_b_byte_enable_mask_width- 1 DOWNTO 0);
-- pulses
TYPE pulse_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF STD_LOGIC;
SIGNAL write_pulse,read_pulse,read_pulse_feedthru : pulse_vec;
SIGNAL rw_pulse : pulse_vec;
SIGNAL wpgen_a_clk,wpgen_a_clkena,wpgen_b_clk,wpgen_b_clkena : STD_LOGIC;
SIGNAL rpgen_a_clkena,rpgen_b_clkena : STD_LOGIC;
SIGNAL ftpgen_a_clkena,ftpgen_b_clkena : STD_LOGIC;
SIGNAL rwpgen_a_clkena,rwpgen_b_clkena : STD_LOGIC;
-- registered address
SIGNAL addr_prime_reg,addr_sec_reg : INTEGER;
-- input/output
SIGNAL datain_prime_reg,dataout_prime : STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0);
SIGNAL datain_sec_reg,dataout_sec : STD_LOGIC_VECTOR(data_unit_width - 1 DOWNTO 0);
-- overlapping location write
SIGNAL dual_write : BOOLEAN;
-- byte enable mask write
TYPE be_mask_write_vec IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
SIGNAL be_mask_write : be_mask_write_vec;
-- memory core
SUBTYPE mem_word_type IS STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
SUBTYPE mem_col_type IS STD_LOGIC_VECTOR (data_unit_width - 1 DOWNTO 0);
TYPE mem_row_type IS ARRAY (num_cols - 1 DOWNTO 0) OF mem_col_type;
TYPE mem_type IS ARRAY ((2 ** address_unit_width) - 1 DOWNTO 0) OF mem_row_type;
SIGNAL mem : mem_type;
SIGNAL init_mem : BOOLEAN := FALSE;
CONSTANT mem_x : mem_type := (OTHERS => (OTHERS => (OTHERS => 'X')));
CONSTANT row_x : mem_row_type := (OTHERS => (OTHERS => 'X'));
CONSTANT col_x : mem_col_type := (OTHERS => 'X');
SIGNAL mem_data : mem_row_type;
SIGNAL old_mem_data : mem_row_type;
SIGNAL mem_unit_data : mem_col_type;
-- latches
TYPE read_latch_rec IS RECORD
prime : mem_row_type;
sec : mem_col_type;
END RECORD;
SIGNAL read_latch : read_latch_rec;
-- (row,column) coordinates
SIGNAL row_sec,col_sec : INTEGER;
-- byte enable
TYPE mask_type IS (normal,inverse);
TYPE mask_prime_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_word_type;
TYPE mask_sec_type IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_col_type;
TYPE mask_rec IS RECORD
prime : mask_prime_type;
sec : mask_sec_type;
END RECORD;
SIGNAL mask_vector : mask_rec;
SIGNAL mask_vector_common : mem_col_type;
FUNCTION get_mask(
b_ena : IN STD_LOGIC_VECTOR;
mode : port_type;
CONSTANT b_ena_width ,byte_size: INTEGER
) RETURN mask_rec IS
VARIABLE l : INTEGER;
VARIABLE mask : mask_rec := (
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X')),
(normal => (OTHERS => '0'),inverse => (OTHERS => 'X'))
);
BEGIN
FOR l in 0 TO b_ena_width - 1 LOOP
IF (b_ena(l) = '0') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.prime(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask.sec(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
END IF;
ELSIF (b_ena(l) = 'X' OR b_ena(l) = 'U') THEN
IF (mode = primary) THEN
mask.prime(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
ELSE
mask.sec(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
END IF;
END IF;
END LOOP;
RETURN mask;
END get_mask;
-- port active for read/write
SIGNAL active_a_core_in_vec,active_b_core_in_vec,active_a_core_out,active_b_core_out : one_bit_bus_type;
SIGNAL active_a_in,active_b_in : STD_LOGIC;
SIGNAL active_write_a : BOOLEAN;
SIGNAL active_write_b : BOOLEAN;
SIGNAL active_b_in_c0,active_b_core_in_c0,active_b_in_c1,active_b_core_in_c1 : STD_LOGIC;
SIGNAL active_a_core_in,active_b_core_in : STD_LOGIC;
SIGNAL active_a_core, active_b_core : BOOLEAN;
SIGNAL wire_vcc : STD_LOGIC := '1';
SIGNAL wire_gnd : STD_LOGIC := '0';
BEGIN
-- memory initialization
init_mem <= TRUE;
-- hardware write modes
hw_write_mode_a <= "R+W" WHEN ((port_a_read_during_write_mode = "old_data") OR
(port_a_read_during_write_mode = "new_data_with_nbe_read")) ELSE
" FW" WHEN (dual_clock OR (
mixed_port_feed_through_mode = "dont_care" AND
both_new_data_same_port
)) ELSE
" DW";
hw_write_mode_b <= "R+W" WHEN ((port_b_read_during_write_mode = "old_data") OR
(port_b_read_during_write_mode = "new_data_with_nbe_read")) ELSE
" FW" WHEN (dual_clock OR (
mixed_port_feed_through_mode = "dont_care" AND
both_new_data_same_port
)) ELSE
" DW";
delay_write_pulse_a <= '0' WHEN (mode_is_dp AND mixed_port_feed_through_mode = "dont_care") ELSE '1' WHEN (hw_write_mode_a /= " FW") ELSE '0';
delay_write_pulse_b <= '1' WHEN (hw_write_mode_b /= " FW") ELSE '0' ;
read_before_write_a <= (hw_write_mode_a = "R+W");
read_before_write_b <= (hw_write_mode_b = "R+W");
-- -------- core logic ---------------
clk_a_in <= clk0;
clk_a_wena <= '0' WHEN (port_a_write_enable_clock = "none") ELSE clk_a_in;
clk_a_rena <= '0' WHEN (port_a_read_enable_clock = "none") ELSE clk_a_in;
clk_a_byteena <= '0' WHEN (port_a_byte_enable_clock = "none" OR port_a_byte_enable_clock = "UNUSED") ELSE clk_a_in;
clk_a_out <= '0' WHEN (port_a_data_out_clock = "none" OR port_a_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_a_data_out_clock = "clock0") ELSE clk1;
clk_b_in <= clk0 WHEN (port_b_address_clock = "clock0") ELSE clk1;
clk_b_byteena <= '0' WHEN (port_b_byte_enable_clock = "none" OR port_b_byte_enable_clock = "UNUSED") ELSE
clk0 WHEN (port_b_byte_enable_clock = "clock0") ELSE clk1;
clk_b_wena <= '0' WHEN (port_b_write_enable_clock = "none") ELSE
clk0 WHEN (port_b_write_enable_clock = "clock0") ELSE
clk1;
clk_b_rena <= '0' WHEN (port_b_read_enable_clock = "none") ELSE
clk0 WHEN (port_b_read_enable_clock = "clock0") ELSE
clk1;
clk_b_out <= '0' WHEN (port_b_data_out_clock = "none" OR port_b_data_out_clock = "UNUSED") ELSE
clk0 WHEN (port_b_data_out_clock = "clock0") ELSE clk1;
addr_a_clr_in <= '0' WHEN (port_a_address_clear = "none" OR port_a_address_clear = "UNUSED") ELSE clr0;
addr_b_clr_in <= '0' WHEN (port_b_address_clear = "none" OR port_b_address_clear = "UNUSED") ELSE
clr0 WHEN (port_b_address_clear = "clear0") ELSE clr1;
datain_a_clr_in <= '0';
datain_b_clr_in <= '0';
dataout_a_clr <= '0' WHEN (port_a_data_out_clear = "none" OR port_a_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_a_data_out_clear = "clear0") ELSE clr1;
dataout_b_clr <= '0' WHEN (port_b_data_out_clear = "none" OR port_b_data_out_clear = "UNUSED") ELSE
clr0 WHEN (port_b_data_out_clear = "clear0") ELSE clr1;
byteena_a_clr_in <= '0';
byteena_b_clr_in <= '0';
we_a_clr_in <= '0';
re_a_clr_in <= '0';
we_b_clr_in <= '0';
re_b_clr_in <= '0';
active_a_in <= '1' WHEN (clk0_input_clock_enable = "none") ELSE
ena0 WHEN (clk0_input_clock_enable = "ena0") ELSE
ena2;
active_a_core_in <= '1' WHEN (clk0_core_clock_enable = "none") ELSE
ena0 WHEN (clk0_core_clock_enable = "ena0") ELSE
ena2;
be_mask_write(primary_port_is_a) <= be_mask_write_a;
be_mask_write(primary_port_is_b) <= be_mask_write_b;
active_b_in_c0 <= '1' WHEN (clk0_input_clock_enable = "none") ELSE
ena0 WHEN (clk0_input_clock_enable = "ena0") ELSE
ena2;
active_b_in_c1 <= '1' WHEN (clk1_input_clock_enable = "none") ELSE
ena1 WHEN (clk1_input_clock_enable = "ena1") ELSE
ena3;
active_b_in <= active_b_in_c0 WHEN (port_b_address_clock = "clock0") ELSE active_b_in_c1;
active_b_core_in_c0 <= '1' WHEN (clk0_core_clock_enable = "none") ELSE
ena0 WHEN (clk0_core_clock_enable = "ena0") ELSE
ena2;
active_b_core_in_c1 <= '1' WHEN (clk1_core_clock_enable = "none") ELSE
ena1 WHEN (clk1_core_clock_enable = "ena1") ELSE
ena3;
active_b_core_in <= active_b_core_in_c0 WHEN (port_b_address_clock = "clock0") ELSE active_b_core_in_c1;
active_write_a <= (byteena_a_reg /= bytes_a_disabled);
active_write_b <= (byteena_b_reg /= bytes_b_disabled);
-- Store core clock enable value for delayed write
-- port A core active
active_a_core_in_vec(0) <= active_a_core_in;
active_core_port_a : stratixiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_a_core_in_vec,
clk => clk_a_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_a_core_out
);
active_a_core <= (active_a_core_out(0) = '1');
-- port B core active
active_b_core_in_vec(0) <= active_b_core_in;
active_core_port_b : stratixiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => active_b_core_in_vec,
clk => clk_b_in,
aclr => wire_gnd,
devclrn => wire_vcc,devpor => wire_vcc,
ena => wire_vcc,
stall => wire_gnd,
q => active_b_core_out
);
active_b_core <= (active_b_core_out(0) = '1');
-- ------ A input registers
-- write enable
we_a_reg_in(0) <= '0' WHEN mode_is_rom ELSE portawe;
we_a_register : stratixiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => we_a_reg_in,
clk => clk_a_wena,
aclr => we_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_core_in,
q => we_a_reg_out,
aclrout => we_a_clr
);
we_a_reg <= we_a_reg_out(0);
-- read enable
re_a_reg_in(0) <= portare;
re_a_register : stratixiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => re_a_reg_in,
clk => clk_a_rena,
aclr => re_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_core_in,
q => re_a_reg_out,
aclrout => re_a_clr
);
re_a_reg <= re_a_reg_out(0);
-- address
addr_a_register : stratixiii_ram_register
GENERIC MAP ( width => port_a_address_width )
PORT MAP (
d => portaaddr,
clk => clk_a_in,
aclr => addr_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portaaddrstall,
ena => active_a_in,
q => addr_a_reg,
aclrout => addr_a_clr
);
-- data
datain_a_register : stratixiii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => portadatain,
clk => clk_a_in,
aclr => datain_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => datain_a_reg,
aclrout => datain_a_clr
);
-- byte enable
byteena_a_register : stratixiii_ram_register
GENERIC MAP (
width => port_a_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portabyteenamasks,
clk => clk_a_byteena,
aclr => byteena_a_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_a_in,
q => byteena_a_reg,
aclrout => byteena_a_clr
);
-- ------ B input registers
-- read enable
re_b_reg_in(0) <= portbre;
re_b_register : stratixiii_ram_register
GENERIC MAP (
width => 1
)
PORT MAP (
d => re_b_reg_in,
clk => clk_b_in,
aclr => re_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_core_in,
q => re_b_reg_out,
aclrout => re_b_clr
);
re_b_reg <= re_b_reg_out(0);
-- write enable
we_b_reg_in(0) <= portbwe;
we_b_register : stratixiii_ram_register
GENERIC MAP (
width => 1
)
PORT MAP (
d => we_b_reg_in,
clk => clk_b_in,
aclr => we_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_core_in,
q => we_b_reg_out,
aclrout => we_b_clr
);
we_b_reg <= we_b_reg_out(0);
-- address
addr_b_register : stratixiii_ram_register
GENERIC MAP ( width => port_b_address_width )
PORT MAP (
d => portbaddr,
clk => clk_b_in,
aclr => addr_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => portbaddrstall,
ena => active_b_in,
q => addr_b_reg,
aclrout => addr_b_clr
);
-- data
datain_b_register : stratixiii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => portbdatain,
clk => clk_b_in,
aclr => datain_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => datain_b_reg,
aclrout => datain_b_clr
);
-- byte enable
byteena_b_register : stratixiii_ram_register
GENERIC MAP (
width => port_b_byte_enable_mask_width,
preset => '1'
)
PORT MAP (
d => portbbyteenamasks,
clk => clk_b_byteena,
aclr => byteena_b_clr_in,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => active_b_in,
q => byteena_b_reg,
aclrout => byteena_b_clr
);
datain_prime_reg <= datain_a_reg WHEN primary_port_is_a ELSE datain_b_reg;
addr_prime_reg <= alt_conv_integer(addr_a_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_b_reg);
datain_sec_reg <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
datain_b_reg WHEN primary_port_is_a ELSE datain_a_reg;
addr_sec_reg <= alt_conv_integer(addr_b_reg) WHEN primary_port_is_a ELSE alt_conv_integer(addr_a_reg);
-- Write pulse generation
wpgen_a_clk <= clk_a_in;
wpgen_a_clkena <= '1' WHEN (active_a_core AND active_write_a AND (we_a_reg = '1')) ELSE '0';
wpgen_a : stratixiii_ram_pulse_generator
PORT MAP (
clk => wpgen_a_clk,
ena => wpgen_a_clkena,
delaywrite => delay_write_pulse_a,
pulse => write_pulse(primary_port_is_a),
cycle => write_cycle_a
);
wpgen_b_clk <= clk_b_in;
wpgen_b_clkena <= '1' WHEN (active_b_core AND active_write_b AND mode_is_bdp AND (we_b_reg = '1')) ELSE '0';
wpgen_b : stratixiii_ram_pulse_generator
PORT MAP (
clk => wpgen_b_clk,
ena => wpgen_b_clkena,
delaywrite => delay_write_pulse_b,
pulse => write_pulse(primary_port_is_b),
cycle => write_cycle_b
);
-- Read pulse generation
rpgen_a_clkena <= '1' WHEN (active_a_core AND (re_a_reg = '1') AND (we_a_reg = '0')) ELSE '0';
rpgen_a : stratixiii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rpgen_a_clkena,
cycle => clk_a_core,
pulse => read_pulse(primary_port_is_a)
);
rpgen_b_clkena <= '1' WHEN ((mode_is_dp OR mode_is_bdp) AND active_b_core AND (re_b_reg = '1') AND (we_b_reg = '0')) ELSE '0';
rpgen_b : stratixiii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rpgen_b_clkena,
cycle => clk_b_core,
pulse => read_pulse(primary_port_is_b)
);
-- Read-during-Write pulse generation
rwpgen_a_clkena <= '1' WHEN (active_a_core AND (re_a_reg = '1') AND (we_a_reg = '1') AND read_before_write_a) ELSE '0';
rwpgen_a : stratixiii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => rwpgen_a_clkena,
pulse => rw_pulse(primary_port_is_a)
);
rwpgen_b_clkena <= '1' WHEN (active_b_core AND mode_is_bdp AND (re_b_reg = '1') AND (we_b_reg = '1') AND read_before_write_b) ELSE '0';
rwpgen_b : stratixiii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => rwpgen_b_clkena,
pulse => rw_pulse(primary_port_is_b)
);
-- Create internal masks for byte enable processing
mask_create : PROCESS (byteena_a_reg,byteena_b_reg)
VARIABLE mask : mask_rec;
BEGIN
IF (byteena_a_reg'EVENT) THEN
mask := get_mask(byteena_a_reg,primary_port_is_a,port_a_byte_enable_mask_width,byte_size_a);
IF (primary_port_is_a) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
IF (byteena_b_reg'EVENT) THEN
mask := get_mask(byteena_b_reg,primary_port_is_b,port_b_byte_enable_mask_width,byte_size_b);
IF (primary_port_is_b) THEN
mask_vector.prime <= mask.prime;
ELSE
mask_vector.sec <= mask.sec;
END IF;
END IF;
END PROCESS mask_create;
-- (row,col) coordinates
row_sec <= addr_sec_reg / num_cols;
col_sec <= addr_sec_reg mod num_cols;
mem_rw : PROCESS (init_mem,
write_pulse,read_pulse,read_pulse_feedthru,
rw_pulse,
mem_invalidate,mem_invalidate_loc,read_latch_invalidate)
-- mem init
TYPE rw_type IS ARRAY (port_type'HIGH DOWNTO port_type'LOW) OF BOOLEAN;
VARIABLE addr_range_init,row,col,index : INTEGER;
VARIABLE mem_init_std : STD_LOGIC_VECTOR((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
VARIABLE mem_init : bit_vector(mem_init71'length + mem_init70'length + mem_init69'length + mem_init68'length + mem_init67'length + mem_init66'length +
mem_init65'length + mem_init64'length + mem_init63'length + mem_init62'length + mem_init61'length +
mem_init60'length + mem_init59'length + mem_init58'length + mem_init57'length + mem_init56'length +
mem_init55'length + mem_init54'length + mem_init53'length + mem_init52'length + mem_init51'length +
mem_init50'length + mem_init49'length + mem_init48'length + mem_init47'length + mem_init46'length +
mem_init45'length + mem_init44'length + mem_init43'length + mem_init42'length + mem_init41'length +
mem_init40'length + mem_init39'length + mem_init38'length + mem_init37'length + mem_init36'length +
mem_init35'length + mem_init34'length + mem_init33'length + mem_init32'length + mem_init31'length +
mem_init30'length + mem_init29'length + mem_init28'length + mem_init27'length + mem_init26'length +
mem_init25'length + mem_init24'length + mem_init23'length + mem_init22'length + mem_init21'length +
mem_init20'length + mem_init19'length + mem_init18'length + mem_init17'length + mem_init16'length +
mem_init15'length + mem_init14'length + mem_init13'length + mem_init12'length + mem_init11'length +
mem_init10'length + mem_init9'length + mem_init8'length + mem_init7'length + mem_init6'length +
mem_init5'length + mem_init4'length + mem_init3'length + mem_init2'length + mem_init1'length +
mem_init0'length - 1 DOWNTO 0);
VARIABLE mem_val : mem_type;
-- read/write
VARIABLE mem_data_p : mem_row_type;
VARIABLE old_mem_data_p : mem_row_type;
VARIABLE row_prime,col_prime : INTEGER;
VARIABLE access_same_location : BOOLEAN;
VARIABLE read_during_write : rw_type;
BEGIN
read_during_write := (FALSE,FALSE);
-- Memory initialization
IF (init_mem'EVENT) THEN
-- Initialize output latches to 0
IF (primary_port_is_a) THEN
dataout_prime <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_sec <= (OTHERS => '0'); END IF;
ELSE
dataout_sec <= (OTHERS => '0');
IF (mode_is_dp OR mode_is_bdp) THEN dataout_prime <= (OTHERS => '0'); END IF;
END IF;
IF (power_up_uninitialized = "false" AND (NOT ram_type)) THEN
mem_val := (OTHERS => (OTHERS => (OTHERS => '0')));
END IF;
IF (primary_port_is_a) THEN
addr_range_init := port_a_last_address - port_a_first_address + 1;
ELSE
addr_range_init := port_b_last_address - port_b_first_address + 1;
END IF;
IF (init_file_layout = "port_a" OR init_file_layout = "port_b") THEN
mem_init := mem_init71 & mem_init70 & mem_init69 & mem_init68 & mem_init67 & mem_init66 &
mem_init65 & mem_init64 & mem_init63 & mem_init62 & mem_init61 &
mem_init60 & mem_init59 & mem_init58 & mem_init57 & mem_init56 &
mem_init55 & mem_init54 & mem_init53 & mem_init52 & mem_init51 &
mem_init50 & mem_init49 & mem_init48 & mem_init47 & mem_init46 &
mem_init45 & mem_init44 & mem_init43 & mem_init42 & mem_init41 &
mem_init40 & mem_init39 & mem_init38 & mem_init37 & mem_init36 &
mem_init35 & mem_init34 & mem_init33 & mem_init32 & mem_init31 &
mem_init30 & mem_init29 & mem_init28 & mem_init27 & mem_init26 &
mem_init25 & mem_init24 & mem_init23 & mem_init22 & mem_init21 &
mem_init20 & mem_init19 & mem_init18 & mem_init17 & mem_init16 &
mem_init15 & mem_init14 & mem_init13 & mem_init12 & mem_init11 &
mem_init10 & mem_init9 & mem_init8 & mem_init7 & mem_init6 &
mem_init5 & mem_init4 & mem_init3 & mem_init2 & mem_init1 &
mem_init0;
mem_init_std := to_stdlogicvector(mem_init) ((port_a_last_address - port_a_first_address + 1)*port_a_data_width - 1 DOWNTO 0);
FOR row IN 0 TO addr_range_init - 1 LOOP
FOR col IN 0 to num_cols - 1 LOOP
index := row * data_width;
mem_val(row)(col) := mem_init_std(index + (col+1)*data_unit_width -1 DOWNTO
index + col*data_unit_width);
END LOOP;
END LOOP;
END IF;
mem <= mem_val;
END IF;
access_same_location := (mode_is_dp OR mode_is_bdp) AND (addr_prime_reg = row_sec);
-- Read before Write stage 1 : read data from memory
-- Read before Write stage 2 : send data to output
IF (rw_pulse(primary)'EVENT) THEN
IF (rw_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
ELSE
IF (be_mask_write(primary)) THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = 'X') THEN
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END IF;
END LOOP;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
END IF;
IF (rw_pulse(secondary)'EVENT) THEN
IF (rw_pulse(secondary) = '1') THEN
read_latch.sec <= mem(row_sec)(col_sec);
ELSE
IF (be_mask_write(secondary)) THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = 'X') THEN
dataout_sec(i) <= read_latch.sec(i);
END IF;
END LOOP;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
END IF;
-- Write stage 1 : X to buffer
-- Write stage 2 : actual data to memory
IF (write_pulse(primary)'EVENT) THEN
IF (write_pulse(primary) = '1') THEN
old_mem_data_p := mem(addr_prime_reg);
mem_data_p := mem(addr_prime_reg);
FOR i IN 0 TO num_cols - 1 LOOP
mem_data_p(i) := mem_data_p(i) XOR
mask_vector.prime(inverse)((i + 1)*data_unit_width - 1 DOWNTO i*data_unit_width);
END LOOP;
read_during_write(secondary) := (access_same_location AND read_pulse(secondary)'EVENT AND read_pulse(secondary) = '1');
IF (read_during_write(secondary)) THEN
read_latch.sec <= old_mem_data_p(col_sec);
ELSE
mem_data <= mem_data_p;
END IF;
ELSIF (clear_asserted_during_write(primary) /= '1') THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= datain_prime_reg(i);
ELSIF (mask_vector.prime(inverse)(i) = 'X') THEN
mem(addr_prime_reg)(i / data_unit_width)(i mod data_unit_width) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
IF (write_pulse(secondary)'EVENT) THEN
IF (write_pulse(secondary) = '1') THEN
read_during_write(primary) := (access_same_location AND read_pulse(primary)'EVENT AND read_pulse(primary) = '1');
IF (read_during_write(primary)) THEN
read_latch.prime <= mem(addr_prime_reg);
read_latch.prime(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
ELSE
mem_unit_data <= mem(row_sec)(col_sec) XOR mask_vector.sec(inverse);
END IF;
IF (access_same_location AND write_pulse(primary)'EVENT AND write_pulse(primary) = '1') THEN
mask_vector_common <=
mask_vector.prime(inverse)(((col_sec + 1)* data_unit_width - 1) DOWNTO col_sec*data_unit_width) AND
mask_vector.sec(inverse);
dual_write <= TRUE;
END IF;
ELSIF (clear_asserted_during_write(secondary) /= '1') THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
mem(row_sec)(col_sec)(i) <= datain_sec_reg(i);
ELSIF (mask_vector.sec(inverse)(i) = 'X') THEN
mem(row_sec)(col_sec)(i) <= 'X';
END IF;
END LOOP;
END IF;
END IF;
-- Simultaneous write
IF (dual_write AND write_pulse = "00") THEN
mem(row_sec)(col_sec) <= mem(row_sec)(col_sec) XOR mask_vector_common;
dual_write <= FALSE;
END IF;
-- Read stage 1 : read data
-- Read stage 2 : send data to output
IF ((NOT read_during_write(primary)) AND read_pulse(primary)'EVENT) THEN
IF (read_pulse(primary) = '1') THEN
read_latch.prime <= mem(addr_prime_reg);
IF (access_same_location AND write_pulse(secondary) = '1') THEN
read_latch.prime(col_sec) <= mem_unit_data;
END IF;
ELSE
FOR i IN 0 TO data_width - 1 LOOP
row_prime := i / data_unit_width; col_prime := i mod data_unit_width;
dataout_prime(i) <= read_latch.prime(row_prime)(col_prime);
END LOOP;
END IF;
END IF;
IF ((NOT read_during_write(secondary)) AND read_pulse(secondary)'EVENT) THEN
IF (read_pulse(secondary) = '1') THEN
IF (access_same_location AND write_pulse(primary) = '1') THEN
read_latch.sec <= mem_data(col_sec);
ELSE
read_latch.sec <= mem(row_sec)(col_sec);
END IF;
ELSE
dataout_sec <= read_latch.sec;
END IF;
END IF;
-- Same port feed thru
IF (read_pulse_feedthru(primary)'EVENT AND read_pulse_feedthru(primary) = '0') THEN
IF (be_mask_write(primary)) THEN
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector.prime(normal)(i) = '0') THEN
dataout_prime(i) <= datain_prime_reg(i);
END IF;
END LOOP;
ELSE
dataout_prime <= datain_prime_reg XOR mask_vector.prime(normal);
END IF;
END IF;
IF (read_pulse_feedthru(secondary)'EVENT AND read_pulse_feedthru(secondary) = '0') THEN
IF (be_mask_write(secondary)) THEN
FOR i IN 0 TO data_unit_width - 1 LOOP
IF (mask_vector.sec(normal)(i) = '0') THEN
dataout_sec(i) <= datain_sec_reg(i);
END IF;
END LOOP;
ELSE
dataout_sec <= datain_sec_reg XOR mask_vector.sec(normal);
END IF;
END IF;
-- Async clear
IF (mem_invalidate'EVENT) THEN
IF (mem_invalidate(primary) = TRUE OR mem_invalidate(secondary) = TRUE) THEN
mem <= mem_x;
END IF;
END IF;
IF (mem_invalidate_loc'EVENT) THEN
IF (mem_invalidate_loc(primary)) THEN mem(addr_prime_reg) <= row_x; END IF;
IF (mem_invalidate_loc(secondary)) THEN mem(row_sec)(col_sec) <= col_x; END IF;
END IF;
IF (read_latch_invalidate'EVENT) THEN
IF (read_latch_invalidate(primary)) THEN
read_latch.prime <= row_x;
END IF;
IF (read_latch_invalidate(secondary)) THEN
read_latch.sec <= col_x;
END IF;
END IF;
END PROCESS mem_rw;
-- Same port feed through
ftpgen_a_clkena <= '1' WHEN (active_a_core AND (NOT mode_is_dp) AND (NOT old_data_write_a) AND (we_a_reg = '1') AND (re_a_reg = '1')) ELSE '0';
ftpgen_a : stratixiii_ram_pulse_generator
PORT MAP (
clk => clk_a_in,
ena => ftpgen_a_clkena,
pulse => read_pulse_feedthru(primary_port_is_a)
);
ftpgen_b_clkena <= '1' WHEN (active_b_core AND mode_is_bdp AND (NOT old_data_write_b) AND (we_b_reg = '1') AND (re_b_reg = '1')) ELSE '0';
ftpgen_b : stratixiii_ram_pulse_generator
PORT MAP (
clk => clk_b_in,
ena => ftpgen_b_clkena,
pulse => read_pulse_feedthru(primary_port_is_b)
);
-- Asynch clear events
clear_a : PROCESS(addr_a_clr,we_a_clr,datain_a_clr)
BEGIN
IF (addr_a_clr'EVENT AND addr_a_clr = '1') THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF (re_a_reg = '1') THEN
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_a_clr'EVENT AND we_a_clr = '1') OR (datain_a_clr'EVENT AND datain_a_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_a) <= write_pulse(primary_port_is_a);
IF (active_write_a AND (write_cycle_a = '1') AND (we_a_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_a) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_a;
clear_b : PROCESS(addr_b_clr,we_b_clr,datain_b_clr)
BEGIN
IF (addr_b_clr'EVENT AND addr_b_clr = '1') THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (we_b_reg = '1')) THEN
mem_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
ELSIF ((mode_is_dp OR mode_is_bdp) AND re_b_reg = '1') THEN
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
IF ((we_b_clr'EVENT AND we_b_clr = '1') OR (datain_b_clr'EVENT AND datain_b_clr = '1')) THEN
clear_asserted_during_write(primary_port_is_b) <= write_pulse(primary_port_is_b);
IF (mode_is_bdp AND active_write_b AND (write_cycle_b = '1') AND (we_b_reg = '1')) THEN
mem_invalidate_loc(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
read_latch_invalidate(primary_port_is_b) <= TRUE,FALSE AFTER 0.5 ns;
END IF;
END IF;
END PROCESS clear_b;
-- Clear mux registers (Latch Clear)
-- Port A output register clear
dataout_a_clr_reg_in(0) <= dataout_a_clr;
aclr_a_mux_register : stratixiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => dataout_a_clr_reg_in,
clk => clk_a_core,
aclr => wire_gnd,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => wire_vcc,
q => dataout_a_clr_reg_out
);
dataout_a_clr_reg <= dataout_a_clr_reg_out(0);
-- Port B output register clear
dataout_b_clr_reg_in(0) <= dataout_b_clr;
aclr_b_mux_register : stratixiii_ram_register
GENERIC MAP ( width => 1 )
PORT MAP (
d => dataout_b_clr_reg_in,
clk => clk_b_core,
aclr => wire_gnd,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => wire_vcc,
q => dataout_b_clr_reg_out
);
dataout_b_clr_reg <= dataout_b_clr_reg_out(0);
-- ------ Output registers
clkena_out_c0 <= '1' WHEN (clk0_output_clock_enable = "none") ELSE ena0;
clkena_out_c1 <= '1' WHEN (clk1_output_clock_enable = "none") ELSE ena1;
clkena_a_out <= clkena_out_c0 WHEN (port_a_data_out_clock = "clock0") ELSE clkena_out_c1;
clkena_b_out <= clkena_out_c0 WHEN (port_b_data_out_clock = "clock0") ELSE clkena_out_c1;
dataout_a <= dataout_prime WHEN primary_port_is_a ELSE dataout_sec;
dataout_b <= (OTHERS => 'U') WHEN (mode_is_rom OR mode_is_sp) ELSE
dataout_prime WHEN primary_port_is_b ELSE dataout_sec;
dataout_a_register : stratixiii_ram_register
GENERIC MAP ( width => port_a_data_width )
PORT MAP (
d => dataout_a,
clk => clk_a_out,
aclr => dataout_a_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_a_out,
q => dataout_a_reg
);
dataout_b_register : stratixiii_ram_register
GENERIC MAP ( width => port_b_data_width )
PORT MAP (
d => dataout_b,
clk => clk_b_out,
aclr => dataout_b_clr,
devclrn => devclrn,
devpor => devpor,
stall => wire_gnd,
ena => clkena_b_out,
q => dataout_b_reg
);
portadataout <= dataout_a_reg WHEN (out_a_is_reg) ELSE
(OTHERS => '0') WHEN ((dataout_a_clr = '1') OR (dataout_a_clr_reg = '1')) ELSE
dataout_a;
portbdataout <= dataout_b_reg WHEN (out_b_is_reg) ELSE
(OTHERS => '0') WHEN ((dataout_b_clr = '1') OR (dataout_b_clr_reg = '1')) ELSE
dataout_b;
eccstatus <= (OTHERS => '0');
dftout <= (OTHERS => '0');
END block_arch;
---------------------------------------------------------------------
--
-- Entity Name : stratixiii_ff
--
-- Description : Stratix III FF VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_and1;
entity stratixiii_ff is
generic (
power_up : string := "low";
x_on_violation : string := "on";
lpm_type : string := "stratixiii_ff";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
clrn : in std_logic := '1';
aload : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
ena : in std_logic := '1';
asdata : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_ff : entity is TRUE;
end stratixiii_ff;
architecture vital_lcell_ff of stratixiii_ff is
attribute VITAL_LEVEL0 of vital_lcell_ff : architecture is TRUE;
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal d_dly : std_logic;
signal asdata_ipd : std_logic;
signal asdata_dly : std_logic;
signal asdata_dly1 : std_logic;
signal sclr_ipd : std_logic;
signal sload_ipd : std_logic;
signal clrn_ipd : std_logic;
signal aload_ipd : std_logic;
signal ena_ipd : std_logic;
component stratixiii_and1
generic (XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01
);
port (Y : out STD_LOGIC;
IN1 : in STD_LOGIC
);
end component;
begin
ddelaybuffer: stratixiii_and1
port map(IN1 => d_ipd,
Y => d_dly);
asdatadelaybuffer: stratixiii_and1
port map(IN1 => asdata_ipd,
Y => asdata_dly);
asdatadelaybuffer1: stratixiii_and1
port map(IN1 => asdata_dly,
Y => asdata_dly1);
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (asdata_ipd, asdata, tipd_asdata);
VitalWireDelay (sclr_ipd, sclr, tipd_sclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
VitalWireDelay (clrn_ipd, clrn, tipd_clrn);
VitalWireDelay (aload_ipd, aload, tipd_aload);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process (clk_ipd, d_dly, asdata_dly1,
sclr_ipd, sload_ipd, clrn_ipd, aload_ipd,
ena_ipd, devclrn, devpor)
variable Tviol_d_clk : std_ulogic := '0';
variable Tviol_asdata_clk : std_ulogic := '0';
variable Tviol_sclr_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_asdata_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sclr_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable iq : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
if (now = 0 ns) then
if (power_up = "low") then
iq := '0';
elsif (power_up = "high") then
iq := '1';
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(sload_ipd) OR
(sclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_asdata_clk,
TimingData => TimingData_asdata_clk,
TestSignal => asdata_ipd,
TestSignalName => "ASDATA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_asdata_clk_noedge_posedge,
SetupLow => tsetup_asdata_clk_noedge_posedge,
HoldHigh => thold_asdata_clk_noedge_posedge,
HoldLow => thold_asdata_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT sload_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sclr_clk,
TimingData => TimingData_sclr_clk,
TestSignal => sclr_ipd,
TestSignalName => "SCLR",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sclr_clk_noedge_posedge,
SetupLow => tsetup_sclr_clk_noedge_posedge,
HoldHigh => thold_sclr_clk_noedge_posedge,
HoldLow => thold_sclr_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT clrn_ipd) OR
(NOT devpor) OR
(NOT devclrn) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/LCELL_FF",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_d_clk or Tviol_asdata_clk or
Tviol_sclr_clk or Tviol_sload_clk or Tviol_ena_clk;
if ((devpor = '0') or (devclrn = '0') or (clrn_ipd = '0')) then
iq := '0';
elsif (aload_ipd = '1') then
iq := asdata_dly1;
elsif (violation = 'X' and x_on_violation = "on") then
iq := 'X';
elsif clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' then
if (ena_ipd = '1') then
if (sclr_ipd = '1') then
iq := '0';
elsif (sload_ipd = '1') then
iq := asdata_dly1;
else
iq := d_dly;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => iq,
Paths => (0 => (clrn_ipd'last_event, tpd_clrn_q_posedge, TRUE),
1 => (aload_ipd'last_event, tpd_aload_q_posedge, TRUE),
2 => (asdata_ipd'last_event, tpd_asdata_q, TRUE),
3 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_lcell_ff;
--/////////////////////////////////////////////////////////////////////////////
--
-- VHDL Simulation Model for Stratix III CLKSELECT Atom
--
--/////////////////////////////////////////////////////////////////////////////
--
--
-- STRATIXIII_CLKSELECT Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_clkselect is
generic (
lpm_type : STRING := "stratixiii_clkselect";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_clkselect : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tpd_inclk_outclk : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tpd_clkselect_outclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01)
);
port (
inclk : in std_logic_vector(3 downto 0) := "0000";
clkselect : in std_logic_vector(1 downto 0) := "00";
outclk : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_clkselect : entity is TRUE;
end stratixiii_clkselect;
architecture vital_clkselect of stratixiii_clkselect is
attribute VITAL_LEVEL0 of vital_clkselect : architecture is TRUE;
signal inclk_ipd : std_logic_vector(3 downto 0);
signal clkselect_ipd : std_logic_vector(1 downto 0);
signal clkmux_out : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (inclk_ipd(0), inclk(0), tipd_inclk(0));
VitalWireDelay (inclk_ipd(1), inclk(1), tipd_inclk(1));
VitalWireDelay (inclk_ipd(2), inclk(2), tipd_inclk(2));
VitalWireDelay (inclk_ipd(3), inclk(3), tipd_inclk(3));
VitalWireDelay (clkselect_ipd(0), clkselect(0), tipd_clkselect(0));
VitalWireDelay (clkselect_ipd(1), clkselect(1), tipd_clkselect(1));
end block;
process(inclk_ipd, clkselect_ipd)
variable outclk_VitalGlitchData : VitalGlitchDataType;
variable tmp : std_logic;
begin
if (clkselect_ipd = "11") then
tmp := inclk_ipd(3);
elsif (clkselect_ipd = "10") then
tmp := inclk_ipd(2);
elsif (clkselect_ipd = "01") then
tmp := inclk_ipd(1);
else
tmp := inclk_ipd(0);
end if;
clkmux_out <= tmp;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01
(
OutSignal => outclk,
OutSignalName => "OUTCLOCK",
OutTemp => tmp,
Paths => (0 => (inclk_ipd(0)'last_event, tpd_inclk_outclk(0), TRUE),
1 => (inclk_ipd(1)'last_event, tpd_inclk_outclk(1), TRUE),
2 => (inclk_ipd(2)'last_event, tpd_inclk_outclk(2), TRUE),
3 => (inclk_ipd(3)'last_event, tpd_inclk_outclk(3), TRUE),
4 => (clkselect_ipd(0)'last_event, tpd_clkselect_outclk(0), TRUE),
5 => (clkselect_ipd(1)'last_event, tpd_clkselect_outclk(1), TRUE)),
GlitchData => outclk_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
end process;
end vital_clkselect;
--/////////////////////////////////////////////////////////////////////////////
--
-- stratixiii_and2 Model
-- Description : Simulation model for a simple two input AND gate.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.VITAL_Timing.all;
use work.stratixiii_atom_pack.all;
-- entity declaration --
entity stratixiii_and2 is
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tpd_IN2_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC;
IN2 : in STD_LOGIC);
attribute VITAL_LEVEL0 of stratixiii_and2 : entity is TRUE;
end stratixiii_and2;
-- architecture body --
architecture AltVITAL of stratixiii_and2 is
attribute VITAL_LEVEL0 of AltVITAL : architecture is TRUE;
SIGNAL IN1_ipd : STD_ULOGIC := 'U';
SIGNAL IN2_ipd : STD_ULOGIC := 'U';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (IN1_ipd, IN1, tipd_IN1);
VitalWireDelay (IN2_ipd, IN2, tipd_IN2);
end block;
--------------------
-- BEHAVIOR SECTION
--------------------
VITALBehavior : process (IN1_ipd, IN2_ipd)
-- functionality results
VARIABLE Results : STD_LOGIC_VECTOR(1 to 1) := (others => 'X');
ALIAS Y_zd : STD_ULOGIC is Results(1);
-- output glitch detection variables
VARIABLE Y_GlitchData : VitalGlitchDataType;
begin
-------------------------
-- Functionality Section
-------------------------
Y_zd := TO_X01(IN1_ipd) AND TO_X01(IN2_ipd);
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => Y,
OutSignalName => "Y",
OutTemp => Y_zd,
Paths => ( 0 => (IN1_ipd'last_event, tpd_IN1_Y, TRUE),
1 => (IN2_ipd'last_event, tpd_IN2_Y, TRUE)),
GlitchData => Y_GlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end AltVITAL;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixiii_ena_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for the gated clock generation
-- Powers upto 1.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_ena_reg is
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_ena_reg : entity is TRUE;
end stratixiii_ena_reg;
ARCHITECTURE behave of stratixiii_ena_reg is
attribute VITAL_LEVEL0 of behave : architecture is TRUE;
signal d_ipd : std_logic;
signal clk_ipd : std_logic;
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (clk_ipd, clk, tipd_clk);
end block;
VITALtiming : process (clk_ipd, prn, clrn)
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable q_reg : std_logic := '1';
begin
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "D",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01((clrn) OR
(NOT ena)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_ena_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' and (ena = '1')) then
q_reg := d_ipd;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_reg,
Paths => (0 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- VHDL Simulation Model for Stratix III CLKCTRL Atom
--
--/////////////////////////////////////////////////////////////////////////////
--
--
-- Stratix III_CLKCTRL Model
--
--
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_ena_reg;
use work.stratixiii_and2;
entity stratixiii_clkena is
generic (
clock_type : STRING := "Auto";
lpm_type : STRING := "stratixiii_clkena";
ena_register_mode : STRING := "Falling Edge";
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01
);
port (
inclk : in std_logic := '0';
ena : in std_logic := '1';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
enaout : out std_logic;
outclk : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_clkena : entity is TRUE;
end stratixiii_clkena;
architecture vital_clkena of stratixiii_clkena is
attribute VITAL_LEVEL0 of vital_clkena : architecture is TRUE;
component stratixiii_and2
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_IN1_Y : VitalDelayType01 := DefPropDelay01;
tpd_IN2_Y : VitalDelayType01 := DefPropDelay01;
tipd_IN1 : VitalDelayType01 := DefPropDelay01;
tipd_IN2 : VitalDelayType01 := DefPropDelay01);
port(
Y : out STD_LOGIC;
IN1 : in STD_LOGIC;
IN2 : in STD_LOGIC);
end component;
component stratixiii_ena_reg
generic (
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : STRING := "*";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01
);
PORT (
clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end component;
signal inclk_ipd : std_logic;
signal inclk_inv : std_logic;
signal ena_ipd : std_logic;
signal cereg_clr : std_logic;
signal cereg1_out : std_logic;
signal cereg2_out : std_logic;
signal ena_out : std_logic;
signal vcc : std_logic := '1';
begin
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (inclk_ipd, inclk, tipd_inclk);
end block;
inclk_inv <= NOT inclk_ipd;
extena_reg1 : stratixiii_ena_reg
port map (
clk => inclk_inv,
ena => vcc,
d => ena_ipd,
clrn => vcc,
prn => devpor,
q => cereg1_out
);
extena_reg2 : stratixiii_ena_reg
port map (
clk => inclk_inv,
ena => vcc,
d => cereg1_out,
clrn => vcc,
prn => devpor,
q => cereg2_out
);
ena_out <= cereg1_out WHEN (ena_register_mode = "falling edge") ELSE
ena_ipd WHEN (ena_register_mode = "none") ELSE cereg2_out;
outclk_and : stratixiii_and2
port map (
IN1 => inclk_ipd,
IN2 => ena_out,
Y => outclk
);
enaout_and : stratixiii_and2
port map (
IN1 => vcc,
IN2 => ena_out,
Y => enaout
);
end vital_clkena;
----------------------------------------------------------------------------
-- Module Name : stratixiii_mlab_cell_pulse_generator
-- Description : Generate pulse to initiate memory read/write operations
----------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_mlab_cell_pulse_generator IS
GENERIC (
tipd_clk : VitalDelayType01 := (1 ps,1 ps);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tpd_clk_pulse_posedge : VitalDelayType01 := DefPropDelay01
);
PORT (
clk,ena : IN STD_LOGIC;
pulse,cycle : OUT STD_LOGIC
);
ATTRIBUTE VITAL_Level0 OF stratixiii_mlab_cell_pulse_generator:ENTITY IS TRUE;
END stratixiii_mlab_cell_pulse_generator;
ARCHITECTURE pgen_arch OF stratixiii_mlab_cell_pulse_generator IS
SIGNAL clk_ipd,ena_ipd : STD_LOGIC;
SIGNAL state : STD_LOGIC;
ATTRIBUTE VITAL_Level0 OF pgen_arch:ARCHITECTURE IS TRUE;
BEGIN
WireDelay : BLOCK
BEGIN
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
END BLOCK;
PROCESS (clk_ipd,state)
BEGIN
IF (state = '1' AND state'EVENT) THEN
state <= '0';
ELSIF (clk_ipd = '1' AND clk_ipd'EVENT AND ena_ipd = '1') THEN
state <= '1';
END IF;
END PROCESS;
PathDelay : PROCESS
VARIABLE pulse_VitalGlitchData : VitalGlitchDataType;
BEGIN
WAIT UNTIL state'EVENT;
VitalPathDelay01 (
OutSignal => pulse,
OutSignalName => "pulse",
OutTemp => state,
Paths => (0 => (clk_ipd'LAST_EVENT,tpd_clk_pulse_posedge,TRUE)),
GlitchData => pulse_VitalGlitchData,
Mode => DefGlitchMode,
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks
);
END PROCESS;
cycle <= clk_ipd;
END pgen_arch;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE work.stratixiii_mlab_cell_pulse_generator;
ENTITY stratixiii_mlab_cell IS
GENERIC (
-- -------- GLOBAL PARAMETERS ---------
logical_ram_name : STRING := "lutram";
init_file : STRING := "UNUSED";
data_interleave_offset_in_bits : INTEGER := 1;
logical_ram_depth : INTEGER := 0;
logical_ram_width : INTEGER := 0;
first_address : INTEGER := 0;
last_address : INTEGER := 0;
first_bit_number : INTEGER := 0;
data_width : INTEGER := 1;
address_width : INTEGER := 1;
byte_enable_mask_width : INTEGER := 1;
byte_size : INTEGER := 1;
lpm_type : string := "stratixiii_mlab_cell";
lpm_hint : string := "true";
mixed_port_feed_through_mode : string := "dont_care";
mem_init0 : BIT_VECTOR := X"0";
-- --------- VITAL PARAMETERS --------
tipd_clk0 : VitalDelayType01 := DefPropDelay01;
tipd_ena0 : VitalDelayType01 := DefPropDelay01;
tipd_portaaddr : VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_portbaddr : VitalDelayArrayType01(7 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_portabyteenamasks : VitalDelayArrayType01(20 DOWNTO 0) := (OTHERS => DefPropDelay01);
tsetup_portaaddr_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_portabyteenamasks_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena0_clk0_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_portaaddr_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_portabyteenamasks_clk0_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ena0_clk0_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_portbaddr_portbdataout : VitalDelayType01 := DefPropDelay01
);
-- -------- PORT DECLARATIONS ---------
PORT (
portadatain : IN STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0) := (OTHERS => '0');
portaaddr : IN STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0) := (OTHERS => '0');
portabyteenamasks : IN STD_LOGIC_VECTOR(byte_enable_mask_width - 1 DOWNTO 0) := (OTHERS => '1');
portbaddr : IN STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0) := (OTHERS => '0');
clk0 : IN STD_LOGIC := '0';
ena0 : IN STD_LOGIC := '1';
portbdataout : OUT STD_LOGIC_VECTOR(data_width - 1 DOWNTO 0)
);
END stratixiii_mlab_cell;
ARCHITECTURE block_arch OF stratixiii_mlab_cell IS
COMPONENT stratixiii_mlab_cell_pulse_generator
PORT (
clk : IN STD_LOGIC;
ena : IN STD_LOGIC;
pulse : OUT STD_LOGIC;
cycle : OUT STD_LOGIC
);
END COMPONENT;
CONSTANT port_byte_size : INTEGER := data_width / byte_enable_mask_width;
-- -------- internal signals ---------
-- Write address
SIGNAL write_address : INTEGER := 0;
SIGNAL read_address : INTEGER := 0;
-- pulses
SIGNAL write_pulse, write_cycle, write_clock : STD_LOGIC;
-- memory core
SUBTYPE mem_word_type IS STD_LOGIC_VECTOR (data_width - 1 DOWNTO 0);
TYPE mem_type IS ARRAY ((2 ** address_width) - 1 DOWNTO 0) OF mem_word_type;
SIGNAL mem : mem_type;
SIGNAL init_mem : BOOLEAN := FALSE;
-- byte enable
TYPE mask_type IS (normal,inverse);
TYPE mask_write IS ARRAY(mask_type'HIGH DOWNTO mask_type'LOW) OF mem_word_type;
SIGNAL mask_vector : mask_write := (
normal => (OTHERS => '0'),
inverse => (OTHERS => 'X')
);
-- output
FUNCTION get_mask(
b_ena : IN STD_LOGIC_VECTOR;
CONSTANT b_ena_width ,byte_size: INTEGER
) RETURN mask_write IS
VARIABLE l : INTEGER;
VARIABLE mask : mask_write := (normal => (OTHERS => '0'),inverse => (OTHERS => 'X'));
BEGIN
FOR l in 0 TO b_ena_width - 1 LOOP
IF (b_ena(l) = '0') THEN
mask(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
mask(inverse)((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => '0');
ELSIF (b_ena(l) = 'X' OR b_ena(l) = 'U') THEN
mask(normal) ((l+1)*byte_size - 1 DOWNTO l*byte_size) := (OTHERS => 'X');
END IF;
END LOOP;
RETURN mask;
END get_mask;
SIGNAL clk0_ipd : STD_LOGIC;
SIGNAL ena0_ipd : STD_LOGIC;
SIGNAL portaaddr_ipd : STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0);
SIGNAL portbaddr_ipd : STD_LOGIC_VECTOR(address_width - 1 DOWNTO 0);
SIGNAL portabyteenamasks_ipd : STD_LOGIC_VECTOR(byte_enable_mask_width - 1 DOWNTO 0);
SIGNAL ena0_reg : STD_LOGIC := '0';
BEGIN
-- interconnect delays
WireDelay : BLOCK
BEGIN
loopbits_ad : FOR i in portaaddr'RANGE GENERATE
VitalWireDelay (portaaddr_ipd(i), portaaddr(i), tipd_portaaddr(i));
VitalWireDelay (portbaddr_ipd(i), portbaddr(i), tipd_portbaddr(i));
END GENERATE;
loopbits_be : FOR j in portabyteenamasks'RANGE GENERATE
VitalWireDelay (portabyteenamasks_ipd(j), portabyteenamasks(j), tipd_portabyteenamasks(j));
END GENERATE;
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (ena0_ipd, ena0, tipd_ena0);
END BLOCK;
-- setup/hold checks
setup_hold_checks: PROCESS (ena0_reg,portaaddr_ipd,portabyteenamasks_ipd,clk0_ipd,ena0_ipd)
VARIABLE Tviol_clk_enable : STD_ULOGIC := '0';
VARIABLE Tviol_clk_address : STD_ULOGIC := '0';
VARIABLE Tviol_clk_bemasks : STD_ULOGIC := '0';
VARIABLE TimingData_clk_enable : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_address : VitalTimingDataType := VitalTimingDataInit;
VARIABLE TimingData_clk_bemasks : VitalTimingDataType := VitalTimingDataInit;
BEGIN
-- Timing checks
VitalSetupHoldCheck (
Violation => Tviol_clk_enable,
TimingData => TimingData_clk_enable,
TestSignal => ena0_ipd,
TestSignalName => "ena0",
RefSignal => clk0_ipd,
RefSignalName => "clk0",
SetupHigh => tsetup_ena0_clk0_noedge_posedge,
SetupLow => tsetup_ena0_clk0_noedge_posedge,
HoldHigh => thold_ena0_clk0_noedge_posedge,
HoldLow => thold_ena0_clk0_noedge_posedge,
CheckEnabled => TRUE,
RefTransition => '/',
HeaderMsg => "/LUTRAM VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_address,
TimingData => TimingData_clk_address,
TestSignal => portaaddr_ipd,
TestSignalName => "portaaddr",
RefSignal => clk0_ipd,
RefSignalName => "clk0",
SetupHigh => tsetup_portaaddr_clk0_noedge_negedge,
SetupLow => tsetup_portaaddr_clk0_noedge_negedge,
HoldHigh => thold_portaaddr_clk0_noedge_negedge,
HoldLow => thold_portaaddr_clk0_noedge_negedge,
CheckEnabled => (ena0_reg = '1'),
RefTransition => '\',
HeaderMsg => "/LUTRAM VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_clk_bemasks,
TimingData => TimingData_clk_bemasks,
TestSignal => portabyteenamasks_ipd,
TestSignalName => "portabyteenamasks",
RefSignal => clk0_ipd,
RefSignalName => "clk0",
SetupHigh => tsetup_portabyteenamasks_clk0_noedge_negedge,
SetupLow => tsetup_portabyteenamasks_clk0_noedge_negedge,
HoldHigh => thold_portabyteenamasks_clk0_noedge_negedge,
HoldLow => thold_portabyteenamasks_clk0_noedge_negedge,
CheckEnabled => (ena0_reg = '1'),
RefTransition => '\',
HeaderMsg => "/LUTRAM VitalSetupHoldCheck",
XOn => DefXOnChecks,
MsgOn => DefMsgOnChecks );
END PROCESS setup_hold_checks;
-- latch CE signal
PROCESS (clk0_ipd)
BEGIN
IF (clk0_ipd'EVENT AND clk0_ipd = '1') THEN
ena0_reg <= ena0_ipd;
END IF;
END PROCESS;
-- output path delay
PROCESS (portbaddr_ipd)
VARIABLE CQDelay : TIME := 0 ns;
BEGIN
CQDelay := SelectDelay(
( 1 => ( portbaddr_ipd'LAST_EVENT, tpd_portbaddr_portbdataout, TRUE ) )
);
read_address <= TRANSPORT alt_conv_integer(portbaddr_ipd) AFTER CQDelay;
END PROCESS;
-- memory initialization
init_mem <= TRUE;
write_clock <= NOT clk0_ipd;
write_address <= alt_conv_integer(portaaddr_ipd);
-- Write pulse generation (neg edge)
wpgen_a : stratixiii_mlab_cell_pulse_generator
PORT MAP (
clk => write_clock,
ena => ena0_reg,
pulse => write_pulse,
cycle => write_cycle
);
-- Create internal masks for byte enable processing
mask_create : PROCESS (portabyteenamasks_ipd)
VARIABLE mask : mask_write;
BEGIN
IF (portabyteenamasks_ipd'EVENT) THEN
mask := get_mask(portabyteenamasks_ipd,byte_enable_mask_width,port_byte_size);
mask_vector <= mask;
END IF;
END PROCESS mask_create;
mem_rw : PROCESS (init_mem, write_pulse)
-- mem init
VARIABLE addr_range_init,index : INTEGER;
VARIABLE mem_init_std : STD_LOGIC_VECTOR((last_address - first_address + 1)*data_width - 1 DOWNTO 0);
VARIABLE mem_init : bit_vector(mem_init0'length - 1 DOWNTO 0);
VARIABLE mem_val : mem_type;
-- read/write
VARIABLE mem_data_p : mem_word_type;
BEGIN
-- Memory initialization
IF (init_mem'EVENT) THEN
-- Initialize output to 0
mem_val := (OTHERS => (OTHERS => '0'));
IF (init_file /= "UNUSED" AND init_file /= "unused") THEN
addr_range_init := last_address - first_address + 1;
mem_init := mem_init0;
mem_init_std := to_stdlogicvector(mem_init)((last_address - first_address + 1)*data_width - 1 DOWNTO 0);
FOR row IN 0 TO addr_range_init - 1 LOOP
index := row * data_width;
mem_val(row) := mem_init_std(index + data_width -1 DOWNTO index );
END LOOP;
END IF;
mem <= mem_val;
END IF;
-- Write stage 1 : X to memory
-- Write stage 2 : actual data to memory
IF (write_pulse'EVENT) THEN
IF (write_pulse = '1') THEN
mem_data_p := mem(write_address);
FOR i IN 0 TO data_width - 1 LOOP
mem_data_p(i) := mem_data_p(i) XOR mask_vector(inverse)(i);
END LOOP;
mem(write_address) <= mem_data_p;
ELSIF (write_pulse = '0') THEN
mem_data_p := mem(write_address);
FOR i IN 0 TO data_width - 1 LOOP
IF (mask_vector(normal)(i) = '0') THEN
mem(write_address)(i) <= portadatain(i);
mem_data_p(i) := portadatain(i);
ELSIF (mask_vector(inverse)(i) = 'X') THEN
mem(write_address)(i) <= 'X';
mem_data_p(i) := 'X';
END IF;
END LOOP;
END IF;
END IF;
END PROCESS mem_rw;
-- Continuous read
portbdataout <= mem(read_address);
END block_arch;
---------------------------------------------------------------------
--
-- Entity Name : stratixiii_io_ibuf
--
-- Description : Stratix III IO Ibuf VHDL simulation model
--
--
---------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_io_ibuf IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_ibar : VitalDelayType01 := DefPropDelay01;
tipd_dynamicterminationcontrol : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_ibar_o : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
differential_mode : string := "false";
bus_hold : string := "false";
simulate_z_as : string := "Z";
lpm_type : string := "stratixiii_io_ibuf"
);
PORT (
i : IN std_logic := '0';
ibar : IN std_logic := '0';
dynamicterminationcontrol : IN std_logic := '0';
o : OUT std_logic
);
END stratixiii_io_ibuf;
ARCHITECTURE arch OF stratixiii_io_ibuf IS
SIGNAL i_ipd : std_logic := '0';
SIGNAL ibar_ipd : std_logic := '0';
SIGNAL o_tmp : std_logic;
SIGNAL out_tmp : std_logic;
SIGNAL prev_value : std_logic := '0';
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
VitalWireDelay (ibar_ipd, ibar, tipd_ibar);
end block;
PROCESS(i_ipd, ibar_ipd)
BEGIN
IF (differential_mode = "false") THEN
IF (i_ipd = '1') THEN
o_tmp <= '1';
prev_value <= '1';
ELSIF (i_ipd = '0') THEN
o_tmp <= '0';
prev_value <= '0';
ELSE
o_tmp <= i_ipd;
END IF;
ELSE
IF (( i_ipd = '0' ) and (ibar_ipd = '1')) then
o_tmp <= '0';
ELSIF (( i_ipd = '1' ) and (ibar_ipd = '0')) then
o_tmp <= '1';
ELSIF((( i_ipd = '1' ) and (ibar_ipd = '1')) or (( i_ipd = '0' ) and (ibar_ipd = '0')))then
o_tmp <= 'X';
ELSE
o_tmp <= 'X';
END IF;
END IF;
END PROCESS;
out_tmp <= prev_value when (bus_hold = "true") else
'Z' when((o_tmp = 'Z') AND (simulate_z_as = "Z")) else
'X' when((o_tmp = 'Z') AND (simulate_z_as = "X")) else
'1' when((o_tmp = 'Z') AND (simulate_z_as = "vcc")) else
'0' when((o_tmp = 'Z') AND (simulate_z_as = "gnd")) else
o_tmp;
----------------------
-- Path Delay Section
----------------------
PROCESS( out_tmp)
variable output_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => out_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE),
1 => (ibar_ipd'last_event, tpd_ibar_o, TRUE)),
GlitchData => output_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : stratixiii_io_obuf
--
-- Description : Stratix III IO Obuf VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_io_obuf IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_dynamicterminationcontrol : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_oe_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
tpd_oe_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
open_drain_output : string := "false";
shift_series_termination_control : string := "false";
sim_dynamic_termination_control_is_connected : string := "false";
bus_hold : string := "false";
lpm_type : string := "stratixiii_io_obuf"
);
PORT (
i : IN std_logic := '0';
oe : IN std_logic := '1';
dynamicterminationcontrol : IN std_logic := '0';
seriesterminationcontrol : IN std_logic_vector(13 DOWNTO 0) := (others => '0');
parallelterminationcontrol : IN std_logic_vector(13 DOWNTO 0) := (others => '0');
devoe : IN std_logic := '1';
o : OUT std_logic;
obar : OUT std_logic
);
END stratixiii_io_obuf;
ARCHITECTURE arch OF stratixiii_io_obuf IS
--INTERNAL Signals
SIGNAL i_ipd : std_logic := '0';
SIGNAL oe_ipd : std_logic := '0';
SIGNAL dynamicterminationcontrol_ipd : std_logic := '0';
SIGNAL out_tmp : std_logic := 'Z';
SIGNAL out_tmp_bar : std_logic;
SIGNAL prev_value : std_logic := '0';
SIGNAL o_tmp : std_logic;
SIGNAL obar_tmp : std_logic;
SIGNAL o_tmp1 : std_logic;
SIGNAL obar_tmp1 : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
VitalWireDelay (oe_ipd, oe, tipd_oe);
VitalWireDelay (dynamicterminationcontrol_ipd, dynamicterminationcontrol, tipd_dynamicterminationcontrol);
end block;
PROCESS( i_ipd, oe_ipd)
BEGIN
IF (oe_ipd = '1') THEN
IF (open_drain_output = "true") THEN
IF (i_ipd = '0') THEN
out_tmp <= '0';
out_tmp_bar <= '1';
prev_value <= '0';
ELSE
out_tmp <= 'Z';
out_tmp_bar <= 'Z';
END IF;
ELSE
IF (i_ipd = '0') THEN
out_tmp <= '0';
out_tmp_bar <= '1';
prev_value <= '0';
ELSE
IF (i_ipd = '1') THEN
out_tmp <= '1';
out_tmp_bar <= '0';
prev_value <= '1';
ELSE
out_tmp <= i_ipd;
out_tmp_bar <= i_ipd;
END IF;
END IF;
END IF;
ELSE
IF (oe_ipd = '0') THEN
out_tmp <= 'Z';
out_tmp_bar <= 'Z';
ELSE
out_tmp <= 'X';
out_tmp_bar <= 'X';
END IF;
END IF;
END PROCESS;
o_tmp1 <= prev_value WHEN (bus_hold = "true") ELSE out_tmp;
obar_tmp1 <= NOT prev_value WHEN (bus_hold = "true") ELSE out_tmp_bar;
o_tmp <= 'X' when (( oe_ipd = '1') and (dynamicterminationcontrol = '1') and (sim_dynamic_termination_control_is_connected = "true")) else o_tmp1 WHEN (devoe = '1') ELSE 'Z';
obar_tmp <= 'X' when (( oe_ipd = '1') and (dynamicterminationcontrol = '1')and (sim_dynamic_termination_control_is_connected = "true")) else obar_tmp1 WHEN (devoe = '1') ELSE 'Z';
---------------------
-- Path Delay Section
----------------------
PROCESS( o_tmp,obar_tmp)
variable o_VitalGlitchData : VitalGlitchDataType;
variable obar_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => o_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE),
1 => (oe_ipd'last_event, tpd_oe_o, TRUE)),
GlitchData => o_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
VitalPathDelay01 (
OutSignal => obar,
OutSignalName => "obar",
OutTemp => obar_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_obar, TRUE),
1 => (oe_ipd'last_event, tpd_oe_obar, TRUE)),
GlitchData => obar_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
-----------------------------------------------------------------------
--
-- Entity Name : stratixiii_ddio_in
--
-- Description : Stratix III DDIO_IN VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_ddio_in IS
generic(
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_clkn : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
use_clkn : string := "false";
lpm_type : string := "stratixiii_ddio_in"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
clkn : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
regoutlo : OUT std_logic;
regouthi : OUT std_logic;
dfflo : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixiii_ddio_in;
ARCHITECTURE arch OF stratixiii_ddio_in IS
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL datain_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL clkn_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL ddioreg_clk : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL regout_tmp_hi : std_logic;
SIGNAL regout_tmp_lo : std_logic;
SIGNAL regouthi_tmp : std_logic;
SIGNAL regoutlo_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (clkn_ipd, clkn, tipd_clkn);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
ddioreg_clk <= NOT clk_ipd WHEN (use_clkn = "false") ELSE clkn_ipd;
--Decode the control values for the DDIO registers
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
--DDIO High Register
ddioreg_hi : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datain_ipd,
clk => clk_ipd,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => regout_tmp_hi,
devpor => devpor,
devclrn => devclrn
);
--DDIO Low Register
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datain_ipd,
clk => ddioreg_clk,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
ddioreg_lo1 : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => dfflo_tmp,
clk => clk_ipd,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => regout_tmp_lo,
devpor => devpor,
devclrn => devclrn
);
regouthi <= regout_tmp_hi ;
regoutlo <= regout_tmp_lo ;
dfflo <= dfflo_tmp ;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : stratixiii_ddio_oe
--
-- Description : Stratix III DDIO_OE VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_ddio_oe IS
generic(
tipd_oe : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
lpm_type : string := "stratixiii_ddio_oe"
);
PORT (
oe : IN std_logic := '1';
clk : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixiii_ddio_oe;
ARCHITECTURE arch OF stratixiii_ddio_oe IS
component stratixiii_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01
);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic
);
end component;
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL oe_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL dffhi_tmp : std_logic;
signal nclk : std_logic;
signal dataout_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (oe_ipd, oe, tipd_oe);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
nclk <= NOT clk_ipd;
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
ddioreg_hi : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => oe_ipd,
clk => clk_ipd,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dffhi_tmp,
devpor => devpor,
devclrn => devclrn
);
--DDIO Low Register
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => dffhi_tmp,
clk => nclk,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
--registered output
or_gate : stratixiii_mux21
port map (
A => dffhi_tmp,
B => dfflo_tmp,
S => dfflo_tmp,
MO => dataout
);
dfflo <= dfflo_tmp ;
dffhi <= dffhi_tmp ;
END arch;
---------------------------------------------------------------------
--
-- Entity Name : stratixiii_ddio_out
--
-- Description : Stratix III DDIO_OUT VHDL simulation model
--
--
---------------------------------------------------------------------
LIBRARY IEEE;
LIBRARY altera;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use altera.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_ddio_out IS
generic(
tipd_datainlo : VitalDelayType01 := DefPropDelay01;
tipd_datainhi : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_clkhi : VitalDelayType01 := DefPropDelay01;
tipd_clklo : VitalDelayType01 := DefPropDelay01;
tipd_muxsel : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_sreset : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
half_rate_mode : string := "false";
use_new_clocking_model : string := "false";
lpm_type : string := "stratixiii_ddio_out"
);
PORT (
datainlo : IN std_logic := '0';
datainhi : IN std_logic := '0';
clk : IN std_logic := '0';
clkhi : IN std_logic := '0';
clklo : IN std_logic := '0';
muxsel : IN std_logic := '0';
ena : IN std_logic := '1';
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
dataout : OUT std_logic;
dfflo : OUT std_logic;
dffhi : OUT std_logic_vector(1 downto 0) ;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixiii_ddio_out;
ARCHITECTURE arch OF stratixiii_ddio_out IS
component stratixiii_mux21
generic(
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
InstancePath: STRING := "*";
tpd_A_MO : VitalDelayType01 := DefPropDelay01;
tpd_B_MO : VitalDelayType01 := DefPropDelay01;
tpd_S_MO : VitalDelayType01 := DefPropDelay01;
tipd_A : VitalDelayType01 := DefPropDelay01;
tipd_B : VitalDelayType01 := DefPropDelay01;
tipd_S : VitalDelayType01 := DefPropDelay01
);
port (
A : in std_logic := '0';
B : in std_logic := '0';
S : in std_logic := '0';
MO : out std_logic
);
end component;
component dffeas
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
lpm_type : string := "DFFEAS";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
--Internal Signals
SIGNAL datainlo_ipd : std_logic := '0';
SIGNAL datainhi_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL clkhi_ipd : std_logic := '0';
SIGNAL clklo_ipd : std_logic := '0';
SIGNAL muxsel_ipd : std_logic := '0';
SIGNAL ena_ipd : std_logic := '0';
SIGNAL areset_ipd : std_logic := '0';
SIGNAL sreset_ipd : std_logic := '0';
SIGNAL ddioreg_aclr : std_logic;
SIGNAL ddioreg_prn : std_logic;
SIGNAL ddioreg_adatasdata : std_logic;
SIGNAL ddioreg_sclr : std_logic;
SIGNAL ddioreg_sload : std_logic;
SIGNAL dfflo_tmp : std_logic;
SIGNAL dffhi_tmp : std_logic;
SIGNAL dataout_tmp : std_logic;
Signal mux_sel : std_logic;
Signal mux_hi : std_logic;
Signal dffhi1_tmp : std_logic;
Signal sel_mux_hi_in : std_logic;
signal nclk : std_logic;
signal clk1 : std_logic;
signal clk_hi : std_logic;
signal clk_lo : std_logic;
signal clk_hr : std_logic;
signal muxsel1 : std_logic;
signal muxsel2: std_logic;
signal clk2 : std_logic;
signal muxsel_tmp: std_logic;
signal sel_mux_lo_in : std_logic;
signal datainlo_tmp : std_logic;
signal datainhi_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (datainlo_ipd, datainlo, tipd_datainlo);
VitalWireDelay (datainhi_ipd, datainhi, tipd_datainhi);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (clkhi_ipd, clkhi, tipd_clkhi);
VitalWireDelay (clklo_ipd, clklo, tipd_clklo);
VitalWireDelay (muxsel_ipd, muxsel, tipd_muxsel);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (sreset_ipd, sreset, tipd_sreset);
end block;
nclk <= NOT clk_ipd;
PROCESS
BEGIN
WAIT UNTIL areset_ipd'EVENT OR sreset_ipd'EVENT;
IF (async_mode = "clear") THEN
ddioreg_aclr <= NOT areset_ipd;
ddioreg_prn <= '1';
ELSIF (async_mode = "preset") THEN
ddioreg_aclr <= '1';
ddioreg_prn <= NOT areset_ipd;
ELSE
ddioreg_aclr <= '1';
ddioreg_prn <= '1';
END IF;
IF (sync_mode = "clear") THEN
ddioreg_adatasdata <= '0';
ddioreg_sclr <= sreset_ipd;
ddioreg_sload <= '0';
ELSIF (sync_mode = "preset") THEN
ddioreg_adatasdata <= '1';
ddioreg_sclr <= '0';
ddioreg_sload <= sreset_ipd;
ELSE
ddioreg_adatasdata <= '0';
ddioreg_sclr <= '0';
ddioreg_sload <= '0';
END IF;
END PROCESS;
process(clk_ipd)
begin
clk1 <= clk_ipd;
end process;
process(muxsel_ipd)
begin
muxsel1 <= muxsel_ipd;
end process;
--DDIO HIGH Register
clk_hi <= clkhi_ipd when(use_new_clocking_model = "true") else clk_ipd;
datainhi_tmp <= datainhi;
ddioreg_hi : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datainhi_tmp,
clk => clk_hi,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dffhi_tmp,
devpor => devpor,
devclrn => devclrn
);
--DDIO Low Register
clk_lo <= clklo_ipd when(use_new_clocking_model = "true") else clk_ipd;
datainlo_tmp <= datainlo;
ddioreg_lo : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => datainlo_tmp,
clk => clk_lo,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dfflo_tmp,
devpor => devpor,
devclrn => devclrn
);
clk_hr <= NOT clkhi_ipd when(use_new_clocking_model = "true") else NOT clk_ipd;
ddioreg_hi1 : dffeas
GENERIC MAP (
power_up => power_up
)
PORT MAP (
d => dffhi_tmp,
clk => clk_hr,
clrn => ddioreg_aclr,
prn => ddioreg_prn,
sclr => ddioreg_sclr,
sload => ddioreg_sload,
asdata => ddioreg_adatasdata,
ena => ena_ipd,
q => dffhi1_tmp,
devpor => devpor,
devclrn => devclrn
);
muxsel2 <= muxsel1;
clk2 <= clk1;
mux_sel <= muxsel2 when(use_new_clocking_model = "true") else clk2;
muxsel_tmp <= mux_sel;
sel_mux_lo_in <= dfflo_tmp;
sel_mux_hi_in <= dffhi1_tmp when(half_rate_mode = "true") else dffhi_tmp;
sel_mux : stratixiii_mux21
port map (
A => sel_mux_lo_in,
B => sel_mux_hi_in,
S => muxsel_tmp,
MO => dataout
);
dfflo <= dfflo_tmp;
dffhi(0) <= dffhi_tmp;
dffhi(1) <= dffhi1_tmp;
END arch;
-- --------------------------------------------------------------------
-- Module Name: stratixiii_rt_sm
-- Description: Parallel Termination State Machine
-- --------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
ENTITY stratixiii_rt_sm IS
PORT (
rup : IN std_logic;
rdn : IN std_logic;
clk : IN std_logic;
clken : IN std_logic;
clr : IN std_logic;
rtena : IN std_logic;
rscaldone : IN std_logic;
rtoffsetp : OUT std_logic_vector(3 DOWNTO 0);
rtoffsetn : OUT std_logic_vector(3 DOWNTO 0);
caldone : OUT std_logic;
sel_rup_vref : OUT std_logic_vector(2 DOWNTO 0);
sel_rdn_vref : OUT std_logic_vector(2 DOWNTO 0));
END stratixiii_rt_sm;
ARCHITECTURE stratixiii_rt_sm_rtl OF stratixiii_rt_sm IS
CONSTANT STRATIXIII_RTOCT_WAIT : std_logic_vector(4 DOWNTO 0) := "00000";
CONSTANT RUP_VREF_M_RDN_VER_M : std_logic_vector(4 DOWNTO 0) := "00001";
CONSTANT RUP_VREF_L_RDN_VER_L : std_logic_vector(4 DOWNTO 0) := "00010";
CONSTANT RUP_VREF_H_RDN_VER_H : std_logic_vector(4 DOWNTO 0) := "00011";
CONSTANT RUP_VREF_L_RDN_VER_H : std_logic_vector(4 DOWNTO 0) := "00100";
CONSTANT RUP_VREF_H_RDN_VER_L : std_logic_vector(4 DOWNTO 0) := "00101";
CONSTANT STRATIXIII_RTOCT_INC_PN : std_logic_vector(4 DOWNTO 0) := "01000";
CONSTANT STRATIXIII_RTOCT_DEC_PN : std_logic_vector(4 DOWNTO 0) := "01001";
CONSTANT STRATIXIII_RTOCT_INC_P : std_logic_vector(4 DOWNTO 0) := "01010";
CONSTANT STRATIXIII_RTOCT_DEC_P : std_logic_vector(4 DOWNTO 0) := "01011";
CONSTANT STRATIXIII_RTOCT_INC_N : std_logic_vector(4 DOWNTO 0) := "01100";
CONSTANT STRATIXIII_RTOCT_DEC_N : std_logic_vector(4 DOWNTO 0) := "01101";
CONSTANT STRATIXIII_RTOCT_SWITCH_REG: std_logic_vector(4 DOWNTO 0) := "10001";
CONSTANT STRATIXIII_RTOCT_DONE : std_logic_vector(4 DOWNTO 0) := "11111";
-- interface
SIGNAL nclr : std_logic := '1'; -- for synthesis
SIGNAL rtcalclk : std_logic;
SIGNAL caldone_sig : std_logic := '0';
-- sm
SIGNAL current_state : std_logic_vector(4 DOWNTO 0) := "00000";
SIGNAL next_state : std_logic_vector(4 DOWNTO 0) := "00000";
SIGNAL sel_rup_vref_h_d : std_logic := '0';
SIGNAL sel_rup_vref_h : std_logic := '0';
SIGNAL sel_rup_vref_m_d : std_logic := '1';
SIGNAL sel_rup_vref_m : std_logic := '1';
SIGNAL sel_rup_vref_l_d : std_logic := '0';
SIGNAL sel_rup_vref_l : std_logic := '0';
SIGNAL sel_rdn_vref_h_d : std_logic := '0';
SIGNAL sel_rdn_vref_h : std_logic := '0';
SIGNAL sel_rdn_vref_m_d : std_logic := '1';
SIGNAL sel_rdn_vref_m : std_logic := '1';
SIGNAL sel_rdn_vref_l_d : std_logic := '0';
SIGNAL sel_rdn_vref_l : std_logic := '0';
SIGNAL switch_region_d : std_logic := '0';
SIGNAL switch_region : std_logic := '0';
SIGNAL cmpup : std_logic := '0';
SIGNAL cmpdn : std_logic := '0';
SIGNAL rt_sm_done_d : std_logic := '0';
SIGNAL rt_sm_done : std_logic := '0';
-- cnt
SIGNAL p_cnt_d : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL p_cnt : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL n_cnt_d : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL n_cnt : std_logic_vector(2 DOWNTO 0) := "000";
SIGNAL p_cnt_sub_d : std_logic := '0';
SIGNAL p_cnt_sub : std_logic := '0';
SIGNAL n_cnt_sub_d : std_logic := '0';
SIGNAL n_cnt_sub : std_logic := '0';
BEGIN
-- primary output - MSB is sign bit
rtoffsetp <= p_cnt_sub & p_cnt ;
rtoffsetn <= n_cnt_sub & n_cnt ;
caldone <= caldone_sig;
caldone_sig <= rt_sm_done WHEN (rtena = '1') ELSE '1';
sel_rup_vref <= sel_rup_vref_h & sel_rup_vref_m & sel_rup_vref_l ;
sel_rdn_vref <= sel_rdn_vref_h & sel_rdn_vref_m & sel_rdn_vref_l ;
-- input interface
nclr <= NOT clr ;
rtcalclk <= ((rscaldone AND clken) AND (NOT caldone_sig)) AND clk ;
-- latch registers - rising on everything except cmpup and cmpdn
-- cmpup/dn
PROCESS
BEGIN
WAIT UNTIL (rtcalclk'EVENT AND rtcalclk = '0') OR (nclr'EVENT AND nclr = '0');
IF (nclr = '0') THEN
cmpup <= '0';
cmpdn <= '0';
ELSE
cmpup <= rup;
cmpdn <= rdn;
END IF;
END PROCESS;
-- other regisers
PROCESS
BEGIN
WAIT UNTIL (rtcalclk'EVENT AND rtcalclk = '1') OR (clr'EVENT AND clr = '1');
IF (clr = '1') THEN
current_state <= STRATIXIII_RTOCT_WAIT;
switch_region <= '0';
rt_sm_done <= '0';
p_cnt <= "000";
p_cnt_sub <= '0';
n_cnt <= "000";
n_cnt_sub <= '0';
sel_rup_vref_h <= '0';
sel_rup_vref_m <= '1';
sel_rup_vref_l <= '0';
sel_rdn_vref_h <= '0';
sel_rdn_vref_m <= '1';
sel_rdn_vref_l <= '0';
ELSE
current_state <= next_state;
switch_region <= switch_region_d;
rt_sm_done <= rt_sm_done_d;
p_cnt <= p_cnt_d;
p_cnt_sub <= p_cnt_sub_d;
n_cnt <= n_cnt_d;
n_cnt_sub <= n_cnt_sub_d;
sel_rup_vref_h <= sel_rup_vref_h_d;
sel_rup_vref_m <= sel_rup_vref_m_d;
sel_rup_vref_l <= sel_rup_vref_l_d;
sel_rdn_vref_h <= sel_rdn_vref_h_d;
sel_rdn_vref_m <= sel_rdn_vref_m_d;
sel_rdn_vref_l <= sel_rdn_vref_l_d;
END IF;
END PROCESS;
-- state machine
PROCESS(current_state, rtena, cmpup, cmpdn, p_cnt, n_cnt, switch_region)
variable p_cnt_d_var, n_cnt_d_var : std_logic_vector(2 DOWNTO 0);
variable p_cnt_sub_d_var, n_cnt_sub_d_var : std_logic;
BEGIN
p_cnt_d_var := p_cnt;
n_cnt_d_var := n_cnt;
p_cnt_sub_d_var := '0';
n_cnt_sub_d_var := '0';
CASE current_state IS
WHEN STRATIXIII_RTOCT_WAIT =>
IF (rtena = '0') THEN
next_state <= STRATIXIII_RTOCT_WAIT;
ELSE
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
WHEN RUP_VREF_M_RDN_VER_M =>
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= RUP_VREF_L_RDN_VER_L;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
ELSE
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= RUP_VREF_H_RDN_VER_H;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
ELSE
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= STRATIXIII_RTOCT_INC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= STRATIXIII_RTOCT_DEC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
END IF;
END IF;
END IF;
END IF;
WHEN RUP_VREF_L_RDN_VER_L =>
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (cmpup = '0') THEN
next_state <= STRATIXIII_RTOCT_DEC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
ELSE
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= STRATIXIII_RTOCT_INC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
END IF;
END IF;
END IF;
WHEN RUP_VREF_H_RDN_VER_H =>
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (cmpup = '1') THEN
next_state <= STRATIXIII_RTOCT_INC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= STRATIXIII_RTOCT_DEC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
END IF;
END IF;
END IF;
WHEN RUP_VREF_L_RDN_VER_H =>
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (cmpup = '1' AND switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_DEC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
ELSE
IF (cmpup = '0' AND switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_DEC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
ELSE
IF ((switch_region = '0') AND (cmpup = '0' OR cmpdn = '1')) THEN
next_state <= STRATIXIII_RTOCT_SWITCH_REG;
switch_region_d <= '1';
END IF;
END IF;
END IF;
END IF;
WHEN RUP_VREF_H_RDN_VER_L =>
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (cmpup = '1' AND switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_INC_N;
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_INC_P;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
ELSE
IF ((switch_region = '0') AND (cmpup = '1' OR cmpdn = '0')) THEN
next_state <= STRATIXIII_RTOCT_SWITCH_REG;
switch_region_d <= '1';
END IF;
END IF;
END IF;
END IF;
WHEN STRATIXIII_RTOCT_INC_PN =>
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= STRATIXIII_RTOCT_INC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '0';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '0';
ELSE
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= RUP_VREF_L_RDN_VER_L;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
ELSE
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= RUP_VREF_H_RDN_VER_H;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
ELSE
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= RUP_VREF_L_RDN_VER_H;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
END IF;
END IF;
WHEN STRATIXIII_RTOCT_DEC_PN =>
IF (cmpup = '0' AND cmpdn = '1') THEN
next_state <= STRATIXIII_RTOCT_DEC_PN;
p_cnt_d_var := p_cnt_d_var + 1;
p_cnt_sub_d_var := '1';
n_cnt_d_var := n_cnt_d_var + 1;
n_cnt_sub_d_var := '1';
ELSE
IF (cmpup = '0' AND cmpdn = '0') THEN
next_state <= RUP_VREF_L_RDN_VER_L;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '1';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
ELSE
IF (cmpup = '1' AND cmpdn = '1') THEN
next_state <= RUP_VREF_H_RDN_VER_H;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '1';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '0';
ELSE
IF (cmpup = '1' AND cmpdn = '0') THEN
next_state <= RUP_VREF_H_RDN_VER_L;
sel_rup_vref_h_d <= '1';
sel_rup_vref_m_d <= '0';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '0';
sel_rdn_vref_l_d <= '1';
END IF;
END IF;
END IF;
END IF;
----------------- same action begin
WHEN STRATIXIII_RTOCT_INC_P =>
IF (switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
WHEN STRATIXIII_RTOCT_DEC_P =>
IF (switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
WHEN STRATIXIII_RTOCT_INC_N =>
IF (switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
WHEN STRATIXIII_RTOCT_DEC_N =>
IF (switch_region = '1') THEN
next_state <= STRATIXIII_RTOCT_DONE;
ELSE
IF (switch_region = '0') THEN
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
END IF;
END IF;
----------------- same action end
WHEN STRATIXIII_RTOCT_SWITCH_REG =>
next_state <= RUP_VREF_M_RDN_VER_M;
sel_rup_vref_h_d <= '0';
sel_rup_vref_m_d <= '1';
sel_rup_vref_l_d <= '0';
sel_rdn_vref_h_d <= '0';
sel_rdn_vref_m_d <= '1';
sel_rdn_vref_l_d <= '0';
WHEN STRATIXIII_RTOCT_DONE =>
next_state <= STRATIXIII_RTOCT_DONE;
rt_sm_done_d <= '1';
WHEN OTHERS =>
next_state <= STRATIXIII_RTOCT_WAIT;
END CASE;
-- case(current_state)
-- schedule the outputs
p_cnt_d <= p_cnt_d_var;
n_cnt_d <= n_cnt_d_var;
p_cnt_sub_d <= p_cnt_sub_d_var;
n_cnt_sub_d <= n_cnt_sub_d_var;
END PROCESS;
END stratixiii_rt_sm_rtl;
-------------------------------------------------------------------------------
-- Module Name: stratixiii_termination_aux_clock_div
-- Description: auxilary clock divider module
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
ENTITY stratixiii_termination_aux_clock_div IS
GENERIC (
clk_divide_by : INTEGER := 1;
extra_latency : INTEGER := 0
);
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC := '0';
clkout : OUT STD_LOGIC
);
END stratixiii_termination_aux_clock_div;
ARCHITECTURE oct_clock_div_arch OF stratixiii_termination_aux_clock_div IS
SIGNAL clk_edges : INTEGER := -1;
SIGNAL div_n_register : STD_LOGIC_VECTOR(2 * extra_latency DOWNTO 0)
:= (OTHERS => '0');
BEGIN
PROCESS(clk,reset)
VARIABLE div_n : STD_LOGIC_VECTOR(2 * extra_latency DOWNTO 0) := (OTHERS => '0');
VARIABLE m : INTEGER := 0;
VARIABLE running_clk_edge : INTEGER := -1;
BEGIN
running_clk_edge := clk_edges;
IF (reset = '1') THEN
clk_edges <= -1;
m := 0;
div_n := (OTHERS => '0');
ELSE
IF (clk'EVENT) THEN
IF (running_clk_edge = -1) THEN
m := 0;
div_n(0) := clk;
IF (clk = '1') THEN running_clk_edge := 0; END IF;
ELSIF (running_clk_edge mod clk_divide_by = 0) THEN
div_n(0) := NOT div_n(0);
END IF;
IF (running_clk_edge >= 0 OR clk = '1') THEN
clk_edges <= (running_clk_edge + 1) mod (2 * clk_divide_by);
END IF;
END IF;
END IF;
m := 0;
div_n_register(m) <= div_n(m);
WHILE (m < 2 * extra_latency) LOOP
div_n_register(m+1) <= div_n_register(m);
m := m + 1;
END LOOP;
END PROCESS;
clkout <= div_n_register(2 * extra_latency);
END oct_clock_div_arch;
-------------------------------------------------------------------------------
--
-- Module Name : stratixiii_termination
--
-- Description : Stratix III Termination Atom
-- Verilog simulation model
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.ALL;
USE IEEE.VITAL_Primitives.ALL;
use work.stratixiii_atom_pack.all;
USE WORK.stratixiii_termination_aux_clock_div;
USE WORK.stratixiii_rt_sm;
ENTITY stratixiii_termination IS
GENERIC (
runtime_control : STRING := "false";
allow_serial_data_from_core : STRING := "false";
power_down : STRING := "true";
enable_parallel_termination : STRING := "false";
test_mode : STRING := "false";
enable_calclk_divider : STRING := "false"; -- replaced by below
clock_divider_enable : STRING := "false";
enable_pwrupmode_enser_for_usrmode : STRING := "false";
bypass_enser_logic : STRING := "false";
bypass_rt_calclk : STRING := "false";
enable_rt_scan_mode : STRING := "false";
enable_loopback : STRING := "false";
force_rtcalen_for_pllbiasen : STRING := "false";
enable_rt_sm_loopback : STRING := "false";
select_vrefl_values : integer := 0;
select_vrefh_values : integer := 0;
divide_intosc_by : integer := 2;
use_usrmode_clear_for_configmode : STRING := "false";
tipd_rup : VitalDelayType01 := DefpropDelay01;
tipd_rdn : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_terminationclear : VitalDelayType01 := DefpropDelay01;
tipd_terminationenable : VitalDelayType01 := DefpropDelay01;
tipd_serializerenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationcontrolin : VitalDelayType01 := DefpropDelay01;
tipd_otherserializerenable : VitalDelayArrayType01(8 downto 0) := (OTHERS => DefPropDelay01);
lpm_type : STRING := "stratixiii_termination");
PORT (
rup : IN std_logic := '0';
rdn : IN std_logic := '0';
terminationclock : IN std_logic := '0';
terminationclear : IN std_logic := '0';
terminationenable : IN std_logic := '1';
serializerenable : IN std_logic := '0';
terminationcontrolin : IN std_logic := '0';
scanin : IN std_logic := '0';
scanen : IN std_logic := '0';
otherserializerenable : IN std_logic_vector(8 DOWNTO 0) := (OTHERS => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
incrup : OUT std_logic;
incrdn : OUT std_logic;
serializerenableout : OUT std_logic;
terminationcontrol : OUT std_logic;
terminationcontrolprobe : OUT std_logic;
scanout : OUT std_logic;
shiftregisterprobe : OUT std_logic);
END stratixiii_termination;
ARCHITECTURE stratixiii_oct_arch OF stratixiii_termination IS
COMPONENT stratixiii_termination_aux_clock_div
GENERIC (
clk_divide_by : INTEGER := 1;
extra_latency : INTEGER := 0
);
PORT (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC := '0';
clkout : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT stratixiii_rt_sm
PORT (
rup : IN std_logic;
rdn : IN std_logic;
clk : IN std_logic;
clken : IN std_logic;
clr : IN std_logic;
rtena : IN std_logic;
rscaldone : IN std_logic;
rtoffsetp : OUT std_logic_vector(3 DOWNTO 0);
rtoffsetn : OUT std_logic_vector(3 DOWNTO 0);
caldone : OUT std_logic;
sel_rup_vref : OUT std_logic_vector(2 DOWNTO 0);
sel_rdn_vref : OUT std_logic_vector(2 DOWNTO 0)
);
END COMPONENT;
-- HW outputs
SIGNAL compout_rup_core : std_logic;
SIGNAL compout_rdn_core : std_logic;
SIGNAL ser_data_io : std_logic;
SIGNAL ser_data_core : std_logic;
-- HW inputs
SIGNAL usr_clk : std_logic;
SIGNAL cal_clk : std_logic;
SIGNAL rscal_clk : std_logic;
SIGNAL cal_clken : std_logic;
SIGNAL cal_nclr : std_logic;
-- legality check on enser
SIGNAL enser_checked : std_logic := '0';
-- Shift Register
SIGNAL sreg_bit_out : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL sreg_bit_out_tmp0 : std_logic := '0';
SIGNAL sreg_vshift_bit_tmp : std_logic := '0';
SIGNAL sreg_vshift_bit_out : std_logic := '0';
SIGNAL sreg_rscaldone_prev : std_logic := '0';
SIGNAL sreg_rscaldone_prev1 : std_logic := '0';
SIGNAL sregn_rscaldone_out : std_logic := '0';
SIGNAL sreg_bit6_prev : std_logic := '1';
-- nreg before SA-ADC
SIGNAL regn_rup_in : std_logic;
SIGNAL regn_rdn_in : std_logic;
SIGNAL regn_compout_rup : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL regn_compout_rdn : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
-- SA-ADC
SIGNAL sa_octcaln_out : std_logic_vector(6 DOWNTO 0); -- RUP - NMOS
SIGNAL sa_octcalp_out : std_logic_vector(6 DOWNTO 0); -- RDN - PMOS
SIGNAL sa_octcaln_in : std_logic_vector(6 DOWNTO 0);
SIGNAL sa_octcalp_in : std_logic_vector(6 DOWNTO 0);
-- ENSER
SIGNAL enser_out : std_logic;
SIGNAL enser_gen_out : std_logic;
SIGNAL enser_cnt : INTEGER := 0;
-- RT State Machine
SIGNAL rtsm_rup_in : std_logic;
SIGNAL rtsm_rdn_in : std_logic;
SIGNAL rtsm_rtena_in : std_logic;
SIGNAL rtsm_rscaldone_in : std_logic;
SIGNAL rtsm_caldone_out : std_logic;
SIGNAL rtsm_rtoffsetp_out : std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtsm_rtoffsetn_out : std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtsm_sel_rup_vref_out : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtsm_sel_rdn_vref_out : std_logic_vector(2 DOWNTO 0) := (OTHERS => '0');
-- RT Adder/Sub
SIGNAL rtas_rs_rpcdp_in : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rs_rpcdn_in : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rtoffsetp_in : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtas_rtoffsetn_in : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtas_rs_rpcdp_out : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rs_rpcdn_out : std_logic_vector(6 DOWNTO 0);
SIGNAL rtas_rt_rpcdp_out : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
SIGNAL rtas_rt_rpcdn_out : std_logic_vector(6 DOWNTO 0) := (OTHERS => '0');
-- P2S
SIGNAL p2s_rs_rpcdp_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_rs_rpcdn_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_rt_rpcdp_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_rt_rpcdn_in : std_logic_vector(6 DOWNTO 0);
SIGNAL p2s_enser_in : std_logic;
SIGNAL p2s_clk_in : std_logic;
SIGNAL p2s_ser_data_out : std_logic;
SIGNAL p2s_parallel_reg : std_logic_vector(27 DOWNTO 0) := (OTHERS => '0');
SIGNAL p2s_serial_reg : std_logic := '0';
SIGNAL p2s_index : integer := 27;
-- used to set SA outputs
SIGNAL temp_xhdl10 : std_logic;
SIGNAL temp_xhdl12 : std_logic;
SIGNAL temp_xhdl14 : std_logic;
SIGNAL temp_xhdl16 : std_logic;
SIGNAL temp_xhdl18 : std_logic;
SIGNAL temp_xhdl20 : std_logic;
SIGNAL temp_xhdl22 : std_logic;
SIGNAL temp_xhdl24 : std_logic;
SIGNAL temp_xhdl26 : std_logic;
SIGNAL temp_xhdl28 : std_logic;
SIGNAL temp_xhdl30 : std_logic;
SIGNAL temp_xhdl32 : std_logic;
SIGNAL temp_xhdl34 : std_logic;
SIGNAL temp_xhdl36 : std_logic;
SIGNAL MY_GND : std_logic := '0';
-- timing
SIGNAL rup_ipd : std_logic;
SIGNAL rdn_ipd : std_logic;
SIGNAL terminationclock_ipd : std_logic;
SIGNAL terminationclear_ipd : std_logic;
SIGNAL terminationenable_ipd : std_logic;
SIGNAL serializerenable_ipd : std_logic;
SIGNAL terminationcontrolin_ipd : std_logic;
SIGNAL otherserializerenable_ipd : std_logic_vector(8 DOWNTO 0);
BEGIN
-- primary outputs
incrup <= terminationenable_ipd WHEN (enable_loopback = "true") ELSE compout_rup_core;
incrdn <= terminationclear_ipd WHEN (enable_loopback = "true") ELSE compout_rdn_core;
terminationcontrol <= ser_data_io;
terminationcontrolprobe <= serializerenable_ipd WHEN (enable_loopback = "true") ELSE ser_data_core;
shiftregisterprobe <= terminationclock_ipd WHEN (enable_loopback = "true") ELSE sreg_vshift_bit_out;
serializerenableout <= serializerenable;
compout_rup_core <= rup ;
compout_rdn_core <= rdn ;
ser_data_io <= terminationcontrolin WHEN (allow_serial_data_from_core = "true") ELSE p2s_ser_data_out;
ser_data_core <= p2s_ser_data_out ;
-- primary inputs
usr_clk <= terminationclock ;
cal_nclr <= '1' WHEN (terminationclear = '1') ELSE '0';
cal_clken <= '1' WHEN (terminationenable = '1' AND serializerenable = '1') ELSE '0';
-- divide by 100 clock
m_gen_calclk : stratixiii_termination_aux_clock_div
GENERIC MAP (
clk_divide_by => 100,
extra_latency => 0)
PORT MAP (
clk => usr_clk,
reset => MY_GND,
clkout => cal_clk);
rscal_clk <= cal_clk AND (NOT sregn_rscaldone_out) ;
-- legality check on enser
PROCESS
BEGIN
WAIT UNTIL (usr_clk'EVENT AND usr_clk = '1');
IF (serializerenable = '1' AND cal_clken = '0') THEN
IF (otherserializerenable(0) = '1' OR
otherserializerenable(1) = '1' OR
otherserializerenable(2) = '1' OR
otherserializerenable(3) = '1' OR
otherserializerenable(4) = '1' OR
otherserializerenable(5) = '1' OR
otherserializerenable(6) = '1' OR
otherserializerenable(7) = '1' OR
otherserializerenable(8) = '1') THEN
IF (enser_checked = '0') THEN
assert false
report "serializizerable and some bits of otherserializerenable are asserted at data transfer time"
severity warning;
enser_checked <= '1';
END IF;
ELSE
enser_checked <= '0'; -- for another check
END IF;
ELSE
enser_checked <= '0'; -- for another check
END IF;
END PROCESS;
-- SHIFT regiter
PROCESS
BEGIN
WAIT UNTIL (rscal_clk'EVENT AND rscal_clk = '1') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
sreg_bit6_prev <= '1';
sreg_bit_out <= "0000000";
sreg_vshift_bit_out <= '0';
sreg_vshift_bit_tmp <= '0';
sreg_bit_out_tmp0 <= '0';
sreg_rscaldone_prev <= '0';
sreg_rscaldone_prev1 <= '0';
ELSE
IF (cal_clken = '1') THEN
sreg_bit_out(6) <= sreg_bit6_prev;
sreg_bit_out(5) <= sreg_bit_out(6);
sreg_bit_out(4) <= sreg_bit_out(5);
sreg_bit_out(3) <= sreg_bit_out(4);
sreg_bit_out(2) <= sreg_bit_out(3);
sreg_bit_out(1) <= sreg_bit_out(2);
sreg_bit_out_tmp0 <= sreg_bit_out(1);
sreg_vshift_bit_tmp <= sreg_bit_out_tmp0;
sreg_bit_out(0) <= sreg_bit_out(1) OR sreg_vshift_bit_tmp;
sreg_vshift_bit_out <= sreg_bit_out_tmp0 OR sreg_vshift_bit_tmp;
sreg_bit6_prev <= '0';
END IF;
END IF;
-- might falling outside of 10 cycles
IF (sreg_vshift_bit_tmp = '1') THEN
sreg_rscaldone_prev <= '1';
END IF;
sreg_rscaldone_prev1 <= sreg_rscaldone_prev;
END PROCESS;
PROCESS
BEGIN
WAIT UNTIL (rscal_clk'EVENT AND rscal_clk = '0') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
sregn_rscaldone_out <= '0';
ELSE -- if (cal_clken == 1'b1) - outside of 10 cycles
IF (sreg_rscaldone_prev1 = '1' AND sregn_rscaldone_out = '0') THEN
sregn_rscaldone_out <= '1';
END IF;
END IF;
END PROCESS;
-- nreg and SA-ADC:
--
-- RDN_vol < ref_voltage < RUP_voltage
-- after reset, ref_voltage=VCCN/2; after ref_voltage_shift, ref_voltage=neighbor(VCCN/2)
-- at 0 code, RUP=VCCN so voltage_compare_out for RUP = 0
-- RDN=GND so voltage compare out for RDN = 0
regn_rup_in <= rup ;
regn_rdn_in <= rdn ;
PROCESS
BEGIN
WAIT UNTIL (cal_nclr'EVENT AND cal_nclr = '1') OR (rscal_clk'EVENT AND rscal_clk = '0');
IF (cal_nclr = '1') THEN
regn_compout_rup <= "0000000";
regn_compout_rdn <= "0000000";
ELSE
-- rup
IF (sreg_bit_out(0) = '1') THEN
regn_compout_rup(0) <= regn_rup_in;
END IF;
IF (sreg_bit_out(1) = '1') THEN
regn_compout_rup(1) <= regn_rup_in;
END IF;
IF (sreg_bit_out(2) = '1') THEN
regn_compout_rup(2) <= regn_rup_in;
END IF;
IF (sreg_bit_out(3) = '1') THEN
regn_compout_rup(3) <= regn_rup_in;
END IF;
IF (sreg_bit_out(4) = '1') THEN
regn_compout_rup(4) <= regn_rup_in;
END IF;
IF (sreg_bit_out(5) = '1') THEN
regn_compout_rup(5) <= regn_rup_in;
END IF;
IF (sreg_bit_out(6) = '1') THEN
regn_compout_rup(6) <= regn_rup_in;
END IF;
-- rdn
IF (sreg_bit_out(0) = '1') THEN
regn_compout_rdn(0) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(1) = '1') THEN
regn_compout_rdn(1) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(2) = '1') THEN
regn_compout_rdn(2) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(3) = '1') THEN
regn_compout_rdn(3) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(4) = '1') THEN
regn_compout_rdn(4) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(5) = '1') THEN
regn_compout_rdn(5) <= regn_rdn_in;
END IF;
IF (sreg_bit_out(6) = '1') THEN
regn_compout_rdn(6) <= regn_rdn_in;
END IF;
END IF;
END PROCESS;
sa_octcaln_in <= sreg_bit_out ;
sa_octcalp_in <= sreg_bit_out ;
-- RUP - octcaln_in == 1 = (pin_voltage < ref_voltage): clear the bit setting
temp_xhdl10 <= '1' WHEN (sa_octcaln_in(0) = '1') ELSE sa_octcaln_out(0);
sa_octcaln_out(0) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(0) = '1') ELSE temp_xhdl10;
temp_xhdl12 <= '1' WHEN (sa_octcaln_in(1) = '1') ELSE sa_octcaln_out(1);
sa_octcaln_out(1) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(1) = '1') ELSE temp_xhdl12;
temp_xhdl14 <= '1' WHEN (sa_octcaln_in(2) = '1') ELSE sa_octcaln_out(2);
sa_octcaln_out(2) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(2) = '1') ELSE temp_xhdl14;
temp_xhdl16 <= '1' WHEN (sa_octcaln_in(3) = '1') ELSE sa_octcaln_out(3);
sa_octcaln_out(3) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(3) = '1') ELSE temp_xhdl16;
temp_xhdl18 <= '1' WHEN (sa_octcaln_in(4) = '1') ELSE sa_octcaln_out(4);
sa_octcaln_out(4) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(4) = '1') ELSE temp_xhdl18;
temp_xhdl20 <= '1' WHEN (sa_octcaln_in(5) = '1') ELSE sa_octcaln_out(5);
sa_octcaln_out(5) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(5) = '1') ELSE temp_xhdl20;
temp_xhdl22 <= '1' WHEN (sa_octcaln_in(6) = '1') ELSE sa_octcaln_out(6);
sa_octcaln_out(6) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rup(6) = '1') ELSE temp_xhdl22;
temp_xhdl24 <= '1' WHEN (sa_octcalp_in(0) = '1') ELSE sa_octcalp_out(0);
sa_octcalp_out(0) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(0) = '1') ELSE temp_xhdl24;
temp_xhdl26 <= '1' WHEN (sa_octcalp_in(1) = '1') ELSE sa_octcalp_out(1);
sa_octcalp_out(1) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(1) = '1') ELSE temp_xhdl26;
temp_xhdl28 <= '1' WHEN (sa_octcalp_in(2) = '1') ELSE sa_octcalp_out(2);
sa_octcalp_out(2) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(2) = '1') ELSE temp_xhdl28;
temp_xhdl30 <= '1' WHEN (sa_octcalp_in(3) = '1') ELSE sa_octcalp_out(3);
sa_octcalp_out(3) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(3) = '1') ELSE temp_xhdl30;
temp_xhdl32 <= '1' WHEN (sa_octcalp_in(4) = '1') ELSE sa_octcalp_out(4);
sa_octcalp_out(4) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(4) = '1') ELSE temp_xhdl32;
temp_xhdl34 <= '1' WHEN (sa_octcalp_in(5) = '1') ELSE sa_octcalp_out(5);
sa_octcalp_out(5) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(5) = '1') ELSE temp_xhdl34;
temp_xhdl36 <= '1' WHEN (sa_octcalp_in(6) = '1') ELSE sa_octcalp_out(6);
sa_octcalp_out(6) <= '0' WHEN (cal_nclr = '1' OR regn_compout_rdn(6) = '1') ELSE temp_xhdl36;
-- ENSER
enser_out <= serializerenable WHEN (runtime_control = "true" OR bypass_enser_logic = "true") ELSE enser_gen_out;
enser_gen_out <= '1' WHEN (enser_cnt > 0 AND enser_cnt < 31) ELSE '0';
PROCESS
BEGIN
WAIT UNTIL (usr_clk'EVENT AND usr_clk = '1') OR (sregn_rscaldone_out'EVENT AND sregn_rscaldone_out = '1');
IF (sregn_rscaldone_out = '0') THEN
enser_cnt <= 0;
ELSE
IF (enser_cnt < 63) THEN
enser_cnt <= enser_cnt + 1;
END IF;
END IF;
END PROCESS;
-- RT SM
rtsm_rup_in <= rup ;
rtsm_rdn_in <= rdn ;
rtsm_rtena_in <= '1' WHEN (enable_parallel_termination = "true") ELSE '0';
rtsm_rscaldone_in <= sregn_rscaldone_out ;
m_rt_sm : stratixiii_rt_sm
PORT MAP (
rup => rtsm_rup_in,
rdn => rtsm_rdn_in,
clk => cal_clk,
clken => cal_clken,
clr => cal_nclr,
rtena => rtsm_rtena_in,
rscaldone => rtsm_rscaldone_in,
rtoffsetp => rtsm_rtoffsetp_out,
rtoffsetn => rtsm_rtoffsetn_out,
caldone => rtsm_caldone_out,
sel_rup_vref => rtsm_sel_rup_vref_out,
sel_rdn_vref => rtsm_sel_rdn_vref_out
);
-- RT Adder/Sub
rtas_rs_rpcdp_in <= sa_octcalp_out ;
rtas_rs_rpcdn_in <= sa_octcaln_out ;
rtas_rtoffsetp_in <= "0000" & rtsm_rtoffsetp_out(2 DOWNTO 0);
rtas_rtoffsetn_in <="0000" & rtsm_rtoffsetn_out(2 DOWNTO 0);
rtas_rs_rpcdp_out <= rtas_rs_rpcdp_in ;
rtas_rs_rpcdn_out <= rtas_rs_rpcdn_in ;
rtas_rt_rpcdn_out <= (rtas_rs_rpcdn_in + rtas_rtoffsetn_in) WHEN (rtsm_rtoffsetn_out(3) = '0') ELSE
(rtas_rs_rpcdn_in - rtas_rtoffsetn_in);
rtas_rt_rpcdp_out <= (rtas_rs_rpcdp_in + rtas_rtoffsetp_in) WHEN (rtsm_rtoffsetp_out(3) = '0') ELSE
(rtas_rs_rpcdp_in - rtas_rtoffsetp_in);
-- P2S
p2s_rs_rpcdp_in <= rtas_rs_rpcdp_out ;
p2s_rs_rpcdn_in <= rtas_rs_rpcdn_out ;
p2s_rt_rpcdp_in <= rtas_rt_rpcdp_out;
p2s_rt_rpcdn_in <= rtas_rt_rpcdn_out;
p2s_enser_in <= enser_out ;
p2s_clk_in <= usr_clk ;
p2s_ser_data_out <= p2s_serial_reg ;
-- load - clken
PROCESS
BEGIN
WAIT UNTIL (p2s_clk_in'EVENT AND p2s_clk_in = '1') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
p2s_parallel_reg <= "0000000000000000000000000000";
ELSE
IF (cal_clken = '1') THEN
p2s_parallel_reg <= p2s_rs_rpcdp_in & p2s_rs_rpcdn_in & p2s_rt_rpcdp_in & p2s_rt_rpcdn_in;
END IF;
END IF;
END PROCESS;
-- shift - enser
PROCESS
BEGIN
WAIT UNTIL (p2s_clk_in'EVENT AND p2s_clk_in = '1') OR (cal_nclr'EVENT AND cal_nclr = '1');
IF (cal_nclr = '1') THEN
p2s_serial_reg <= '0';
p2s_index <= 27;
ELSE
IF (p2s_enser_in = '1' AND cal_clken = '0') THEN
p2s_serial_reg <= p2s_parallel_reg(p2s_index);
IF (p2s_index > 0) THEN
p2s_index <= p2s_index - 1;
END IF;
END IF;
END IF;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (rup_ipd, rup, tipd_rup);
VitalWireDelay (rdn_ipd, rdn, tipd_rdn);
VitalWireDelay (terminationclock_ipd, terminationclock, tipd_terminationclock);
VitalWireDelay (terminationclear_ipd, terminationclear, tipd_terminationclear);
VitalWireDelay (terminationenable_ipd, terminationenable, tipd_terminationenable);
VitalWireDelay (serializerenable_ipd, serializerenable, tipd_serializerenable);
VitalWireDelay (terminationcontrolin_ipd, terminationcontrolin, tipd_terminationcontrolin);
VitalWireDelay (otherserializerenable_ipd(0), otherserializerenable(0), tipd_otherserializerenable(0));
VitalWireDelay (otherserializerenable_ipd(1), otherserializerenable(1), tipd_otherserializerenable(1));
VitalWireDelay (otherserializerenable_ipd(2), otherserializerenable(2), tipd_otherserializerenable(2));
VitalWireDelay (otherserializerenable_ipd(3), otherserializerenable(3), tipd_otherserializerenable(3));
VitalWireDelay (otherserializerenable_ipd(4), otherserializerenable(4), tipd_otherserializerenable(4));
VitalWireDelay (otherserializerenable_ipd(5), otherserializerenable(5), tipd_otherserializerenable(5));
VitalWireDelay (otherserializerenable_ipd(6), otherserializerenable(6), tipd_otherserializerenable(6));
VitalWireDelay (otherserializerenable_ipd(7), otherserializerenable(7), tipd_otherserializerenable(7));
VitalWireDelay (otherserializerenable_ipd(8), otherserializerenable(8), tipd_otherserializerenable(8));
end block;
END stratixiii_oct_arch;
-------------------------------------------------------------------------------
--
-- Module Name : stratixiii_termination_logic
--
-- Description : Stratix III Termination Logic Atom
-- Verilog simulation model
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.std_logic_1164.ALL;
USE IEEE.VITAL_Timing.ALL;
USE IEEE.VITAL_Primitives.ALL;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_termination_logic IS
GENERIC (
tipd_serialloadenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationclock : VitalDelayType01 := DefpropDelay01;
tipd_parallelloadenable : VitalDelayType01 := DefpropDelay01;
tipd_terminationdata : VitalDelayType01 := DefpropDelay01;
test_mode : string := "false";
lpm_type : string := "stratixiii_termination_logic");
PORT (
serialloadenable : IN std_logic := '0';
terminationclock : IN std_logic := '0';
parallelloadenable : IN std_logic := '0';
terminationdata : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
seriesterminationcontrol : OUT std_logic_vector(13 DOWNTO 0);
parallelterminationcontrol : OUT std_logic_vector(13 DOWNTO 0));
END stratixiii_termination_logic;
ARCHITECTURE stratixiii_oct_logic_arch OF stratixiii_termination_logic IS
CONSTANT xhdl_timescale : time := 1 ps;
SIGNAL usr_clk : std_logic;
SIGNAL rs_reg : std_logic_vector(13 DOWNTO 0) := (OTHERS => '0');
SIGNAL rt_reg : std_logic_vector(13 DOWNTO 0) := (OTHERS => '0');
SIGNAL hold_reg : std_logic_vector(27 DOWNTO 0) := (OTHERS => '0');
SIGNAL shift_index : integer := 27;
-- timing
SIGNAL serialloadenable_ipd : std_logic;
SIGNAL terminationclock_ipd : std_logic;
SIGNAL parallelloadenable_ipd : std_logic;
SIGNAL terminationdata_ipd : std_logic;
BEGIN
seriesterminationcontrol <= rs_reg;
parallelterminationcontrol <= rt_reg;
usr_clk <= terminationclock AFTER 11 * xhdl_timescale;
PROCESS
BEGIN
WAIT UNTIL (usr_clk'EVENT AND usr_clk = '1');
IF (serialloadenable = '0') THEN
shift_index <= 27;
ELSE
hold_reg(shift_index) <= terminationdata;
IF (shift_index > 0) THEN
shift_index <= shift_index - 1;
END IF;
END IF;
END PROCESS;
PROCESS
BEGIN
WAIT UNTIL (parallelloadenable'EVENT AND parallelloadenable = '1');
IF (parallelloadenable = '1') THEN
rs_reg <= hold_reg(27 DOWNTO 14);
rt_reg <= hold_reg(13 DOWNTO 0);
END IF;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (serialloadenable_ipd, serialloadenable, tipd_serialloadenable);
VitalWireDelay (terminationclock_ipd, terminationclock, tipd_terminationclock);
VitalWireDelay (parallelloadenable_ipd, parallelloadenable, tipd_parallelloadenable);
VitalWireDelay (terminationdata_ipd, terminationdata, tipd_terminationdata);
end block;
END stratixiii_oct_logic_arch;
-------------------------------------------------------------------------------
-- utilities common for ddr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package stratixiii_atom_ddr_pack is
function dll_unsigned2bin (in_int : integer) return std_logic_vector;
end stratixiii_atom_ddr_pack;
library IEEE;
use IEEE.std_logic_1164.all;
package body stratixiii_atom_ddr_pack is
-- truncate input integer to get 6 LSB bits
function dll_unsigned2bin (in_int : integer) return std_logic_vector is
variable tmp_int, i : integer;
variable tmp_bit : integer;
variable result : std_logic_vector(5 downto 0) := "000000";
begin
tmp_int := in_int;
for i in 0 to 5 loop
tmp_bit := tmp_int MOD 2;
if (tmp_bit = 1) then
result(i) := '1';
else
result(i) := '0';
end if;
tmp_int := tmp_int/2;
end loop;
return result;
end dll_unsigned2bin;
end stratixiii_atom_ddr_pack;
-------------------------------------------------------------------------------
-- auxilary module for ddr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
ENTITY stratixiii_dll_gray_encoder IS
GENERIC ( width : integer := 6 );
PORT ( mbin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
gout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END stratixiii_dll_gray_encoder;
ARCHITECTURE stratixiii_dll_gray_encoder_arch OF stratixiii_dll_gray_encoder IS
SIGNAL greg : STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
gout <= greg;
PROCESS(mbin)
VARIABLE i : INTEGER := 0;
BEGIN
greg(width-1) <= mbin(width-1);
IF (width > 1) THEN
i := width - 2;
WHILE (i >= 0) LOOP
greg(i) <= mbin(i+1) XOR mbin(i);
i := i - 1;
END LOOP;
END IF;
END PROCESS;
END stratixiii_dll_gray_encoder_arch;
-------------------------------------------------------------------------------
-- auxilary module for ddr
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
ENTITY stratixiii_dll_gray_decoder IS
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END stratixiii_dll_gray_decoder;
ARCHITECTURE stratixiii_dll_gray_decoder_arch OF stratixiii_dll_gray_decoder IS
SIGNAL breg : STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
bout <= breg;
PROCESS(gin)
VARIABLE i : INTEGER := 0;
VARIABLE bvar : STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
bvar(width-1) := gin(width-1);
IF (width > 1) THEN
i := width - 2;
WHILE (i >= 0) LOOP
bvar(i) := bvar(i+1) XOR gin(i);
i := i - 1;
END LOOP;
END IF;
breg <= bvar;
END PROCESS;
END stratixiii_dll_gray_decoder_arch;
-------------------------------------------------------------------------------
-- Module Name: stratixiii_ddr_delay_chain_s
-- Description: auxilary module - delay chain-setting
-------------------------------------------------------------------------------
Library ieee;
use ieee.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_dll_gray_decoder;
ENTITY stratixiii_ddr_delay_chain_s IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END stratixiii_ddr_delay_chain_s;
ARCHITECTURE stratixiii_ddr_delay_chain_s_arch OF stratixiii_ddr_delay_chain_s IS
COMPONENT stratixiii_dll_gray_decoder
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
SIGNAL clk_delay : INTEGER := 0;
SIGNAL delayed_clk : STD_LOGIC := '0';
SIGNAL delayctrl_bin : STD_LOGIC_VECTOR (5 DOWNTO 0) := (OTHERS => '0');
SIGNAL delayctrlin_in : STD_LOGIC_VECTOR (5 DOWNTO 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '0');
BEGIN
delayctrlin_in(0) <= '1' WHEN (delayctrlin(0) = '1') ELSE '0';
delayctrlin_in(1) <= '1' WHEN (delayctrlin(1) = '1') ELSE '0';
delayctrlin_in(2) <= '1' WHEN (delayctrlin(2) = '1') ELSE '0';
delayctrlin_in(3) <= '1' WHEN (delayctrlin(3) = '1') ELSE '0';
delayctrlin_in(4) <= '1' WHEN (delayctrlin(4) = '1') ELSE '0';
delayctrlin_in(5) <= '1' WHEN (delayctrlin(5) = '1') ELSE '0';
phasectrlin_in(0) <= '1' WHEN (phasectrlin(0) = '1') ELSE '0';
phasectrlin_in(1) <= '1' WHEN (phasectrlin(1) = '1') ELSE '0';
phasectrlin_in(2) <= '1' WHEN (phasectrlin(2) = '1') ELSE '0';
phasectrlin_in(3) <= '1' WHEN (phasectrlin(3) = '1') ELSE '0';
-- decoder
mdr_delayctrl_in_dec : stratixiii_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => delayctrlin_in, bout => delayctrl_bin);
PROCESS(delayctrl_bin, phasectrlin_in)
variable sim_intrinsic_delay : INTEGER := 0;
variable acell_delay : INTEGER := 0;
variable delay_chain_len : INTEGER := 0;
BEGIN
IF (delay_buffer_mode = "low") THEN
sim_intrinsic_delay := sim_low_buffer_intrinsic_delay;
ELSE
sim_intrinsic_delay := sim_high_buffer_intrinsic_delay;
END IF;
-- cell
acell_delay := sim_intrinsic_delay + alt_conv_integer(delayctrl_bin) * sim_buffer_delay_increment;
-- no of cells
IF (use_phasectrlin = "false") THEN
delay_chain_len := phase_setting;
ELSIF (alt_conv_integer(phasectrlin_in) > phasectrlin_limit) THEN
delay_chain_len := 0;
ELSE
delay_chain_len := alt_conv_integer(phasectrlin_in);
END IF;
-- total delay - added extra 1 ps for resolving racing
clk_delay <= delay_chain_len * acell_delay + 1;
IF ((use_phasectrlin = "true") AND (alt_conv_integer(phasectrlin_in) > phasectrlin_limit)) THEN
assert false report "Warning: DDR phasesetting has invalid phasectrlin setting" severity warning;
END IF;
END PROCESS; -- generating delays
delayed_clk <= transport clk after (clk_delay * 1 ps);
delayed_clkout <= delayed_clk;
END stratixiii_ddr_delay_chain_s_arch;
-------------------------------------------------------------------------------
-- based on dffeas
-------------------------------------------------------------------------------
Library ieee;
use ieee.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_ddr_io_reg is
generic(
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port(
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '1';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
attribute VITAL_LEVEL0 of stratixiii_ddr_io_reg : entity is TRUE;
end stratixiii_ddr_io_reg;
architecture vital_titan_ddr_io_reg of stratixiii_ddr_io_reg is
attribute VITAL_LEVEL0 of vital_titan_ddr_io_reg : architecture is TRUE;
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal d_dly : std_logic;
signal asdata_ipd : std_logic;
signal asdata_dly : std_logic;
signal asdata_dly1 : std_logic;
signal sclr_ipd : std_logic;
signal sload_ipd : std_logic;
signal clrn_ipd : std_logic;
signal prn_ipd : std_logic;
signal aload_ipd : std_logic;
signal ena_ipd : std_logic;
begin
d_dly <= d_ipd;
asdata_dly <= asdata_ipd;
asdata_dly1 <= asdata_dly;
---------------------
-- INPUT PATH DELAYs
---------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (d_ipd, d, tipd_d);
VitalWireDelay (asdata_ipd, asdata, tipd_asdata);
VitalWireDelay (sclr_ipd, sclr, tipd_sclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
VitalWireDelay (clrn_ipd, clrn, tipd_clrn);
VitalWireDelay (prn_ipd, prn, tipd_prn);
VitalWireDelay (aload_ipd, aload, tipd_aload);
VitalWireDelay (ena_ipd, ena, tipd_ena);
end block;
VITALtiming : process ( clk_ipd, d_dly, asdata_dly1,
sclr_ipd, sload_ipd, clrn_ipd, prn_ipd, aload_ipd,
ena_ipd, devclrn, devpor)
variable Tviol_d_clk : std_ulogic := '0';
variable Tviol_asdata_clk : std_ulogic := '0';
variable Tviol_sclr_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_ena_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_asdata_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sclr_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_ena_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
variable iq : std_logic := '0';
variable idata: std_logic := '0';
-- variables for 'X' generation
variable violation : std_logic := '0';
begin
if (now = 0 ns) then
if ((power_up = "low") or (power_up = "DONT_CARE")) then
iq := '0';
elsif (power_up = "high") then
iq := '1';
else
iq := '0';
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_d_clk,
TimingData => TimingData_d_clk,
TestSignal => d,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_d_clk_noedge_posedge,
SetupLow => tsetup_d_clk_noedge_posedge,
HoldHigh => thold_d_clk_noedge_posedge,
HoldLow => thold_d_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(sload_ipd) OR
(sclr_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_asdata_clk,
TimingData => TimingData_asdata_clk,
TestSignal => asdata_ipd,
TestSignalName => "ASDATA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_asdata_clk_noedge_posedge,
SetupLow => tsetup_asdata_clk_noedge_posedge,
HoldHigh => thold_asdata_clk_noedge_posedge,
HoldLow => thold_asdata_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT sload_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sclr_clk,
TimingData => TimingData_sclr_clk,
TestSignal => sclr_ipd,
TestSignalName => "SCLR",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sclr_clk_noedge_posedge,
SetupLow => tsetup_sclr_clk_noedge_posedge,
HoldHigh => thold_sclr_clk_noedge_posedge,
HoldLow => thold_sclr_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT devpor) OR
(NOT devclrn) OR
(NOT ena_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_ena_clk,
TimingData => TimingData_ena_clk,
TestSignal => ena_ipd,
TestSignalName => "ENA",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_ena_clk_noedge_posedge,
SetupLow => tsetup_ena_clk_noedge_posedge,
HoldHigh => thold_ena_clk_noedge_posedge,
HoldLow => thold_ena_clk_noedge_posedge,
CheckEnabled => TO_X01( (NOT clrn_ipd) OR
(NOT prn_ipd) OR
(NOT devpor) OR
(NOT devclrn) ) /= '1',
RefTransition => '/',
HeaderMsg => InstancePath & "/stratixiii_ddr_io_reg",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
violation := Tviol_d_clk or Tviol_asdata_clk or
Tviol_sclr_clk or Tviol_sload_clk or Tviol_ena_clk;
if ((devpor = '0') or (devclrn = '0') or (clrn_ipd = '0')) then
iq := '0';
elsif (prn_ipd = '0') then
iq := '1';
elsif (aload_ipd = '1') then
iq := asdata_dly1;
elsif (violation = 'X' and x_on_violation = "on") then
iq := 'X';
elsif clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0' then
if (ena_ipd = '1') then
if (sclr_ipd = '1') then
iq := '0';
elsif (sload_ipd = '1') then
iq := asdata_dly1;
else
iq := d_dly;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => iq,
Paths => (0 => (clrn_ipd'last_event, tpd_clrn_q_negedge, TRUE),
1 => (prn_ipd'last_event, tpd_prn_q_negedge, TRUE),
2 => (aload_ipd'last_event, tpd_aload_q_posedge, TRUE),
3 => (asdata_ipd'last_event, tpd_asdata_q, TRUE),
4 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_titan_ddr_io_reg;
-------------------------------------------------------------------------------
--
-- Entity Name : Stratix III_dll
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_pllpack.all;
use work.stratixiii_atom_ddr_pack.all;
use work.stratixiii_dll_gray_encoder;
ENTITY stratixiii_dll is
GENERIC (
input_frequency : string := "0 ps";
delay_buffer_mode : string := "low";
delay_chain_length : integer := 12;
delayctrlout_mode : string := "normal";
jitter_reduction : string := "false";
use_upndnin : string := "false";
use_upndninclkena : string := "false";
dual_phase_comparators : string := "true";
sim_valid_lock : integer := 16;
sim_valid_lockcount : integer := 0; -- 10000 = 1000 + 100*dllcounter
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
static_delay_ctrl : integer := 0;
lpm_type : string := "stratixiii_dll";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_upndnin : VitalDelayType01 := DefpropDelay01;
tipd_upndninclkena : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndnin_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_upndninclkena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_upndnout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_delayctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
upndnin : IN std_logic := '1';
upndninclkena : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
delayctrlout : OUT std_logic_vector(5 DOWNTO 0);
dqsupdate : OUT std_logic;
offsetdelayctrlout : OUT std_logic_vector(5 DOWNTO 0);
offsetdelayctrlclkout : OUT std_logic;
upndnout : OUT std_logic
);
END stratixiii_dll;
ARCHITECTURE vital_titandll of stratixiii_dll is
COMPONENT stratixiii_dll_gray_encoder
GENERIC ( width : integer := 6 );
PORT ( mbin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
gout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
signal clk_in : std_logic := '0';
signal aload_in_buf : std_logic := '0';
signal upndn_in : std_logic := '0';
signal upndninclkena_in : std_logic := '1';
signal delayctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal offsetdelayctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal upndn_out : std_logic := '0';
signal dqsupdate_out : std_logic := '0';
signal para_delay_buffer_mode : std_logic_vector (1 DOWNTO 0) := "01";
signal para_delayctrlout_mode : std_logic_vector (1 DOWNTO 0) := "00";
signal para_static_delay_ctrl : integer := 0;
signal para_jitter_reduction : std_logic := '0';
signal para_use_upndnin : std_logic := '0';
signal para_use_upndninclkena : std_logic := '1';
-- INTERNAL NETS AND VARIABLES
-- for functionality - by modules
signal sim_buffer_intrinsic_delay : INTEGER := 0;
-- two reg on the de-assertion of dll
SIGNAL aload_in : std_logic := '0';
SIGNAL aload_reg1 : std_logic := '1';
SIGNAL aload_reg2 : std_logic := '1';
-- delay and offset control out resolver
signal dr_delayctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_delayctrl_int : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsetctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_dllcount_in : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_clk8_in : std_logic := '0';
signal dr_aload_in : std_logic := '0';
signal dr_reg_dllcount : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_delay_ctrl_gray : std_logic_vector (5 DOWNTO 0) := "000000";
-- delay chain setting counter
signal dc_dllcount_out_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal dc_dllcount_out_vec : std_logic_vector (5 DOWNTO 0) := "000000";
signal dc_dllcount_out : integer := 0;
signal dc_dqsupdate_out : std_logic := '0';
signal dc_upndn_in : std_logic := '1';
signal dc_aload_in : std_logic := '0';
signal dc_upndnclkena_in : std_logic := '1';
signal dc_clk8_in : std_logic := '0';
signal dc_clk1_in : std_logic := '0';
signal dc_dlltolock_in : std_logic := '0';
signal dc_reg_dllcount : integer := 0;
signal dc_reg_dlltolock_pulse : std_logic := '0';
-- jitter reduction counter
signal jc_upndn_out : std_logic := '0';
signal jc_upndnclkena_out : std_logic := '1';
signal jc_clk8_in : std_logic := '0';
signal jc_upndn_in : std_logic := '1';
signal jc_aload_in : std_logic := '0';
signal jc_clkena_in : std_logic := '1'; -- new in stratixiii
signal jc_count : integer := 8;
signal jc_reg_upndn : std_logic := '0';
signal jc_reg_upndnclkena : std_logic := '0';
-- phase comparator
signal pc_lock : std_logic := '0'; -- new in stratixiii
signal pc_upndn_out : std_logic := '1';
signal pc_dllcount_in : integer := 0;
signal pc_clk1_in : std_logic := '0';
signal pc_clk8_in : std_logic := '0';
signal pc_aload_in : std_logic := '0';
signal pc_reg_upndn : std_logic := '1';
signal pc_delay : integer := 0;
signal pc_lock_reg : std_logic := '0'; -- new in stratixiii
signal pc_comp_range : integer := 0; -- new in stratixiii
-- clock generator
signal cg_clk_in : std_logic := '0';
signal cg_aload_in : std_logic := '0';
signal cg_clk1_out : std_logic := '0';
signal cg_clk8a_out : std_logic := '0';
signal cg_clk8b_out : std_logic := '0';
-- por: 000
signal cg_reg_1 : std_logic := '0';
signal cg_rega_2 : std_logic := '0';
signal cg_rega_3 : std_logic := '0';
-- por: 010
signal cg_regb_2 : std_logic := '1';
signal cg_regb_3 : std_logic := '0';
-- for violation checks
signal dll_to_lock : std_logic := '0';
signal input_period : integer := 10000;
signal clk_in_last_value : std_logic := 'X';
begin
-- paramters
input_period <= dqs_str2int(input_frequency);
para_static_delay_ctrl <= static_delay_ctrl;
para_use_upndnin <= '1' WHEN use_upndnin = "true" ELSE '0';
para_jitter_reduction <= '1' WHEN jitter_reduction = "true" ELSE '0';
para_use_upndninclkena <= '1' WHEN use_upndninclkena = "true" ELSE '0';
para_delay_buffer_mode <= "00" WHEN delay_buffer_mode = "auto" ELSE "01" WHEN delay_buffer_mode = "low" ELSE "10";
para_delayctrlout_mode <= "01" WHEN delayctrlout_mode = "test" ELSE "10" WHEN delayctrlout_mode="normal" ELSE "11" WHEN delayctrlout_mode="static" ELSE "00";
sim_buffer_intrinsic_delay <= sim_low_buffer_intrinsic_delay WHEN (delay_buffer_mode = "low") ELSE
sim_high_buffer_intrinsic_delay;
-- violation check block
process (clk_in)
variable got_first_rising_edge : std_logic := '0';
variable got_first_falling_edge : std_logic := '0';
variable per_violation : std_logic := '0';
variable duty_violation : std_logic := '0';
variable sent_per_violation : std_logic := '0';
variable sent_duty_violation : std_logic := '0';
variable clk_in_last_rising_edge : time := 0 ps;
variable clk_in_last_falling_edge : time := 0 ps;
variable input_period_ps : time := 10000 ps;
variable duty_cycle : time := 5000 ps;
variable clk_in_period : time := 10000 ps;
variable clk_in_duty_cycle : time := 5000 ps;
variable clk_per_tolerance : time := 2 ps;
variable half_cycles_to_lock : integer := 1;
variable init : boolean := true;
begin
if (init) then
input_period_ps := dqs_str2int(input_frequency) * 1 ps;
if (input_period_ps = 0 ps) then
assert false report "Need to specify ps scale in simulation command" severity error;
end if;
duty_cycle := input_period_ps/2;
clk_per_tolerance := 2 ps;
half_cycles_to_lock := 0;
init := false;
end if;
if (clk_in'event and clk_in = '1') then -- rising edge
if (got_first_rising_edge = '0') then
got_first_rising_edge := '1';
else -- subsequent rising
-- check for clock period and duty cycle violation
clk_in_period := now - clk_in_last_rising_edge;
clk_in_duty_cycle := now - clk_in_last_falling_edge;
if ((clk_in_period < (input_period_ps - clk_per_tolerance)) or (clk_in_period > (input_period_ps + clk_per_tolerance))) then
per_violation := '1';
if (sent_per_violation /= '1') then
sent_per_violation := '1';
assert false report "Input clock frequency violation." severity warning;
end if;
elsif ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
if (per_violation = '1') then
sent_per_violation := '0';
assert false report "Input clock frequency now matches specified clock frequency." severity warning;
end if;
per_violation := '0';
duty_violation := '0';
end if;
end if;
if (per_violation = '0' and duty_violation = '0' and dll_to_lock = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
if (half_cycles_to_lock >= sim_valid_lock) then
dll_to_lock <= '1';
assert false report "DLL to lock to incoming clock" severity note;
end if;
end if;
clk_in_last_rising_edge := now;
elsif (clk_in'event and clk_in = '0') then -- falling edge
got_first_falling_edge := '1';
if (got_first_rising_edge = '1') then
-- duty cycle check
clk_in_duty_cycle := now - clk_in_last_rising_edge;
if ((clk_in_duty_cycle < (duty_cycle - clk_per_tolerance/2 - 1 ps)) or (clk_in_duty_cycle > (duty_cycle + clk_per_tolerance/2 + 1 ps))) then
duty_violation := '1';
if (sent_duty_violation /= '1') then
sent_duty_violation := '1';
assert false report "Input clock duty cycle violation." severity warning;
end if;
else
duty_violation := '0';
end if;
if (dll_to_lock = '0' and duty_violation = '0') then
half_cycles_to_lock := half_cycles_to_lock + 1;
end if;
end if;
clk_in_last_falling_edge := now;
elsif (got_first_falling_edge = '1' or got_first_rising_edge = '1') then
-- switches from 1, 0 to X
half_cycles_to_lock := 0;
got_first_rising_edge := '0';
got_first_falling_edge := '0';
if (dll_to_lock = '1') then
dll_to_lock <= '0';
assert false report "Illegal value detected on input clock. DLL will lose lock." severity warning;
else
assert false report "Illegal value detected on input clock." severity warning;
end if;
end if;
clk_in_last_value <= clk_in;
end process ; -- violation check
-- outputs
delayctrl_out <= dr_delayctrl_out;
offsetdelayctrl_out <= dr_offsetctrl_out;
offsetdelayctrlclkout <= dr_clk8_in;
dqsupdate_out <= cg_clk8a_out;
upndn_out <= pc_upndn_out;
-- two registers on aload path --------------------------------------------
aload_in <= (aload_in_buf OR aload_reg2);
process(clk_in)
begin
if (clk_in = '0' and clk_in'event) then
aload_reg2 <= aload_reg1;
aload_reg1 <= aload_in_buf;
end if;
end process;
-- Delay and offset ctrl out resolver -------------------------------------
-------- convert calculations into integer
-- inputs
dr_clk8_in <= not cg_clk8b_out;
dr_dllcount_in <= dc_dllcount_out_gray;
dr_aload_in <= aload_in;
mdll_count_enc : stratixiii_dll_gray_encoder
GENERIC MAP (width => 6)
PORT MAP (mbin => dc_dllcount_out_vec, gout => dc_dllcount_out_gray);
dc_dllcount_out_vec <= dll_unsigned2bin(dc_dllcount_out);
-- outputs
dr_delayctrl_out <= dr_reg_dllcount;
dr_offsetctrl_out <= dr_delayctrl_int;
-- assumed para_static_delay_ctrl is gray-coded
para_static_delay_ctrl_gray <= dll_unsigned2bin(para_static_delay_ctrl);
dr_delayctrl_int <= para_static_delay_ctrl_gray WHEN (delayctrlout_mode = "static") ELSE
dr_dllcount_in;
-- model
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_dllcount <= "000000";
elsif (dr_clk8_in = '1' and dr_clk8_in'event and dr_aload_in /= '1') then
dr_reg_dllcount <= dr_delayctrl_int;
end if;
end process;
-- Delay Setting Control Counter ------------------------------------------
--inputs
dc_dlltolock_in <= dll_to_lock;
dc_aload_in <= aload_in;
dc_clk1_in <= cg_clk1_out;
dc_clk8_in <= not cg_clk8b_out;
dc_upndnclkena_in <= upndninclkena WHEN (para_use_upndninclkena = '1') ELSE
jc_upndnclkena_out WHEN (para_jitter_reduction = '1') ELSE
(not pc_lock) WHEN (dual_phase_comparators = "true") ELSE
'1';
dc_upndn_in <= upndnin WHEN (para_use_upndnin = '1') ELSE
jc_upndn_out WHEN (para_jitter_reduction = '1') ELSE
pc_upndn_out;
-- outputs
dc_dllcount_out <= dc_reg_dllcount; -- needs to turn into gray counter
-- dll counter logic
process(dc_clk8_in, dc_aload_in, dc_dlltolock_in)
variable dc_var_dllcount : integer := 64;
variable init : boolean := true;
begin
if (init) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
init := false;
end if;
if (dc_aload_in = '1' and dc_aload_in'event) then
if (delay_buffer_mode = "low") then
dc_var_dllcount := 32;
else
dc_var_dllcount := 16;
end if;
elsif (dc_aload_in /= '1' and dc_dlltolock_in = '1' and dc_reg_dlltolock_pulse /= '1' and
dc_upndnclkena_in = '1' and para_use_upndnin = '0') then
dc_var_dllcount := sim_valid_lockcount;
dc_reg_dlltolock_pulse <= '1';
elsif (dc_aload_in /= '1' and
dc_upndnclkena_in = '1' and dc_clk8_in'event and dc_clk8_in = '1') then -- posedge clk
if (dc_upndn_in = '1') then
if ((para_delay_buffer_mode = "01" and dc_var_dllcount < 63) or
(para_delay_buffer_mode /= "01" and dc_var_dllcount < 31)) then
dc_var_dllcount := dc_var_dllcount + 1;
end if;
elsif (dc_upndn_in = '0') then
if (dc_var_dllcount > 0) then
dc_var_dllcount := dc_var_dllcount - 1;
end if;
end if;
end if; -- rising clock
-- schedule signal dc_reg_dllcount
dc_reg_dllcount <= dc_var_dllcount;
end process;
-- Jitter reduction counter -----------------------------------------------
-- inputs
jc_clk8_in <= not cg_clk8b_out;
jc_upndn_in <= pc_upndn_out;
jc_aload_in <= aload_in;
-- new in stratixiii
jc_clkena_in <= '1' WHEN (dual_phase_comparators = "false") ELSE (not pc_lock);
-- outputs
jc_upndn_out <= jc_reg_upndn;
jc_upndnclkena_out <= jc_reg_upndnclkena;
-- Model
process (jc_clk8_in, jc_aload_in)
begin
if (jc_aload_in = '1' and jc_aload_in'event) then
jc_count <= 8;
elsif (jc_aload_in /= '1' and jc_clk8_in'event and jc_clk8_in = '1') then
if (jc_clkena_in = '1') then
if (jc_count = 12) then
jc_reg_upndn <= '1';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
elsif (jc_count = 4) then
jc_reg_upndn <= '0';
jc_reg_upndnclkena <= '1';
jc_count <= 8;
else -- increment/decrement counter
jc_reg_upndnclkena <= '0';
if (jc_upndn_in = '1') then
jc_count <= jc_count + 1;
elsif (jc_upndn_in = '0') then
jc_count <= jc_count - 1;
end if;
end if;
else -- not clkena
jc_reg_upndnclkena <= '0';
end if;
end if;
end process;
-- Phase comparator -------------------------------------------------------
-- inputs
pc_clk1_in <= cg_clk1_out;
pc_clk8_in <= cg_clk8b_out; -- positive
pc_dllcount_in <= dc_dllcount_out; -- for phase loop calculation
pc_aload_in <= aload_in;
-- outputs
pc_upndn_out <= pc_reg_upndn;
pc_lock <= pc_lock_reg;
-- parameter used
-- sim_loop_intrinsic_delay, sim_loop_delay_increment
pc_comp_range <= (3*delay_chain_length*sim_buffer_delay_increment)/2;
-- Model
process (pc_clk8_in, pc_aload_in)
variable pc_var_delay : integer := 0;
begin
if (pc_aload_in = '1' and pc_aload_in'event) then
pc_var_delay := 0;
elsif (pc_aload_in /= '1' and pc_clk8_in'event and pc_clk8_in = '1' ) then
pc_var_delay := delay_chain_length * (sim_buffer_intrinsic_delay + sim_buffer_delay_increment * pc_dllcount_in);
pc_delay <= pc_var_delay;
if (dual_phase_comparators = "false") then
if (pc_var_delay > input_period) then
pc_reg_upndn <= '0';
else
pc_reg_upndn <= '1';
end if;
else -- use dual phase
if (pc_var_delay < (input_period - pc_comp_range/2)) then
pc_reg_upndn <= '1';
pc_lock_reg <= '0';
elsif (pc_var_delay <= (input_period + pc_comp_range/2)) then
pc_reg_upndn <= '0';
pc_lock_reg <= '1';
else
pc_reg_upndn <= '0';
pc_lock_reg <= '0';
end if;
end if;
end if;
end process;
-- Clock Generator -------------------------------------------------------
-- inputs
cg_clk_in <= clk_in;
cg_aload_in <= aload_in;
-- outputs
cg_clk8a_out <= cg_rega_3;
cg_clk8b_out <= cg_regb_3;
cg_clk1_out <= '0' WHEN cg_aload_in = '1' ELSE cg_clk_in;
-- Model
process(cg_clk1_out, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_reg_1 <= '0';
elsif (cg_aload_in /= '1' and cg_clk1_out = '1' and cg_clk1_out'event) then
cg_reg_1 <= not cg_reg_1;
end if;
end process;
process(cg_reg_1, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_2 <= '0';
cg_regb_2 <= '1';
elsif (cg_aload_in /= '1' and cg_reg_1 = '1' and cg_reg_1'event) then
cg_rega_2 <= not cg_rega_2;
cg_regb_2 <= not cg_regb_2;
end if;
end process;
process (cg_rega_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_rega_3 <= '0';
elsif (cg_aload_in /= '1' and cg_rega_2 = '1' and cg_rega_2'event) then
cg_rega_3 <= not cg_rega_3;
end if;
end process;
process (cg_regb_2, cg_aload_in)
begin
if (cg_aload_in = '1' and cg_aload_in'event) then
cg_regb_3 <= '0';
elsif (cg_aload_in /= '1' and cg_regb_2 = '1' and cg_regb_2'event) then
cg_regb_3 <= not cg_regb_3;
end if;
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (aload_in_buf, aload, tipd_aload);
VitalWireDelay (upndn_in, upndnin, tipd_upndnin);
VitalWireDelay (upndninclkena_in, upndninclkena, tipd_upndninclkena);
end block;
------------------------
-- Timing Check Section
------------------------
VITALtiming : process (clk_in, upndn_in, upndninclkena_in,
delayctrl_out, offsetdelayctrl_out, dqsupdate_out, upndn_out)
variable Tviol_upndnin_clk : std_ulogic := '0';
variable Tviol_upndninclkena_clk : std_ulogic := '0';
variable TimingData_upndnin_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_upndninclkena_clk : VitalTimingDataType := VitalTimingDataInit;
variable delayctrlout_VitalGlitchDataArray : VitalGlitchDataArrayType(5 downto 0);
variable upndnout_VitalGlitchData : VitalGlitchDataType;
begin
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_upndnin_clk,
TimingData => TimingData_upndnin_clk,
TestSignal => upndn_in,
TestSignalName => "UPNDNIN",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndnin_clk_noedge_posedge,
SetupLow => tsetup_upndnin_clk_noedge_posedge,
HoldHigh => thold_upndnin_clk_noedge_posedge,
HoldLow => thold_upndnin_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_upndninclkena_clk,
TimingData => TimingData_upndninclkena_clk,
TestSignal => upndninclkena_in,
TestSignalName => "UPNDNINCLKENA",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_upndninclkena_clk_noedge_posedge,
SetupLow => tsetup_upndninclkena_clk_noedge_posedge,
HoldHigh => thold_upndninclkena_clk_noedge_posedge,
HoldLow => thold_upndninclkena_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_DLL",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
----------------------
-- Path Delay Section
----------------------
offsetdelayctrlout <= offsetdelayctrl_out;
dqsupdate <= dqsupdate_out;
VitalPathDelay01 (
OutSignal => upndnout,
OutSignalName => "UPNDNOUT",
OutTemp => upndn_out,
Paths => (0 => (clk_in'last_event, tpd_clk_upndnout_posedge, TRUE)),
GlitchData => upndnout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(0),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(0),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(0), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(1),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(1),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(1), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(2),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(2),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(2), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(3),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(3),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(3), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(4),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(4),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(4), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => delayctrlout(5),
OutSignalName => "DELAYCTRLOUT",
OutTemp => delayctrl_out(5),
Paths => (0 => (clk_in'last_event, tpd_clk_delayctrlout_posedge(5), TRUE)),
GlitchData => delayctrlout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process; -- vital timing
end vital_titandll;
-------------------------------------------------------------------------------
--
-- Entity Name : Stratix III_dll_offset_ctrl
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
USE work.stratixiii_pllpack.all;
use work.stratixiii_atom_ddr_pack.all;
use work.stratixiii_dll_gray_encoder;
use work.stratixiii_dll_gray_decoder;
ENTITY stratixiii_dll_offset_ctrl is
GENERIC (
use_offset : string := "false";
static_offset : string := "0";
delay_buffer_mode : string := "low";
lpm_type : string := "stratixiii_dll_offset_ctrl";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_offset : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_offsetdelayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_addnsub : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tsetup_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offset_clk_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_addnsub_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_offsetctrlout_posedge : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01)
);
PORT ( clk : IN std_logic := '0';
aload : IN std_logic := '0';
offsetdelayctrlin : IN std_logic_vector(5 DOWNTO 0) := "000000";
offset : IN std_logic_vector(5 DOWNTO 0) := "000000";
addnsub : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '0';
offsettestout : OUT std_logic_vector(5 DOWNTO 0);
offsetctrlout : OUT std_logic_vector(5 DOWNTO 0)
);
END stratixiii_dll_offset_ctrl;
ARCHITECTURE vital_titanoffset of stratixiii_dll_offset_ctrl is
COMPONENT stratixiii_dll_gray_encoder
GENERIC ( width : integer := 6 );
PORT ( mbin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
gout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
COMPONENT stratixiii_dll_gray_decoder
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
signal clk_in : std_logic := '0';
signal aload_in : std_logic := '0';
signal offset_in : std_logic_vector(5 DOWNTO 0) := "000000";
signal offsetdelayctrlin_in : std_logic_vector(5 DOWNTO 0) := "000000";
signal addnsub_in : std_logic := '0';
signal offsetctrl_out : std_logic_vector(5 DOWNTO 0) := "000000";
signal para_delay_buffer_mode : std_logic_vector (1 DOWNTO 0) := "01";
signal para_use_offset : std_logic := '0';
signal para_static_offset : integer := 0;
signal para_static_offset_pos : integer := 0;
-- INTERNAL NETS AND VARIABLES
-- for functionality - by modules
-- two reg on the de-assertion of aload
SIGNAL aload_reg1 : std_logic := '1';
SIGNAL aload_reg2 : std_logic := '1';
-- delay and offset control out resolver
signal dr_offsetctrl_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsettest_out : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offsetctrl_out_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_addnsub_in : std_logic := '1';
signal dr_clk8_in : std_logic := '0';
signal dr_aload_in : std_logic := '0';
signal dr_offset_in_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_delayctrl_in_gray : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_offset_vec_pos : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_offset_gray : std_logic_vector (5 DOWNTO 0) := "000000"; -- signed in 2's complement
-- docoder
signal dr_delayctrl_in_bin : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offset_in_bin : std_logic_vector (5 DOWNTO 0) := "000000";
signal dr_offset_in_bin_pos : std_logic_vector (5 DOWNTO 0) := "000000"; -- for over/underflow check
signal para_static_offset_bin : std_logic_vector (5 DOWNTO 0) := "000000";
signal para_static_offset_bin_pos : std_logic_vector (5 DOWNTO 0) := "000000"; -- for over/underflow check
signal dr_reg_offset : std_logic_vector (5 DOWNTO 0) := "000000";
begin
-- paramters
para_delay_buffer_mode <= "01" WHEN delay_buffer_mode = "low" ELSE "00";
para_use_offset <= '1' WHEN use_offset = "true" ELSE '0';
para_static_offset <= dqs_str2int(static_offset); -- signed int
para_static_offset_pos <= para_static_offset WHEN (para_static_offset > 0) ELSE (-1)*para_static_offset;
-- outputs
offsetctrl_out <= dr_offsetctrl_out_gray;
offsettestout <= dr_offsettest_out;
-- two registers on aload path --------------------------------------------
-- it should be user clock to DLL, not the /8 clock of offsetctrl
process(clk_in)
begin
if (clk_in = '0' and clk_in'event) then
aload_reg2 <= aload_reg1;
aload_reg1 <= aload_in;
end if;
end process;
-- Delay and offset ctrl out resolver -------------------------------------
-- inputs
dr_clk8_in <= clk_in;
dr_addnsub_in <= addnsub_in;
dr_aload_in <= aload_in; -- aload_in | aload_reg2;
dr_delayctrl_in_gray <= offsetdelayctrlin_in;
dr_offset_in_gray <= offset_in;
para_static_offset_vec_pos <= dll_unsigned2bin(para_static_offset_pos);
para_static_offset_gray <= ("111111" - para_static_offset_vec_pos + "000001") WHEN (para_static_offset < 0) ELSE para_static_offset_vec_pos;
-- outputs
dr_offsetctrl_out <= dr_reg_offset;
moffsetctrl_out_enc : stratixiii_dll_gray_encoder
GENERIC MAP (width => 6)
PORT MAP (mbin => dr_reg_offset, gout => dr_offsetctrl_out_gray);
dr_offsettest_out <= para_static_offset_gray WHEN (use_offset = "false") ELSE offset_in;
-- model
-- decoders
mdr_delayctrl_in_dec : stratixiii_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => dr_delayctrl_in_gray, bout => dr_delayctrl_in_bin);
mdr_offset_in_dec : stratixiii_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => dr_offset_in_gray, bout => dr_offset_in_bin);
mpara_static_offset_dec : stratixiii_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => para_static_offset_gray, bout => para_static_offset_bin);
-- get postive value of decoded offset for over/underflow check
para_static_offset_bin_pos <= ("111111" - para_static_offset_bin + "000001") WHEN (para_static_offset < 0) ELSE para_static_offset_bin;
dr_offset_in_bin_pos <= ("111111" - dr_offset_in_bin + "000001") WHEN ((use_offset = "true") AND (addnsub_in = '0')) ELSE dr_offset_in_bin;
-- generating dr_reg_offset
process(dr_clk8_in, dr_aload_in)
begin
if (dr_aload_in = '1' and dr_aload_in'event) then
dr_reg_offset <= "000000";
elsif (dr_aload_in /= '1' and dr_clk8_in = '1' and dr_clk8_in'event) then
if (use_offset = "true") then
if (dr_addnsub_in = '1') then
if (dr_delayctrl_in_bin < "111111" - dr_offset_in_bin) then
dr_reg_offset <= dr_delayctrl_in_bin + dr_offset_in_bin;
else
dr_reg_offset <= "111111";
end if;
elsif (dr_addnsub_in = '0') then
if (dr_delayctrl_in_bin > dr_offset_in_bin_pos) then
dr_reg_offset <= dr_delayctrl_in_bin + dr_offset_in_bin; -- same as - *_pos
else
dr_reg_offset <= "000000";
end if;
end if;
else
if (para_static_offset >= 0) then -- do not use a + b < "11111" as it does not check overflow
if ((para_static_offset_bin < "111111") AND (dr_delayctrl_in_bin < "111111" - para_static_offset_bin )) then
dr_reg_offset <= dr_delayctrl_in_bin + para_static_offset_bin;
else
dr_reg_offset <= "111111";
end if;
else
if ((para_static_offset_bin_pos < "111111") AND (dr_delayctrl_in_bin > para_static_offset_bin_pos)) then
dr_reg_offset <= dr_delayctrl_in_bin + para_static_offset_bin; -- same as - *_pos
else
dr_reg_offset <= "000000";
end if;
end if;
end if;
end if; -- rising clock
end process ; -- generating dr_reg_offset
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (aload_in, aload, tipd_aload);
VitalWireDelay (addnsub_in, addnsub, tipd_addnsub);
VitalWireDelay (offset_in(0), offset(0), tipd_offset(0));
VitalWireDelay (offset_in(1), offset(1), tipd_offset(1));
VitalWireDelay (offset_in(2), offset(2), tipd_offset(2));
VitalWireDelay (offset_in(3), offset(3), tipd_offset(3));
VitalWireDelay (offset_in(4), offset(4), tipd_offset(4));
VitalWireDelay (offset_in(5), offset(5), tipd_offset(5));
VitalWireDelay (offsetdelayctrlin_in(0), offsetdelayctrlin(0), tipd_offsetdelayctrlin(0));
VitalWireDelay (offsetdelayctrlin_in(1), offsetdelayctrlin(1), tipd_offsetdelayctrlin(1));
VitalWireDelay (offsetdelayctrlin_in(2), offsetdelayctrlin(2), tipd_offsetdelayctrlin(2));
VitalWireDelay (offsetdelayctrlin_in(3), offsetdelayctrlin(3), tipd_offsetdelayctrlin(3));
VitalWireDelay (offsetdelayctrlin_in(4), offsetdelayctrlin(4), tipd_offsetdelayctrlin(4));
VitalWireDelay (offsetdelayctrlin_in(5), offsetdelayctrlin(5), tipd_offsetdelayctrlin(5));
end block;
------------------------
-- Timing Check Section
------------------------
VITALtiming : process (clk_in, offset_in, addnsub_in,
offsetctrl_out)
variable Tviol_offset_clk : std_ulogic := '0';
variable Tviol_addnsub_clk : std_ulogic := '0';
variable TimingData_offset_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_addnsub_clk : VitalTimingDataType := VitalTimingDataInit;
variable offsetctrlout_VitalGlitchDataArray : VitalGlitchDataArrayType(5 downto 0);
begin
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_offset_clk,
TimingData => TimingData_offset_clk,
TestSignal => offset_in,
TestSignalName => "OFFSET",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_offset_clk_noedge_posedge(0),
SetupLow => tsetup_offset_clk_noedge_posedge(0),
HoldHigh => thold_offset_clk_noedge_posedge(0),
HoldLow => thold_offset_clk_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_OFFSETCTRL",
XOn => XOn,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_addnsub_clk,
TimingData => TimingData_addnsub_clk,
TestSignal => addnsub_in,
TestSignalName => "ADDNSUB",
RefSignal => clk_in,
RefSignalName => "CLK",
SetupHigh => tsetup_addnsub_clk_noedge_posedge,
SetupLow => tsetup_addnsub_clk_noedge_posedge,
HoldHigh => thold_addnsub_clk_noedge_posedge,
HoldLow => thold_addnsub_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_OFFSETCTRL",
XOn => XOn,
MsgOn => MsgOnChecks );
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => offsetctrlout(0),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(0),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(0), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(0),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(1),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(1),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(1), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(1),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(2),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(2),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(2), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(2),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(3),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(3),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(3), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(3),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(4),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(4),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(4), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(4),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
VitalPathDelay01 (
OutSignal => offsetctrlout(5),
OutSignalName => "offsetctrlOUT",
OutTemp => offsetctrl_out(5),
Paths => (0 => (clk_in'last_event, tpd_clk_offsetctrlout_posedge(5), TRUE)),
GlitchData => offsetctrlout_VitalGlitchDataArray(5),
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process; -- vital timing
end vital_titanoffset;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_dqs_delay_chain
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_dll_gray_decoder;
ENTITY stratixiii_dqs_delay_chain IS
GENERIC (
dqs_input_frequency : string := "unused" ;
use_phasectrlin : string := "false";
phase_setting : integer := 0;
delay_buffer_mode : string := "low";
dqs_phase_shift : integer := 0;
dqs_offsetctrl_enable : string := "false";
dqs_ctrl_latches_enable : string := "false";
-- DFT added in WYS 1.33
test_enable : string := "false";
test_select : integer := 0;
-- SIM only
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_dqs_delay_chain";
tipd_dqsin : VitalDelayType01 := DefpropDelay01;
tipd_aload : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_offsetctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_dqsupdateen : VitalDelayType01 := DefpropDelay01;
tipd_phasectrlin : VitalDelayArrayType01(2 downto 0) := (OTHERS => DefPropDelay01);
tpd_dqsin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tsetup_delayctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_delayctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_offsetctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_offsetctrlin_dqsupdateen_noedge_posedge : VitalDelayArrayType(5 downto 0) := (OTHERS => DefSetupHoldCnst);
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsin : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
offsetctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
dqsupdateen : IN std_logic := '1';
phasectrlin : IN std_logic_vector(2 downto 0) := (OTHERS => '0');
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusout : OUT std_logic;
dffin : OUT std_logic
);
END;
ARCHITECTURE stratixiii_dqs_delay_chain_arch OF stratixiii_dqs_delay_chain IS
-- component section
COMPONENT stratixiii_dll_gray_decoder
GENERIC ( width : integer := 6 );
PORT ( gin : IN STD_LOGIC_VECTOR (width-1 DOWNTO 0) := (OTHERS => '0');
bout : OUT STD_LOGIC_VECTOR (width-1 DOWNTO 0)
);
END COMPONENT;
-- signal section
SIGNAL delayctrl_bin : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL offsetctrl_bin : std_logic_vector(5 downto 0) := (OTHERS => '0');
-- offsetctrl after "dqs_offsetctrl_enable" mux
SIGNAL offsetctrl_mux : std_logic_vector(5 downto 0) := (OTHERS => '0');
-- reged outputs of delay count
SIGNAL delayctrl_reg : std_logic_vector(5 downto 0) := (OTHERS => '1');
SIGNAL offsetctrl_reg : std_logic_vector(5 downto 0) := (OTHERS => '1');
-- delay count after latch enable mux
SIGNAL delayctrl_reg_mux : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL offsetctrl_reg_mux : std_logic_vector(5 downto 0) := (OTHERS => '0');
-- timing outputs
SIGNAL tmp_dqsbusout : STD_LOGIC := '0';
SIGNAL dqs_delay : INTEGER := 0;
-- timing inputs
SIGNAL dqsin_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL offsetctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL dqsupdateen_in : std_logic := '1';
SIGNAL phasectrlin_in : std_logic_vector(2 downto 0) := (OTHERS => '0');
SIGNAL test_bus : std_logic_vector(12 downto 0);
SIGNAL test_lpbk : std_logic;
SIGNAL tmp_dqsin : std_logic;
BEGIN
PROCESS(dqsupdateen_in)
BEGIN
IF (dqsupdateen_in = '1') THEN
delayctrl_reg <= delayctrlin_in;
offsetctrl_reg <= offsetctrl_mux;
END IF;
END PROCESS;
offsetctrl_mux <= offsetctrlin_in WHEN (dqs_offsetctrl_enable = "true") ELSE delayctrlin_in;
-- mux after reg
delayctrl_reg_mux <= delayctrl_reg WHEN (dqs_ctrl_latches_enable = "true") ELSE delayctrlin_in;
offsetctrl_reg_mux <= offsetctrl_reg WHEN (dqs_ctrl_latches_enable = "true") ELSE offsetctrl_mux;
mdelayctrlin_dec : stratixiii_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => delayctrl_reg_mux, bout => delayctrl_bin);
moffsetctrlin_dec : stratixiii_dll_gray_decoder
GENERIC MAP (width => 6)
PORT MAP (gin => offsetctrl_reg_mux, bout => offsetctrl_bin);
PROCESS (delayctrl_bin, offsetctrl_bin, phasectrlin_in)
variable sim_intrinsic_delay : INTEGER := 0;
variable tmp_delayctrl : std_logic_vector(5 downto 0) := (OTHERS => '0');
variable tmp_offsetctrl : std_logic_vector(5 downto 0) := (OTHERS => '0');
variable acell_delay : INTEGER := 0;
variable aoffsetcell_delay : INTEGER := 0;
variable delay_chain_len : INTEGER := 0;
BEGIN
IF (delay_buffer_mode = "low") THEN
sim_intrinsic_delay := sim_low_buffer_intrinsic_delay;
ELSE
sim_intrinsic_delay := sim_high_buffer_intrinsic_delay;
END IF;
IF (delay_buffer_mode = "high" AND delayctrl_bin(5) = '1') THEN
tmp_delayctrl := "011111";
ELSE
tmp_delayctrl := delayctrl_bin;
END IF;
IF (delay_buffer_mode = "high" AND offsetctrl_bin(5) = '1') THEN
tmp_offsetctrl := "011111";
ELSE
tmp_offsetctrl := offsetctrl_bin;
END IF;
-- cell
acell_delay := sim_intrinsic_delay + alt_conv_integer(tmp_delayctrl) * sim_buffer_delay_increment;
IF (dqs_offsetctrl_enable = "true") THEN
aoffsetcell_delay := sim_intrinsic_delay + alt_conv_integer(tmp_offsetctrl)*sim_buffer_delay_increment;
ELSE
aoffsetcell_delay := acell_delay;
END IF;
-- no of cells
IF (use_phasectrlin = "false") THEN
delay_chain_len := phase_setting;
ELSIF (phasectrlin_in(2) = '1') THEN
delay_chain_len := 0;
ELSE
delay_chain_len := alt_conv_integer(phasectrlin_in) + 1;
END IF;
-- total delay
IF (delay_chain_len = 0) THEN
dqs_delay <= 0;
ELSE
dqs_delay <= (delay_chain_len - 1)*acell_delay + aoffsetcell_delay;
END IF;
END PROCESS; -- generating delays
-- test bus loopback
test_bus <= (not dqsupdateen_in) & offsetctrl_reg_mux & delayctrl_reg_mux;
test_lpbk <= test_bus(test_select) WHEN ((0 <= test_select) AND (test_select <= 12)) ELSE 'Z';
tmp_dqsin <= (test_lpbk AND dqsin) WHEN (test_enable = "true") ELSE dqsin_in;
tmp_dqsbusout <= transport tmp_dqsin after (dqs_delay * 1 ps);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (dqsin_in, dqsin, tipd_dqsin);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_offsetctrlin : FOR i in offsetctrlin'RANGE GENERATE
VitalWireDelay (offsetctrlin_in(i), offsetctrlin(i), tipd_offsetctrlin(i));
END GENERATE;
VitalWireDelay (dqsupdateen_in, dqsupdateen, tipd_dqsupdateen);
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
end block;
-----------------------------------
-- Timing Check Section
-----------------------------------
VITAL_timing_check: PROCESS (dqsupdateen_in,offsetctrlin_in,delayctrlin_in)
variable Tviol_dqsupdateen_offsetctrlin : std_ulogic := '0';
variable TimingData_dqsupdateen_offsetctrlin : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_dqsupdateen_delayctrlin : std_ulogic := '0';
variable TimingData_dqsupdateen_delayctrlin : VitalTimingDataType := VitalTimingDataInit;
BEGIN
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
Violation => Tviol_dqsupdateen_offsetctrlin,
TimingData => TimingData_dqsupdateen_offsetctrlin,
TestSignal => offsetctrlin_in,
TestSignalName => "offsetctrlin",
RefSignal => dqsupdateen_in,
RefSignalName => "dqsupdateen",
SetupHigh => tsetup_offsetctrlin_dqsupdateen_noedge_posedge(0),
SetupLow => tsetup_offsetctrlin_dqsupdateen_noedge_posedge(0),
HoldHigh => thold_offsetctrlin_dqsupdateen_noedge_posedge(0),
HoldLow => thold_offsetctrlin_dqsupdateen_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_DQS_DELAY_CHAIN",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_dqsupdateen_delayctrlin,
TimingData => TimingData_dqsupdateen_delayctrlin,
TestSignal => delayctrlin_in,
TestSignalName => "delayctrlin",
RefSignal => dqsupdateen_in,
RefSignalName => "dqsupdateen",
SetupHigh => tsetup_delayctrlin_dqsupdateen_noedge_posedge(0),
SetupLow => tsetup_delayctrlin_dqsupdateen_noedge_posedge(0),
HoldHigh => thold_delayctrlin_dqsupdateen_noedge_posedge(0),
HoldLow => thold_delayctrlin_dqsupdateen_noedge_posedge(0),
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_DQS_DELAY_CHAIN",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
END IF;
END PROCESS; -- timing check
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dqsbusout)
variable dqsbusout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dqsbusout,
OutSignalName => "dqsbusout",
OutTemp => tmp_dqsbusout,
Paths => (0 => (dqsin_in'last_event, tpd_dqsin_dqsbusout, TRUE)),
GlitchData => dqsbusout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END stratixiii_dqs_delay_chain_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_dqs_enable
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_dqs_enable IS
GENERIC (
lpm_type : string := "stratixiii_dqs_enable";
tipd_dqsin : VitalDelayType01 := DefpropDelay01;
tipd_dqsenable : VitalDelayType01 := DefpropDelay01;
tpd_dqsin_dqsbusout : VitalDelayType01 := DefPropDelay01;
tpd_dqsenable_dqsbusout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsin : IN std_logic := '0';
dqsenable : IN std_logic := '1';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusout : OUT std_logic
);
END;
ARCHITECTURE stratixiii_dqs_enable_arch OF stratixiii_dqs_enable IS
-- component section
-- signal section
SIGNAL ena_reg : STD_LOGIC := '1';
-- timing output
SIGNAL tmp_dqsbusout : std_logic := '0';
-- timing input
SIGNAL dqsin_in : std_logic := '0';
SIGNAL dqsenable_in : std_logic := '1';
BEGIN
tmp_dqsbusout <= ena_reg AND dqsin_in;
PROCESS(tmp_dqsbusout, dqsenable_in)
BEGIN
IF (dqsenable_in = '1') THEN
ena_reg <= '1';
ELSIF (tmp_dqsbusout'event AND tmp_dqsbusout = '0') THEN
ena_reg <= '0';
END IF;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (dqsin_in, dqsin, tipd_dqsin);
VitalWireDelay (dqsenable_in, dqsenable, tipd_dqsenable);
end block;
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dqsbusout)
variable dqsbusout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dqsbusout,
OutSignalName => "dqsbusout",
OutTemp => tmp_dqsbusout,
Paths => (0 => (dqsin_in'last_event, tpd_dqsin_dqsbusout, TRUE),
1 => (dqsenable_in'last_event, tpd_dqsenable_dqsbusout, TRUE)),
GlitchData => dqsbusout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END stratixiii_dqs_enable_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_dqs_enable_ctrl
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_ddr_io_reg;
use work.stratixiii_ddr_delay_chain_s;
ENTITY stratixiii_dqs_enable_ctrl IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
level_dqs_enable : string := "false";
delay_dqs_enable_by_half_cycle : string := "false";
add_phase_transfer_reg : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_dqs_enable_ctrl";
tipd_dqsenablein : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
dqsenablein : IN std_logic := '1';
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsenableout : OUT std_logic;
dffin : OUT std_logic;
dffextenddqsenable : OUT std_logic
);
END;
ARCHITECTURE stratixiii_dqs_enable_ctrl_arch OF stratixiii_dqs_enable_ctrl IS
-- component section
COMPONENT stratixiii_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
component stratixiii_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
-- int signals
SIGNAL phasectrl_clkout : std_logic := '0';
SIGNAL delayed_clk : std_logic := '0';
SIGNAL dqsenablein_reg_q : std_logic := '0';
SIGNAL dqsenablein_level_ena : std_logic := '0';
-- transfer delay
SIGNAL dqsenablein_reg_dly : std_logic := '0';
SIGNAL phasetransferdelay_mux_out : std_logic := '0';
SIGNAL dqsenable_delayed_regp : std_logic := '0';
SIGNAL dqsenable_delayed_regn : std_logic := '0';
SIGNAL m_vcc : std_logic := '1';
SIGNAL m_gnd : std_logic := '0';
SIGNAL not_clk_in : std_logic := '1';
SIGNAL not_delayed_clk : std_logic := '1';
-- timing output
SIGNAL tmp_dqsenableout : std_logic := '1';
-- timing input
SIGNAL dqsenablein_in : std_logic := '1';
SIGNAL clk_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL enaphasetransferreg_in : std_logic := '0';
SIGNAL phaseinvertctrl_in : std_logic := '0';
BEGIN
-- delay chain
m_delay_chain : stratixiii_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
delayed_clk <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = '1') ELSE
phasectrl_clkout;
not_clk_in <= not clk_in;
not_delayed_clk <= not delayed_clk;
dqsenablein_reg : stratixiii_ddr_io_reg
PORT MAP(
d => dqsenablein_in,
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenablein_reg_q
);
dqsenable_transfer_reg : stratixiii_ddr_io_reg
PORT MAP (
d => dqsenablein_reg_q,
clk => not_delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenablein_reg_dly
);
-- add phase transfer mux
phasetransferdelay_mux_out <= dqsenablein_reg_dly WHEN (add_phase_transfer_reg = "true") ELSE
dqsenablein_reg_q WHEN (add_phase_transfer_reg = "false") ELSE
dqsenablein_reg_dly WHEN (enaphasetransferreg_in = '1') ELSE
dqsenablein_reg_q;
dqsenablein_level_ena <= phasetransferdelay_mux_out WHEN (level_dqs_enable = "true") ELSE dqsenablein_in;
dqsenableout_reg : stratixiii_ddr_io_reg
PORT MAP(
d => dqsenablein_level_ena,
clk => delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenable_delayed_regp
);
dqsenableout_extend_reg : stratixiii_ddr_io_reg
PORT MAP(
d => dqsenable_delayed_regp,
clk => not_delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => m_gnd,
asdata => m_gnd,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dqsenable_delayed_regn
);
tmp_dqsenableout <= dqsenable_delayed_regp WHEN (delay_dqs_enable_by_half_cycle = "false") ELSE
(dqsenable_delayed_regp AND dqsenable_delayed_regn);
dqsenableout <= tmp_dqsenableout;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (dqsenablein_in, dqsenablein, tipd_dqsenablein);
VitalWireDelay (clk_in, clk, tipd_clk);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (enaphasetransferreg_in, enaphasetransferreg, tipd_enaphasetransferreg);
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
end block;
END stratixiii_dqs_enable_ctrl_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_delay_chain
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_delay_chain IS
GENERIC (
sim_delayctrlin_rising_delay_0 : integer := 0;
sim_delayctrlin_rising_delay_1 : integer := 50;
sim_delayctrlin_rising_delay_2 : integer := 100;
sim_delayctrlin_rising_delay_3 : integer := 150;
sim_delayctrlin_rising_delay_4 : integer := 200;
sim_delayctrlin_rising_delay_5 : integer := 250;
sim_delayctrlin_rising_delay_6 : integer := 300;
sim_delayctrlin_rising_delay_7 : integer := 350;
sim_delayctrlin_rising_delay_8 : integer := 400;
sim_delayctrlin_rising_delay_9 : integer := 450;
sim_delayctrlin_rising_delay_10 : integer := 500;
sim_delayctrlin_rising_delay_11 : integer := 550;
sim_delayctrlin_rising_delay_12 : integer := 600;
sim_delayctrlin_rising_delay_13 : integer := 650;
sim_delayctrlin_rising_delay_14 : integer := 700;
sim_delayctrlin_rising_delay_15 : integer := 750;
sim_delayctrlin_falling_delay_0 : integer := 0;
sim_delayctrlin_falling_delay_1 : integer := 50;
sim_delayctrlin_falling_delay_2 : integer := 100;
sim_delayctrlin_falling_delay_3 : integer := 150;
sim_delayctrlin_falling_delay_4 : integer := 200;
sim_delayctrlin_falling_delay_5 : integer := 250;
sim_delayctrlin_falling_delay_6 : integer := 300;
sim_delayctrlin_falling_delay_7 : integer := 350;
sim_delayctrlin_falling_delay_8 : integer := 400;
sim_delayctrlin_falling_delay_9 : integer := 450;
sim_delayctrlin_falling_delay_10 : integer := 500;
sim_delayctrlin_falling_delay_11 : integer := 550;
sim_delayctrlin_falling_delay_12 : integer := 600;
sim_delayctrlin_falling_delay_13 : integer := 650;
sim_delayctrlin_falling_delay_14 : integer := 700;
sim_delayctrlin_falling_delay_15 : integer := 750;
use_delayctrlin : string := "true";
delay_setting : integer := 0;
-- new in STRATIXIV ww30.2008
sim_finedelayctrlin_falling_delay_0 : integer := 0;
sim_finedelayctrlin_falling_delay_1 : integer := 25;
sim_finedelayctrlin_rising_delay_0 : integer := 0;
sim_finedelayctrlin_rising_delay_1 : integer := 25;
use_finedelayctrlin : string := "false";
lpm_type : string := "stratixiii_delay_chain";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tpd_datain_dataout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
delayctrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
finedelayctrlin : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic
);
END;
ARCHITECTURE stratixiii_delay_chain_arch OF stratixiii_delay_chain IS
-- type def
type delay_chain_int_vec is array (natural range <>) of integer;
-- component section
-- signal section
SIGNAL rising_dly : INTEGER := 0;
SIGNAL falling_dly : INTEGER := 0;
SIGNAL delayctrlin_in : STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '0');
SIGNAL finedelayctrlin_in : STD_LOGIC := '0';
-- timing inputs
SIGNAL tmp_dataout : std_logic := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
BEGIN
-- filtering X/U etc.
delayctrlin_in(0) <= '1' WHEN (delayctrlin(0) = '1') ELSE '0';
delayctrlin_in(1) <= '1' WHEN (delayctrlin(1) = '1') ELSE '0';
delayctrlin_in(2) <= '1' WHEN (delayctrlin(2) = '1') ELSE '0';
delayctrlin_in(3) <= '1' WHEN (delayctrlin(3) = '1') ELSE '0';
finedelayctrlin_in <= '1' WHEN (finedelayctrlin = '1') ELSE '0';
-- generate dynamic delay table and dynamic delay
process(delayctrlin_in, finedelayctrlin_in)
variable init : boolean := true;
variable dly_table_rising : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable dly_table_falling : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable finedly_table_rising : delay_chain_int_vec(1 downto 0) := (OTHERS => 0);
variable finedly_table_falling : delay_chain_int_vec(1 downto 0) := (OTHERS => 0);
variable dly_setting : integer := 0;
variable finedly_setting : integer := 0;
begin
if (init) then
dly_table_rising(0) := sim_delayctrlin_rising_delay_0;
dly_table_rising(1) := sim_delayctrlin_rising_delay_1;
dly_table_rising(2) := sim_delayctrlin_rising_delay_2;
dly_table_rising(3) := sim_delayctrlin_rising_delay_3;
dly_table_rising(4) := sim_delayctrlin_rising_delay_4;
dly_table_rising(5) := sim_delayctrlin_rising_delay_5;
dly_table_rising(6) := sim_delayctrlin_rising_delay_6;
dly_table_rising(7) := sim_delayctrlin_rising_delay_7;
dly_table_rising(8) := sim_delayctrlin_rising_delay_8;
dly_table_rising(9) := sim_delayctrlin_rising_delay_9;
dly_table_rising(10) := sim_delayctrlin_rising_delay_10;
dly_table_rising(11) := sim_delayctrlin_rising_delay_11;
dly_table_rising(12) := sim_delayctrlin_rising_delay_12;
dly_table_rising(13) := sim_delayctrlin_rising_delay_13;
dly_table_rising(14) := sim_delayctrlin_rising_delay_14;
dly_table_rising(15) := sim_delayctrlin_rising_delay_15;
dly_table_falling(0) := sim_delayctrlin_falling_delay_0;
dly_table_falling(1) := sim_delayctrlin_falling_delay_1;
dly_table_falling(2) := sim_delayctrlin_falling_delay_2;
dly_table_falling(3) := sim_delayctrlin_falling_delay_3;
dly_table_falling(4) := sim_delayctrlin_falling_delay_4;
dly_table_falling(5) := sim_delayctrlin_falling_delay_5;
dly_table_falling(6) := sim_delayctrlin_falling_delay_6;
dly_table_falling(7) := sim_delayctrlin_falling_delay_7;
dly_table_falling(8) := sim_delayctrlin_falling_delay_8;
dly_table_falling(9) := sim_delayctrlin_falling_delay_9;
dly_table_falling(10) := sim_delayctrlin_falling_delay_10;
dly_table_falling(11) := sim_delayctrlin_falling_delay_11;
dly_table_falling(12) := sim_delayctrlin_falling_delay_12;
dly_table_falling(13) := sim_delayctrlin_falling_delay_13;
dly_table_falling(14) := sim_delayctrlin_falling_delay_14;
dly_table_falling(15) := sim_delayctrlin_falling_delay_15;
finedly_table_rising(0) := sim_finedelayctrlin_rising_delay_0;
finedly_table_rising(1) := sim_finedelayctrlin_rising_delay_1;
finedly_table_falling(0) := sim_finedelayctrlin_falling_delay_0;
finedly_table_falling(1) := sim_finedelayctrlin_falling_delay_1;
init := false;
end if;
IF (use_delayctrlin = "false") THEN
dly_setting := delay_setting;
ELSE
dly_setting := alt_conv_integer(delayctrlin_in);
END IF;
IF (finedelayctrlin_in = '1') THEN
finedly_setting := 1;
ELSE
finedly_setting := 0;
END IF;
IF (use_finedelayctrlin = "true") THEN
rising_dly <= dly_table_rising(dly_setting) + finedly_table_rising(finedly_setting);
falling_dly <= dly_table_falling(dly_setting) + finedly_table_falling(finedly_setting);
ELSE
rising_dly <= dly_table_rising(dly_setting);
falling_dly <= dly_table_falling(dly_setting);
END IF;
end process; -- generating dynamic delays
PROCESS(datain_in)
BEGIN
if (datain_in = '0') then
tmp_dataout <= transport datain_in after (falling_dly * 1 ps);
else
tmp_dataout <= transport datain_in after (rising_dly * 1 ps);
end if;
END PROCESS;
----------------------------------
-- Path Delay Section
----------------------------------
VITAL: process(tmp_dataout)
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => tmp_dataout,
Paths => (0 => (datain_in'last_event, tpd_datain_dataout, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
END stratixiii_delay_chain_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_io_clock_divider
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_ddr_delay_chain_s;
ENTITY stratixiii_io_clock_divider IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
use_masterin : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_io_clock_divider";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_phaseselect : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
tipd_masterin : VitalDelayType01 := DefpropDelay01;
tpd_clk_clkout : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
clk : IN std_logic := '0';
phaseselect : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
phaseinvertctrl : IN std_logic := '0';
masterin : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
clkout : OUT std_logic;
slaveout : OUT std_logic
);
END;
ARCHITECTURE stratixiii_io_clock_divider_arch OF stratixiii_io_clock_divider IS
-- component section
COMPONENT stratixiii_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
-- int signals
SIGNAL phasectrl_clkout : STD_LOGIC := '0';
SIGNAL delayed_clk : STD_LOGIC := '0';
SIGNAL divided_clk_in : STD_LOGIC := '0';
SIGNAL divided_clk : STD_LOGIC := '0';
-- timing outputs
SIGNAL tmp_clkout : STD_LOGIC := '0';
-- timing inputs
SIGNAL clk_in : std_logic := '0';
SIGNAL phaseselect_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL phaseinvertctrl_in : std_logic := '0';
SIGNAL masterin_in : std_logic := '0';
BEGIN
-- delay chain
m_delay_chain : stratixiii_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
delayed_clk <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = '1') ELSE
phasectrl_clkout;
divided_clk_in <= masterin_in WHEN (use_masterin = "true") ELSE divided_clk;
PROCESS (delayed_clk)
BEGIN
if (delayed_clk = '1') then
divided_clk <= not divided_clk_in;
end if;
END PROCESS;
tmp_clkout <= (not divided_clk) WHEN (phaseselect_in = '1') ELSE divided_clk;
slaveout <= divided_clk;
----------------------------------
-- Path Delay Section
----------------------------------
VITAL: process(tmp_clkout)
variable clkout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => clkout,
OutSignalName => "clkout",
OutTemp => tmp_clkout,
Paths => (0 => (clk_in'last_event, tpd_clk_clkout, TRUE)),
GlitchData => clkout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (phaseselect_in, phaseselect, tipd_phaseselect);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
VitalWireDelay (masterin_in, masterin, tipd_masterin);
end block;
END stratixiii_io_clock_divider_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_output_phase_alignment
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_ddr_io_reg;
use work.stratixiii_ddr_delay_chain_s;
ENTITY stratixiii_output_phase_alignment IS
GENERIC (
operation_mode : string := "ddio_out";
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
add_output_cycle_delay : string := "false";
use_delayed_clock : string := "false";
add_phase_transfer_reg : string := "false";
use_phasectrl_clock : string := "true";
use_primary_clock : string := "true";
invert_phase : string := "false";
bypass_input_register : string := "false";
phase_setting_for_delayed_clock : integer := 2;
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
-- new in STRATIXIV: ww30.2008
duty_cycle_delay_mode : string := "none";
sim_dutycycledelayctrlin_falling_delay_0 : integer := 0 ;
sim_dutycycledelayctrlin_falling_delay_1 : integer := 25 ;
sim_dutycycledelayctrlin_falling_delay_10 : integer := 250 ;
sim_dutycycledelayctrlin_falling_delay_11 : integer := 275 ;
sim_dutycycledelayctrlin_falling_delay_12 : integer := 300 ;
sim_dutycycledelayctrlin_falling_delay_13 : integer := 325 ;
sim_dutycycledelayctrlin_falling_delay_14 : integer := 350 ;
sim_dutycycledelayctrlin_falling_delay_15 : integer := 375 ;
sim_dutycycledelayctrlin_falling_delay_2 : integer := 50 ;
sim_dutycycledelayctrlin_falling_delay_3 : integer := 75 ;
sim_dutycycledelayctrlin_falling_delay_4 : integer := 100 ;
sim_dutycycledelayctrlin_falling_delay_5 : integer := 125 ;
sim_dutycycledelayctrlin_falling_delay_6 : integer := 150 ;
sim_dutycycledelayctrlin_falling_delay_7 : integer := 175 ;
sim_dutycycledelayctrlin_falling_delay_8 : integer := 200 ;
sim_dutycycledelayctrlin_falling_delay_9 : integer := 225 ;
sim_dutycycledelayctrlin_rising_delay_0 : integer := 0 ;
sim_dutycycledelayctrlin_rising_delay_1 : integer := 25 ;
sim_dutycycledelayctrlin_rising_delay_10 : integer := 250 ;
sim_dutycycledelayctrlin_rising_delay_11 : integer := 275 ;
sim_dutycycledelayctrlin_rising_delay_12 : integer := 300 ;
sim_dutycycledelayctrlin_rising_delay_13 : integer := 325 ;
sim_dutycycledelayctrlin_rising_delay_14 : integer := 350 ;
sim_dutycycledelayctrlin_rising_delay_15 : integer := 375 ;
sim_dutycycledelayctrlin_rising_delay_2 : integer := 50 ;
sim_dutycycledelayctrlin_rising_delay_3 : integer := 75 ;
sim_dutycycledelayctrlin_rising_delay_4 : integer := 100 ;
sim_dutycycledelayctrlin_rising_delay_5 : integer := 125 ;
sim_dutycycledelayctrlin_rising_delay_6 : integer := 150 ;
sim_dutycycledelayctrlin_rising_delay_7 : integer := 175 ;
sim_dutycycledelayctrlin_rising_delay_8 : integer := 200 ;
sim_dutycycledelayctrlin_rising_delay_9 : integer := 225 ;
lpm_type : string := "stratixiii_output_phase_alignment";
tipd_datain : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_sreset : VitalDelayType01 := DefpropDelay01;
tipd_clkena : VitalDelayType01 := DefpropDelay01;
tipd_enaoutputcycledelay : VitalDelayType01 := DefpropDelay01;
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic_vector(1 downto 0) := (OTHERS => '0');
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
areset : IN std_logic := '0';
sreset : IN std_logic := '0';
clkena : IN std_logic := '1';
enaoutputcycledelay : IN std_logic := '0';
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
delaymode : IN std_logic := '0'; -- new in STRATIXIV: ww30.2008
dutycycledelayctrlin: IN std_logic_vector(3 downto 0) := (OTHERS => '0');
dataout : OUT std_logic;
dffin : OUT std_logic_vector(1 downto 0);
dff1t : OUT std_logic_vector(1 downto 0);
dffddiodataout : OUT std_logic
);
END;
ARCHITECTURE stratixiii_output_phase_alignment_arch OF stratixiii_output_phase_alignment IS
-- type def
type delay_chain_int_vec is array (natural range <>) of integer;
-- component section
COMPONENT stratixiii_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
component stratixiii_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
-- int signals on clock paths
SIGNAL clk_in_delayed: STD_LOGIC := '0';
SIGNAL clk_in_mux: STD_LOGIC := '0';
SIGNAL phasectrl_clkout: STD_LOGIC := '0';
SIGNAL phaseinvertctrl_out: STD_LOGIC := '0';
SIGNAL m_vcc: STD_LOGIC := '1';
SIGNAL m_gnd: STD_LOGIC := '0';
-- IO registers
-- common
SIGNAL adatasdata_in_r : STD_LOGIC := '0'; -- sync reset - common for transfer and output reg
SIGNAL sclr_in_r : STD_LOGIC := '0';
SIGNAL sload_in_r : STD_LOGIC := '0';
SIGNAL sclr_in : STD_LOGIC := '0';
SIGNAL sload_in : STD_LOGIC := '0';
SIGNAL adatasdata_in : STD_LOGIC := '0';
SIGNAL clrn_in_r : STD_LOGIC := '1'; -- async reset - common for all registers
SIGNAL prn_in_r : STD_LOGIC := '1';
SIGNAL datain_q: STD_LOGIC := '0';
SIGNAL ddio_datain_q: STD_LOGIC := '0';
SIGNAL cycledelay_q: STD_LOGIC := '0';
SIGNAL ddio_cycledelay_q: STD_LOGIC := '0';
SIGNAL cycledelay_mux_out: STD_LOGIC := '0';
SIGNAL ddio_cycledelay_mux_out: STD_LOGIC := '0';
SIGNAL bypass_input_reg_mux_out : STD_LOGIC := '0';
SIGNAL ddio_bypass_input_reg_mux_out : STD_LOGIC := '0';
SIGNAL not_clk_in_mux: STD_LOGIC := '0';
SIGNAL ddio_out_clk_mux: STD_LOGIC := '0';
SIGNAL ddio_out_lo_q: STD_LOGIC := '0';
SIGNAL ddio_out_hi_q: STD_LOGIC := '0';
-- transfer delay now by negative clk
SIGNAL transfer_q: STD_LOGIC := '0';
SIGNAL ddio_transfer_q: STD_LOGIC := '0';
-- Duty Cycle Delay
SIGNAL dcd_in : STD_LOGIC := '0';
SIGNAL dcd_out : STD_LOGIC := '0';
SIGNAL dcd_both : STD_LOGIC := '0';
SIGNAL dcd_both_gnd : STD_LOGIC := '0';
SIGNAL dcd_both_vcc : STD_LOGIC := '0';
SIGNAL dcd_fallnrise : STD_LOGIC := '0';
SIGNAL dcd_fallnrise_gnd : STD_LOGIC := '0';
SIGNAL dcd_fallnrise_vcc : STD_LOGIC := '0';
SIGNAL dcd_rising_dly : INTEGER := 0;
SIGNAL dcd_falling_dly : INTEGER := 0;
SIGNAL dlyclk_clk: STD_LOGIC := '0';
SIGNAL dlyclk_d: STD_LOGIC := '0';
SIGNAL dlyclk_q: STD_LOGIC := '0';
SIGNAL ddio_dlyclk_d: STD_LOGIC := '0';
SIGNAL ddio_dlyclk_q: STD_LOGIC := '0';
SIGNAL dlyclk_clkena_in: STD_LOGIC := '0'; -- shared
SIGNAL dlyclk_extended_q: STD_LOGIC := '0';
SIGNAL dlyclk_extended_clk: STD_LOGIC := '0';
SIGNAL normal_dataout: STD_LOGIC := '0';
SIGNAL extended_dataout: STD_LOGIC := '0';
SIGNAL ddio_dataout: STD_LOGIC := '0';
SIGNAL tmp_dataout: STD_LOGIC := '0';
-- timing inputs
SIGNAL datain_in : std_logic_vector(1 downto 0) := (OTHERS => '0');
SIGNAL clk_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL areset_in : std_logic := '0';
SIGNAL sreset_in : std_logic := '0';
SIGNAL clkena_in : std_logic := '1';
SIGNAL enaoutputcycledelay_in : std_logic := '0';
SIGNAL enaphasetransferreg_in : std_logic := '0';
SIGNAL phaseinvertctrl_in : std_logic := '0';
SIGNAL delaymode_in: std_logic := '0';
SIGNAL dutycycledelayctrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
BEGIN
-- filtering X/U etc.
delaymode_in <= '1' WHEN (delaymode = '1') ELSE '0';
dutycycledelayctrlin_in(0) <= '1' WHEN (dutycycledelayctrlin(0) = '1') ELSE '0';
dutycycledelayctrlin_in(1) <= '1' WHEN (dutycycledelayctrlin(1) = '1') ELSE '0';
dutycycledelayctrlin_in(2) <= '1' WHEN (dutycycledelayctrlin(2) = '1') ELSE '0';
dutycycledelayctrlin_in(3) <= '1' WHEN (dutycycledelayctrlin(3) = '1') ELSE '0';
-- delay chain for clk_in delay
m_clk_in_delay_chain : stratixiii_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting_for_delayed_clock,
use_phasectrlin => "false",
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => clk_in_delayed
);
-- clock source for datain and cycle delay registers
clk_in_mux <= clk_in_delayed WHEN (use_delayed_clock = "true") ELSE clk_in;
-- delay chain for phase control
m_delay_chain : stratixiii_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
phasectrlin_limit => 10,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
-- primary outputs
normal_dataout <= dlyclk_q;
extended_dataout <= dlyclk_q OR dlyclk_extended_q; -- oe port is active low
ddio_dataout <= ddio_out_hi_q WHEN (ddio_out_clk_mux = '1') ELSE ddio_out_lo_q;
tmp_dataout <= ddio_dataout WHEN (operation_mode = "ddio_out") ELSE
extended_dataout WHEN (operation_mode = "extended_oe" OR operation_mode = "extended_rtena") ELSE
normal_dataout WHEN (operation_mode = "output" OR operation_mode = "oe" OR operation_mode = "rtena") ELSE
'Z';
dataout <= tmp_dataout;
ddio_out_clk_mux <= dlyclk_clk after 1 ps; -- symbolic T4 to remove glitch on data_h
ddio_out_lo_q <= dlyclk_q after 2 ps; -- symbolic 2 T4 to remove glitch on data_l
ddio_out_hi_q <= ddio_dlyclk_q;
-- resolve reset modes
PROCESS(areset_in)
BEGIN
IF (async_mode = "clear") THEN
clrn_in_r <= not areset_in;
prn_in_r <= '1';
ELSIF (async_mode = "preset") THEN
prn_in_r <= not areset_in;
clrn_in_r <= '1';
END IF;
END PROCESS;
PROCESS(sreset_in)
BEGIN
IF (sync_mode = "clear") THEN
sclr_in_r <= sreset_in;
adatasdata_in_r <= '0';
sload_in_r <= '0';
ELSIF (sync_mode = "preset") THEN
sload_in_r <= sreset_in;
adatasdata_in_r <= '1';
sclr_in_r <= '0';
END IF;
END PROCESS;
sclr_in <= '0' WHEN (operation_mode = "rtena" OR operation_mode = "extended_rtena") ELSE sclr_in_r;
sload_in <= '0' WHEN (operation_mode = "rtena" OR operation_mode = "extended_rtena") ELSE sload_in_r;
adatasdata_in <= adatasdata_in_r;
dlyclk_clkena_in <= '1' WHEN (operation_mode = "rtena" OR operation_mode = "extended_rtena") ELSE clkena_in;
-- Datain Register
datain_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(0),
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => datain_q
);
-- DDIO Datain Register
ddio_datain_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(1),
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => ddio_datain_q
);
-- Cycle Delay Register
cycledelay_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_q,
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => cycledelay_q
);
-- DDIO Cycle Delay Register
ddio_cycledelay_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => ddio_datain_q,
clk => clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => ddio_cycledelay_q
);
-- enaoutputcycledelay data path mux
cycledelay_mux_out <= cycledelay_q WHEN (add_output_cycle_delay = "true") ELSE
datain_q WHEN (add_output_cycle_delay = "false") ELSE
cycledelay_q WHEN (enaoutputcycledelay_in = m_vcc) ELSE
datain_q;
-- input register bypass mux
bypass_input_reg_mux_out <= datain_in(0) WHEN (bypass_input_register = "true") ELSE cycledelay_mux_out;
--assign #300 transfer_q = cycledelay_mux_out;
-- transfer delay is implemented with negative register in rev1.26
transferdelay_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => bypass_input_reg_mux_out,
clk => not_clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => transfer_q
);
-- add phase transfer data path mux
dlyclk_d <= transfer_q WHEN (add_phase_transfer_reg = "true") ELSE
bypass_input_reg_mux_out WHEN (add_phase_transfer_reg = "false") ELSE
transfer_q WHEN (enaphasetransferreg_in = m_vcc) ELSE
bypass_input_reg_mux_out;
-- clock mux for the output register
phaseinvertctrl_out <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = m_vcc) ELSE
phasectrl_clkout;
-- Duty Cycle Delay
dcd_in <= phaseinvertctrl_out WHEN (use_phasectrl_clock = "true") ELSE clk_in_mux;
PROCESS(dutycycledelayctrlin_in)
variable init : boolean := true;
variable dcd_table_rising : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable dcd_table_falling : delay_chain_int_vec(15 downto 0) := (OTHERS => 0);
variable dcd_dly_setting : integer := 0;
begin
if (init) then
dcd_table_rising(0) := sim_dutycycledelayctrlin_rising_delay_0;
dcd_table_rising(1) := sim_dutycycledelayctrlin_rising_delay_1;
dcd_table_rising(2) := sim_dutycycledelayctrlin_rising_delay_2;
dcd_table_rising(3) := sim_dutycycledelayctrlin_rising_delay_3;
dcd_table_rising(4) := sim_dutycycledelayctrlin_rising_delay_4;
dcd_table_rising(5) := sim_dutycycledelayctrlin_rising_delay_5;
dcd_table_rising(6) := sim_dutycycledelayctrlin_rising_delay_6;
dcd_table_rising(7) := sim_dutycycledelayctrlin_rising_delay_7;
dcd_table_rising(8) := sim_dutycycledelayctrlin_rising_delay_8;
dcd_table_rising(9) := sim_dutycycledelayctrlin_rising_delay_9;
dcd_table_rising(10) := sim_dutycycledelayctrlin_rising_delay_10;
dcd_table_rising(11) := sim_dutycycledelayctrlin_rising_delay_11;
dcd_table_rising(12) := sim_dutycycledelayctrlin_rising_delay_12;
dcd_table_rising(13) := sim_dutycycledelayctrlin_rising_delay_13;
dcd_table_rising(14) := sim_dutycycledelayctrlin_rising_delay_14;
dcd_table_rising(15) := sim_dutycycledelayctrlin_rising_delay_15;
dcd_table_falling(0) := sim_dutycycledelayctrlin_falling_delay_0;
dcd_table_falling(1) := sim_dutycycledelayctrlin_falling_delay_1;
dcd_table_falling(2) := sim_dutycycledelayctrlin_falling_delay_2;
dcd_table_falling(3) := sim_dutycycledelayctrlin_falling_delay_3;
dcd_table_falling(4) := sim_dutycycledelayctrlin_falling_delay_4;
dcd_table_falling(5) := sim_dutycycledelayctrlin_falling_delay_5;
dcd_table_falling(6) := sim_dutycycledelayctrlin_falling_delay_6;
dcd_table_falling(7) := sim_dutycycledelayctrlin_falling_delay_7;
dcd_table_falling(8) := sim_dutycycledelayctrlin_falling_delay_8;
dcd_table_falling(9) := sim_dutycycledelayctrlin_falling_delay_9;
dcd_table_falling(10) := sim_dutycycledelayctrlin_falling_delay_10;
dcd_table_falling(11) := sim_dutycycledelayctrlin_falling_delay_11;
dcd_table_falling(12) := sim_dutycycledelayctrlin_falling_delay_12;
dcd_table_falling(13) := sim_dutycycledelayctrlin_falling_delay_13;
dcd_table_falling(14) := sim_dutycycledelayctrlin_falling_delay_14;
dcd_table_falling(15) := sim_dutycycledelayctrlin_falling_delay_15;
init := false;
end if;
dcd_dly_setting := alt_conv_integer(dutycycledelayctrlin_in);
dcd_rising_dly <= dcd_table_rising(dcd_dly_setting);
dcd_falling_dly <= dcd_table_falling(dcd_dly_setting);
end process; -- generating dynamic delays
PROCESS(dcd_in)
BEGIN
dcd_both_gnd <= dcd_in;
if (dcd_in = '0') then
dcd_both_vcc <= transport dcd_in after (dcd_falling_dly * 1 ps);
else
dcd_both_vcc <= transport dcd_in after (dcd_rising_dly * 1 ps);
end if;
END PROCESS;
PROCESS(dcd_in)
BEGIN
if (dcd_in = '0') then
dcd_fallnrise_gnd <= transport dcd_in after (dcd_falling_dly * 1 ps);
else
dcd_fallnrise_vcc <= transport dcd_in after (dcd_rising_dly * 1 ps);
end if;
END PROCESS;
dcd_both <= dcd_both_vcc WHEN (delaymode_in = '1') ELSE dcd_both_gnd;
dcd_fallnrise <= dcd_fallnrise_vcc WHEN (delaymode_in = '1') ELSE dcd_fallnrise_gnd;
dlyclk_clk <= dcd_both WHEN (duty_cycle_delay_mode = "both") ELSE
dcd_fallnrise WHEN (duty_cycle_delay_mode = "fallnrise") ELSE dcd_in;
-- Output Register clocked by phasectrl_clk
dlyclk_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dlyclk_d,
clk => dlyclk_clk,
ena => dlyclk_clkena_in,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => dlyclk_q
);
-- enaoutputcycledelay data path mux
ddio_cycledelay_mux_out <= ddio_cycledelay_q WHEN (add_output_cycle_delay = "true") ELSE
ddio_datain_q WHEN (add_output_cycle_delay = "false") ELSE
ddio_cycledelay_q WHEN (enaoutputcycledelay_in = m_vcc) ELSE
ddio_datain_q;
-- input register bypass mux
ddio_bypass_input_reg_mux_out <= datain_in(1) WHEN (bypass_input_register = "true") ELSE ddio_cycledelay_mux_out;
--assign #300 ddio_transfer_q = ddio_cycledelay_mux_out;
-- transfer delay is implemented with negative register in rev1.26
not_clk_in_mux <= not clk_in_mux;
ddio_transferdelay_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => ddio_bypass_input_reg_mux_out,
clk => not_clk_in_mux,
ena => m_vcc,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => ddio_transfer_q
);
-- add phase transfer data path mux
ddio_dlyclk_d <= ddio_transfer_q WHEN (add_phase_transfer_reg = "true") ELSE
ddio_bypass_input_reg_mux_out WHEN (add_phase_transfer_reg = "false") ELSE
ddio_transfer_q WHEN (enaphasetransferreg_in = m_vcc) ELSE
ddio_bypass_input_reg_mux_out;
-- Output Register clocked by phasectrl_clk
ddio_dlyclk_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => ddio_dlyclk_d,
clk => dlyclk_clk,
ena => dlyclk_clkena_in,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => ddio_dlyclk_q
);
-- Extension Register
dlyclk_extended_clk <= not dlyclk_clk;
dlyclk_extended_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dlyclk_q,
clk => dlyclk_extended_clk,
ena => dlyclk_clkena_in,
clrn => clrn_in_r,
prn => prn_in_r,
aload => m_gnd,
asdata => adatasdata_in,
sclr => sclr_in,
sload => sload_in,
devclrn => devclrn,
devpor => devpor,
q => dlyclk_extended_q
);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
loopbits_datain : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_in(i), datain(i), tipd_datain(i));
END GENERATE;
VitalWireDelay (clk_in, clk, tipd_clk);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (areset_in, areset, tipd_areset);
VitalWireDelay (sreset_in, sreset, tipd_sreset);
VitalWireDelay (clkena_in, clkena, tipd_clkena);
VitalWireDelay (enaoutputcycledelay_in, enaoutputcycledelay, tipd_enaoutputcycledelay);
VitalWireDelay (enaphasetransferreg_in, enaphasetransferreg, tipd_enaphasetransferreg);
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
end block;
END stratixiii_output_phase_alignment_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_input_phase_alignment
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_ddr_io_reg;
use work.stratixiii_ddr_delay_chain_s;
ENTITY stratixiii_input_phase_alignment IS
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
power_up : string := "low";
async_mode : string := "none";
add_input_cycle_delay : string := "false";
bypass_output_register : string := "false";
add_phase_transfer_reg : string := "false";
invert_phase : string := "false";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
lpm_type : string := "stratixiii_input_phase_alignment";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_delayctrlin : VitalDelayArrayType01(5 downto 0) := (OTHERS => DefPropDelay01);
tipd_phasectrlin : VitalDelayArrayType01(3 downto 0) := (OTHERS => DefPropDelay01);
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_enainputcycledelay : VitalDelayType01 := DefpropDelay01;
tipd_enaphasetransferreg : VitalDelayType01 := DefpropDelay01;
tipd_phaseinvertctrl : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 downto 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 downto 0) := (OTHERS => '0');
areset : IN std_logic := '0';
enainputcycledelay : IN std_logic := '0';
enaphasetransferreg : IN std_logic := '0';
phaseinvertctrl : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic;
dffin : OUT std_logic;
dff1t : OUT std_logic
);
END;
ARCHITECTURE stratixiii_input_phase_alignment_arch OF stratixiii_input_phase_alignment IS
-- component section
COMPONENT stratixiii_ddr_delay_chain_s
GENERIC (
use_phasectrlin : string := "true";
phase_setting : integer := 0;
delay_buffer_mode : string := "high";
sim_low_buffer_intrinsic_delay : integer := 350;
sim_high_buffer_intrinsic_delay : integer := 175;
sim_buffer_delay_increment : integer := 10;
phasectrlin_limit : integer := 7
);
PORT (
clk : IN std_logic := '0';
delayctrlin : IN std_logic_vector(5 DOWNTO 0) := (OTHERS => '0');
phasectrlin : IN std_logic_vector(3 DOWNTO 0) := (OTHERS => '0');
delayed_clkout : OUT std_logic
);
END COMPONENT;
component stratixiii_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
-- int signals
SIGNAL phasectrl_clkout : STD_LOGIC := '0';
SIGNAL delayed_clk : STD_LOGIC := '0';
SIGNAL not_delayed_clk : STD_LOGIC := '1';
SIGNAL m_vcc: STD_LOGIC := '1';
SIGNAL m_gnd: STD_LOGIC := '0';
-- IO registers
-- common
SIGNAL adatasdata_in_r : STD_LOGIC := '0';
SIGNAL aload_in_r : STD_LOGIC := '0';
SIGNAL datain_q : STD_LOGIC := '0';
SIGNAL cycledelay_q : STD_LOGIC := '0';
SIGNAL cycledelay_mux_out : STD_LOGIC := '0';
SIGNAL cycledelay_mux_out_dly : STD_LOGIC := '0';
SIGNAL dlyclk_d : STD_LOGIC := '0';
SIGNAL dlyclk_q : STD_LOGIC := '0';
SIGNAL tmp_dataout : STD_LOGIC := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL delayctrlin_in : std_logic_vector(5 downto 0) := (OTHERS => '0');
SIGNAL phasectrlin_in : std_logic_vector(3 downto 0) := (OTHERS => '0');
SIGNAL areset_in : std_logic := '0';
SIGNAL enainputcycledelay_in : std_logic := '0';
SIGNAL enaphasetransferreg_in : std_logic := '0';
SIGNAL phaseinvertctrl_in : std_logic := '0';
BEGIN
m_clk_in_delay_chain : stratixiii_ddr_delay_chain_s
GENERIC MAP (
phase_setting => phase_setting,
use_phasectrlin => use_phasectrlin,
delay_buffer_mode => delay_buffer_mode,
sim_low_buffer_intrinsic_delay => sim_low_buffer_intrinsic_delay,
sim_high_buffer_intrinsic_delay => sim_high_buffer_intrinsic_delay,
sim_buffer_delay_increment => sim_buffer_delay_increment
)
PORT MAP(
clk => clk_in,
delayctrlin => delayctrlin_in,
phasectrlin => phasectrlin_in,
delayed_clkout => phasectrl_clkout
);
delayed_clk <= (not phasectrl_clkout) WHEN (invert_phase = "true") ELSE
phasectrl_clkout WHEN (invert_phase = "false") ELSE
(not phasectrl_clkout) WHEN (phaseinvertctrl_in = '1') ELSE
phasectrl_clkout;
-- primary output
dataout <= tmp_dataout;
tmp_dataout <= dlyclk_d WHEN (bypass_output_register = "true") ELSE dlyclk_q;
-- add phase transfer data path mux
dlyclk_d <= cycledelay_mux_out_dly WHEN (add_phase_transfer_reg = "true") ELSE
cycledelay_mux_out WHEN (add_phase_transfer_reg = "false") ELSE
cycledelay_mux_out_dly WHEN (enaphasetransferreg_in = '1') ELSE
cycledelay_mux_out;
-- enaoutputcycledelay data path mux
cycledelay_mux_out <= cycledelay_q WHEN (add_input_cycle_delay = "true") ELSE
datain_q WHEN (add_input_cycle_delay = "false") ELSE
cycledelay_q WHEN (enainputcycledelay_in = '1') ELSE
datain_q;
-- resolve reset modes
PROCESS (areset_in)
BEGIN
if (async_mode = "clear") then
aload_in_r <= areset_in;
adatasdata_in_r <= '0';
elsif (async_mode = "preset") then
aload_in_r <= areset_in;
adatasdata_in_r <= '1';
else -- async_mode = "none"
adatasdata_in_r <= 'Z';
end if;
END PROCESS;
-- Datain Register
datain_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in,
clk => delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => datain_q
);
-- Cycle Delay Register
cycledelay_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_q,
clk => delayed_clk,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => cycledelay_q
);
-- assign #300 cycledelay_mux_out_dly = cycledelay_mux_out; replaced by neg reg
-- Transfer Register - clocked by negative edge
not_delayed_clk <= not delayed_clk;
transfer_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => cycledelay_mux_out,
clk => not_delayed_clk, -- ~delayed_clk
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => cycledelay_mux_out_dly
);
-- Register clocked by actually by clk_in
dlyclk_reg : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dlyclk_d,
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dlyclk_q
);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
VitalWireDelay (clk_in, clk, tipd_clk);
loopbits_delayctrlin : FOR i in delayctrlin'RANGE GENERATE
VitalWireDelay (delayctrlin_in(i), delayctrlin(i), tipd_delayctrlin(i));
END GENERATE;
loopbits_phasectrlin : FOR i in phasectrlin'RANGE GENERATE
VitalWireDelay (phasectrlin_in(i), phasectrlin(i), tipd_phasectrlin(i));
END GENERATE;
VitalWireDelay (areset_in, areset, tipd_areset);
VitalWireDelay (enainputcycledelay_in, enainputcycledelay, tipd_enainputcycledelay);
VitalWireDelay (enaphasetransferreg_in, enaphasetransferreg, tipd_enaphasetransferreg);
VitalWireDelay (phaseinvertctrl_in, phaseinvertctrl, tipd_phaseinvertctrl);
end block;
END stratixiii_input_phase_alignment_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_half_rate_input
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
use work.stratixiii_ddr_io_reg;
ENTITY stratixiii_half_rate_input IS
GENERIC (
power_up : string := "low";
async_mode : string := "none";
use_dataoutbypass : string := "false";
lpm_type : string := "stratixiii_half_rate_input";
tipd_datain : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_directin : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_areset : VitalDelayType01 := DefpropDelay01;
tipd_dataoutbypass : VitalDelayType01 := DefpropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic_vector(1 downto 0) := (OTHERS => '0');
directin : IN std_logic := '0';
clk : IN std_logic := '0';
areset : IN std_logic := '0';
dataoutbypass: IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dataout : OUT std_logic_vector(3 downto 0);
dffin : OUT std_logic
);
END;
ARCHITECTURE stratixiii_half_rate_input_arch OF stratixiii_half_rate_input IS
-- component section
component stratixiii_ddr_io_reg
generic (
power_up : string := "DONT_CARE";
is_wysiwyg : string := "false";
x_on_violation : string := "on";
tsetup_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_d_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_asdata_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sclr_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_ena_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_aload_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_asdata_q: VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_d : VitalDelayType01 := DefPropDelay01;
tipd_asdata : VitalDelayType01 := DefPropDelay01;
tipd_sclr : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_clrn : VitalDelayType01 := DefPropDelay01;
tipd_prn : VitalDelayType01 := DefPropDelay01;
tipd_aload : VitalDelayType01 := DefPropDelay01;
tipd_ena : VitalDelayType01 := DefPropDelay01;
TimingChecksOn: Boolean := True;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
InstancePath: STRING := "*"
);
port (
d : in std_logic := '0';
clk : in std_logic := '0';
ena : in std_logic := '1';
clrn : in std_logic := '1';
prn : in std_logic := '1';
aload : in std_logic := '0';
asdata : in std_logic := '0';
sclr : in std_logic := '0';
sload : in std_logic := '0';
devclrn : in std_logic := '1';
devpor : in std_logic := '1';
q : out std_logic
);
end component;
SIGNAL m_vcc: STD_LOGIC := '1';
SIGNAL m_gnd: STD_LOGIC := '0';
-- IO SIGNAListers
-- common
SIGNAL neg_clk_in : STD_LOGIC := '0';
SIGNAL adatasdata_in_r : STD_LOGIC := '0';
SIGNAL aload_in_r : STD_LOGIC := '0';
-- low_bank = {1, 0} - capturing datain at falling edge then sending at falling rise
-- high_bank = {3, 2} - output of SIGNALister datain at rising
SIGNAL high_bank : STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
SIGNAL low_bank : STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
SIGNAL low_bank_low : STD_LOGIC := '0';
SIGNAL low_bank_high : STD_LOGIC := '0';
SIGNAL high_bank_low : STD_LOGIC := '0';
SIGNAL high_bank_high: STD_LOGIC := '0';
SIGNAL dataout_reg_n : STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
SIGNAL tmp_dataout : STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '0');
-- delayed version to ensure 1 latency as expected in functional sim
SIGNAL datain_in : std_logic_vector(1 downto 0) := (OTHERS => '0');
-- timing inputs
SIGNAL datain_ipd : std_logic_vector(1 downto 0) := (OTHERS => '0');
SIGNAL directin_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL areset_in : std_logic := '0';
SIGNAL dataoutbypass_in: std_logic := '0';
BEGIN
-- primary input
datain_in <= transport datain_ipd after 2 ps;
-- primary output
dataout <= tmp_dataout;
tmp_dataout(3) <= directin_in WHEN (dataoutbypass_in = '0' AND use_dataoutbypass = "true") ELSE high_bank_high;
tmp_dataout(2) <= directin_in WHEN (dataoutbypass_in = '0' AND use_dataoutbypass = "true") ELSE high_bank_low;
tmp_dataout(1) <= low_bank(1);
tmp_dataout(0) <= low_bank(0);
low_bank <= low_bank_high & low_bank_low;
high_bank <= high_bank_high & high_bank_low;
-- resolve reset modes
PROCESS(areset_in)
BEGIN
if (async_mode = "clear") then
aload_in_r <= areset_in;
adatasdata_in_r <= '0';
elsif (async_mode = "preset") then
aload_in_r <= areset_in;
adatasdata_in_r <= '1';
else -- async_mode = "none"
adatasdata_in_r <= 'Z';
end if;
END PROCESS;
neg_clk_in <= not clk_in;
-- datain_1 - H
reg1_h : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(1),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => high_bank_high
);
-- datain_0 - H
reg0_h : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(0),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => high_bank_low
);
-- datain_1 - L (n)
reg1_l_n : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(1),
clk => neg_clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dataout_reg_n(1)
);
-- datain_1 - L
reg1_l : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dataout_reg_n(1),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => low_bank_high
);
-- datain_0 - L (n)
reg0_l_n : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => datain_in(0),
clk => neg_clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => dataout_reg_n(0)
);
-- datain_0 - L
reg0_l : stratixiii_ddr_io_reg
GENERIC MAP (power_up => power_up)
PORT MAP(
d => dataout_reg_n(0),
clk => clk_in,
ena => m_vcc,
clrn => m_vcc,
prn => m_vcc,
aload => aload_in_r,
asdata => adatasdata_in_r,
sclr => m_gnd,
sload => m_gnd,
devclrn => devclrn,
devpor => devpor,
q => low_bank_low
);
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
loopbits_datain : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
VitalWireDelay (directin_in, directin, tipd_directin);
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (areset_in, areset, tipd_areset);
VitalWireDelay (dataoutbypass_in, dataoutbypass, tipd_dataoutbypass);
end block;
END stratixiii_half_rate_input_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_io_config
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_io_config IS
GENERIC (
enhanced_mode : string := "false";
lpm_type : string := "stratixiii_io_config";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_update : VitalDelayType01 := DefpropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
ena : IN std_logic := '1';
update : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
-- new STRATIXIV: ww30.2008
dutycycledelaymode : OUT std_logic;
dutycycledelaysettings : OUT std_logic_vector(3 downto 0);
outputfinedelaysetting1 : OUT std_logic;
outputfinedelaysetting2 : OUT std_logic;
outputonlydelaysetting2 : OUT std_logic_vector(2 downto 0);
outputonlyfinedelaysetting2 : OUT std_logic;
padtoinputregisterfinedelaysetting : OUT std_logic;
padtoinputregisterdelaysetting : OUT std_logic_vector(3 downto 0);
outputdelaysetting1 : OUT std_logic_vector(3 downto 0);
outputdelaysetting2 : OUT std_logic_vector(2 downto 0);
dataout : OUT std_logic
);
END;
ARCHITECTURE stratixiii_io_config_arch OF stratixiii_io_config IS
-- component section
SIGNAL shift_reg : std_logic_vector(10 downto 0) := (OTHERS => '0');
SIGNAL output_reg : std_logic_vector(10 downto 0) := (OTHERS => '0');
SIGNAL tmp_output : std_logic_vector(10 downto 0) := (OTHERS => '0');
SIGNAL enhance_shift_reg : std_logic_vector(22 downto 0) := (OTHERS => '0');
SIGNAL enhance_output_reg : std_logic_vector(22 downto 0) := (OTHERS => '0');
SIGNAL enhance_tmp_output : std_logic_vector(22 downto 0) := (OTHERS => '0');
-- timing outputs
SIGNAL tmp_dataout : std_logic := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL ena_in : std_logic := '0';
SIGNAL update_in : std_logic := '0';
BEGIN
-- primary outputs
tmp_dataout <= enhance_shift_reg(22) WHEN (enhanced_mode = "true") ELSE shift_reg(10);
-- bit order changed in wys revision 1.32
outputdelaysetting1 <= tmp_output(3 DOWNTO 0);
outputdelaysetting2 <= tmp_output(6 DOWNTO 4);
padtoinputregisterdelaysetting <= tmp_output(10 DOWNTO 7);
-- padtoinputregisterdelaysetting <= tmp_output(3 DOWNTO 0);
-- outputdelaysetting1 <= tmp_output(7 DOWNTO 4);
-- outputdelaysetting2 <= tmp_output(10 DOWNTO 8);
tmp_output <= output_reg;
outputdelaysetting1 <= enhance_tmp_output(3 DOWNTO 0) WHEN (enhanced_mode = "true") ELSE tmp_output(3 DOWNTO 0);
outputdelaysetting2 <= enhance_tmp_output(6 DOWNTO 4) WHEN (enhanced_mode = "true") ELSE tmp_output(6 DOWNTO 4);
padtoinputregisterdelaysetting <= enhance_tmp_output(10 DOWNTO 7) WHEN (enhanced_mode = "true") ELSE tmp_output(10 DOWNTO 7);
outputfinedelaysetting1 <= enhance_tmp_output(11) WHEN (enhanced_mode = "true") ELSE '0';
outputfinedelaysetting2 <= enhance_tmp_output(12) WHEN (enhanced_mode = "true") ELSE '0';
padtoinputregisterfinedelaysetting <= enhance_tmp_output(13) WHEN (enhanced_mode = "true") ELSE '0';
outputonlyfinedelaysetting2 <= enhance_tmp_output(14) WHEN (enhanced_mode = "true") ELSE '0';
outputonlydelaysetting2 <= enhance_tmp_output(17 DOWNTO 15) WHEN (enhanced_mode = "true") ELSE "000";
dutycycledelaymode <= enhance_tmp_output(18) WHEN (enhanced_mode = "true") ELSE '0';
dutycycledelaysettings <= enhance_tmp_output(22 DOWNTO 19) WHEN (enhanced_mode = "true") ELSE "0000";
tmp_output <= output_reg;
enhance_tmp_output <= enhance_output_reg;
PROCESS(clk_in)
BEGIN
if (clk_in = '1' AND ena_in = '1') then
shift_reg(0) <= datain_in;
shift_reg(10 DOWNTO 1) <= shift_reg(9 DOWNTO 0);
enhance_shift_reg(0) <= datain_in;
enhance_shift_reg(22 DOWNTO 1) <= enhance_shift_reg(21 DOWNTO 0);
end if;
END PROCESS;
PROCESS(clk_in)
BEGIN
if (clk_in = '1' AND update_in = '1') then
output_reg <= shift_reg;
enhance_output_reg <= enhance_shift_reg;
end if;
END PROCESS;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (ena_in, ena, tipd_ena);
VitalWireDelay (update_in, update, tipd_update);
end block;
-----------------------------------
-- Timing Check Section
-----------------------------------
VITAL_timing_check: PROCESS (clk_in,datain_in,ena_in,update_in)
variable Tviol_clk_datain : std_ulogic := '0';
variable TimingData_clk_datain : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_ena : std_ulogic := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_update : std_ulogic := '0';
variable TimingData_clk_update : VitalTimingDataType := VitalTimingDataInit;
BEGIN
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
Violation => Tviol_clk_datain,
TimingData => TimingData_clk_datain,
TestSignal => datain_in,
TestSignalName => "Datain",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_in,
TestSignalName => "Ena",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_update,
TimingData => TimingData_clk_update,
TestSignal => update_in,
TestSignalName => "Update",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
END IF;
END PROCESS; -- timing check
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dataout)
variable dataout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "Dataout",
OutTemp => tmp_dataout,
Paths => (0 => (clk_in'last_event, tpd_clk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END stratixiii_io_config_arch;
-------------------------------------------------------------------------------
--
-- Entity Name : stratixiii_dqs_config
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_dqs_config IS
GENERIC (
enhanced_mode : string := "false";
lpm_type : string := "stratixiii_dqs_config";
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_update : VitalDelayType01 := DefpropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
TimingChecksOn : Boolean := True;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*"
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
ena : IN std_logic := '0';
update : IN std_logic := '0';
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1';
dqsbusoutfinedelaysetting : OUT std_logic; -- new in STRATIXIV
dqsenablefinedelaysetting : OUT std_logic; -- new in STRATIXIV
dqsbusoutdelaysetting : OUT std_logic_vector(3 downto 0);
dqsinputphasesetting : OUT std_logic_vector(2 downto 0);
dqsenablectrlphasesetting : OUT std_logic_vector(3 downto 0);
dqsoutputphasesetting : OUT std_logic_vector(3 downto 0);
dqoutputphasesetting : OUT std_logic_vector(3 downto 0);
resyncinputphasesetting : OUT std_logic_vector(3 downto 0);
dividerphasesetting : OUT std_logic;
enaoctcycledelaysetting : OUT std_logic;
enainputcycledelaysetting : OUT std_logic;
enaoutputcycledelaysetting: OUT std_logic;
dqsenabledelaysetting : OUT std_logic_vector(2 downto 0);
octdelaysetting1 : OUT std_logic_vector(3 downto 0);
octdelaysetting2 : OUT std_logic_vector(2 downto 0);
enadataoutbypass : OUT std_logic;
enadqsenablephasetransferreg : OUT std_logic;
enaoctphasetransferreg : OUT std_logic;
enaoutputphasetransferreg : OUT std_logic;
enainputphasetransferreg : OUT std_logic;
resyncinputphaseinvert : OUT std_logic;
dqsenablectrlphaseinvert : OUT std_logic;
dqoutputphaseinvert : OUT std_logic;
dqsoutputphaseinvert : OUT std_logic;
dataout : OUT std_logic
);
END;
ARCHITECTURE stratixiii_dqs_config_arch OF stratixiii_dqs_config IS
-- component section
SIGNAL shift_reg : STD_LOGIC_VECTOR (47 DOWNTO 0) := (OTHERS => '0');
SIGNAL output_reg : STD_LOGIC_VECTOR (47 DOWNTO 0) := (OTHERS => '0');
SIGNAL tmp_output : STD_LOGIC_VECTOR (47 DOWNTO 0) := (OTHERS => '0');
-- timing outputs
SIGNAL tmp_dataout : std_logic := '0';
-- timing inputs
SIGNAL datain_in : std_logic := '0';
SIGNAL clk_in : std_logic := '0';
SIGNAL ena_in : std_logic := '0';
SIGNAL update_in : std_logic := '0';
BEGIN
-- primary outputs
tmp_dataout <= shift_reg(47) WHEN (enhanced_mode = "true")ELSE shift_reg(45);
dqsbusoutdelaysetting <= tmp_output(3 DOWNTO 0);
dqsinputphasesetting <= tmp_output(6 DOWNTO 4);
dqsenablectrlphasesetting <= tmp_output(10 DOWNTO 7);
dqsoutputphasesetting <= tmp_output(14 DOWNTO 11);
dqoutputphasesetting <= tmp_output(18 DOWNTO 15);
resyncinputphasesetting <= tmp_output(22 DOWNTO 19);
dividerphasesetting <= tmp_output(23);
enaoctcycledelaysetting <= tmp_output(24);
enainputcycledelaysetting <= tmp_output(25);
enaoutputcycledelaysetting<= tmp_output(26);
dqsenabledelaysetting <= tmp_output(29 DOWNTO 27);
octdelaysetting1 <= tmp_output(33 DOWNTO 30);
octdelaysetting2 <= tmp_output(36 DOWNTO 34);
enadataoutbypass <= tmp_output(37);
enadqsenablephasetransferreg <= tmp_output(38); -- new in 1.23
enaoctphasetransferreg <= tmp_output(39); -- new in 1.23
enaoutputphasetransferreg <= tmp_output(40); -- new in 1.23
enainputphasetransferreg <= tmp_output(41); -- new in 1.23
resyncinputphaseinvert <= tmp_output(42); -- new in 1.26
dqsenablectrlphaseinvert <= tmp_output(43); -- new in 1.26
dqoutputphaseinvert <= tmp_output(44); -- new in 1.26
dqsoutputphaseinvert <= tmp_output(45); -- new in 1.26
-- new in STRATIXIV: ww30.2008
dqsbusoutfinedelaysetting <= tmp_output(46) WHEN (enhanced_mode = "true") ELSE '0';
dqsenablefinedelaysetting <= tmp_output(47) WHEN (enhanced_mode = "true") ELSE '0';
tmp_output <= output_reg;
PROCESS(clk_in)
begin
if (clk_in = '1' AND ena_in = '1') then
shift_reg(0) <= datain_in;
shift_reg(47 DOWNTO 1) <= shift_reg(46 DOWNTO 0);
end if;
end process;
PROCESS(clk_in)
begin
if (clk_in = '1' AND update_in = '1') then
output_reg <= shift_reg;
end if;
end process;
--------------------
-- INPUT PATH DELAYS
--------------------
WireDelay : block
begin
VitalWireDelay (datain_in, datain, tipd_datain);
VitalWireDelay (clk_in, clk, tipd_clk);
VitalWireDelay (ena_in, ena, tipd_ena);
VitalWireDelay (update_in, update, tipd_update);
end block;
-----------------------------------
-- Timing Check Section
-----------------------------------
VITAL_timing_check: PROCESS (clk_in,datain_in,ena_in,update_in)
variable Tviol_clk_datain : std_ulogic := '0';
variable TimingData_clk_datain : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_ena : std_ulogic := '0';
variable TimingData_clk_ena : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_clk_update : std_ulogic := '0';
variable TimingData_clk_update : VitalTimingDataType := VitalTimingDataInit;
BEGIN
IF (TimingChecksOn) THEN
VitalSetupHoldCheck (
Violation => Tviol_clk_datain,
TimingData => TimingData_clk_datain,
TestSignal => datain_in,
TestSignalName => "Datain",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_ena,
TimingData => TimingData_clk_ena,
TestSignal => ena_in,
TestSignalName => "Ena",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
VitalSetupHoldCheck (
Violation => Tviol_clk_update,
TimingData => TimingData_clk_update,
TestSignal => update_in,
TestSignalName => "Update",
RefSignal => clk_in,
RefSignalName => "clk",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
RefTransition => '/',
HeaderMsg => InstancePath & "/STRATIXIII_IO_CONFIG",
XOn => XOnChecks,
MsgOn => MsgOnChecks
);
END IF;
END PROCESS; -- timing check
--------------------------------------
-- Path Delay Section
--------------------------------------
VITAL_path_delays: PROCESS (tmp_dataout)
variable dataout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "Dataout",
OutTemp => tmp_dataout,
Paths => (0 => (clk_in'last_event, tpd_clk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
END PROCESS; -- Path Delays
END stratixiii_dqs_config_arch;
-------------------------------------------------------------------------------
-- Module Name: stratixiii_mac_bit_register --
-- Description: Stratix III MAC single bit register --
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_mac_bit_register IS
GENERIC (
tipd_datain : VitalDelayType01 := DefPropDelay01;
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_datain_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic
);
END stratixiii_mac_bit_register;
ARCHITECTURE arch OF stratixiii_mac_bit_register IS
SIGNAL datain_ipd : std_logic := '0';
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL sload_ipd : std_logic := '1';
SIGNAL dataout_tmp : std_logic := '0';
SIGNAL dataout_reg : std_logic := '0';
BEGIN
WireDelay : block
begin
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
end block;
PROCESS(clk_ipd, datain_ipd, sload_ipd, aclr_ipd)
variable Tviol_datain_clk : std_ulogic := '0';
variable Tviol_sload_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
variable q_VitalGlitchData : VitalGlitchDataType;
VARIABLE CQDelay : TIME := 0 ns;
BEGIN
IF (aclr_ipd = '1') THEN
dataout_reg <= '0';
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (sload_ipd = '1') THEN
dataout_reg <= datain_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain,
TestSignalName => "DATAIN",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge,
SetupLow => tsetup_datain_clk_noedge_posedge,
HoldHigh => thold_datain_clk_noedge_posedge,
HoldLow => thold_datain_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT aclr_ipd) OR
(sload_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC Register VitalSetupHoldCheck",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT aclr_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC Register VitalSetupHoldCheck",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
END PROCESS;
dataout_tmp <= datain_ipd WHEN bypass_register = '1' ELSE dataout_reg;
PROCESS(dataout_tmp)
variable dataout_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => dataout,
OutSignalName => "dataout",
OutTemp => dataout_tmp,
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
END PROCESS;
END arch;
-------------------------------------------------------------------------------
-- Module Name: stratixiii_mac_register --
-- Description: Stratix III MAC variable width register --
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_mac_register IS
GENERIC (
data_width : integer := 18;
tipd_datain : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_sload : VitalDelayType01 := DefPropDelay01;
tipd_aclr : VitalDelayType01 := DefPropDelay01;
tpd_aclr_dataout_posedge : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tpd_clk_dataout_posedge : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tsetup_datain_clk_noedge_posedge : VitalDelayArrayType(71 downto 0) := (OTHERS => DefSetupHoldCnst);
thold_datain_clk_noedge_posedge : VitalDelayArrayType(71 downto 0) := (OTHERS => DefSetupHoldCnst);
tsetup_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_sload_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
datain : IN std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width - 1 DOWNTO 0)
);
END stratixiii_mac_register;
ARCHITECTURE arch OF stratixiii_mac_register IS
SIGNAL datain_ipd : std_logic_vector(data_width -1 downto 0) := (others => '0');
SIGNAL clk_ipd : std_logic := '0';
SIGNAL aclr_ipd : std_logic := '0';
SIGNAL sload_ipd : std_logic := '1';
SIGNAL dataout_tmp : std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
SIGNAL dataout_reg : std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
g1 :for i in datain'range generate
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
end generate;
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (aclr_ipd, aclr, tipd_aclr);
VitalWireDelay (sload_ipd, sload, tipd_sload);
end block;
PROCESS(clk_ipd, datain_ipd, sload_ipd, aclr_ipd)
BEGIN
IF (aclr_ipd = '1') THEN
dataout_reg <= (OTHERS => '0');
ELSIF (clk_ipd'EVENT AND clk_ipd = '1') THEN
IF (sload_ipd = '1') THEN
dataout_reg <= datain_ipd;
ELSE
dataout_reg <= dataout_reg;
END IF;
END IF;
END process;
sh: block
begin
g0 : for i in datain'range generate
process(datain_ipd(i),clk_ipd,sload_ipd)
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(71 downto 0);
variable Tviol_sload_clk : std_ulogic := '0';
variable Tviol_datain_clk : std_ulogic := '0';
variable TimingData_datain_clk : VitalTimingDataType := VitalTimingDataInit;
variable TimingData_sload_clk : VitalTimingDataType := VitalTimingDataInit;
begin
VitalSetupHoldCheck (
Violation => Tviol_datain_clk,
TimingData => TimingData_datain_clk,
TestSignal => datain_ipd(i),
TestSignalName => "DATAIN(i)",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_datain_clk_noedge_posedge(i),
SetupLow => tsetup_datain_clk_noedge_posedge(i),
HoldHigh => thold_datain_clk_noedge_posedge(i),
HoldLow => thold_datain_clk_noedge_posedge(i),
CheckEnabled => TO_X01((NOT aclr_ipd) OR
(sload_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_sload_clk,
TimingData => TimingData_sload_clk,
TestSignal => sload_ipd,
TestSignalName => "SLOAD",
RefSignal => clk_ipd,
RefSignalName => "CLK",
SetupHigh => tsetup_sload_clk_noedge_posedge,
SetupLow => tsetup_sload_clk_noedge_posedge,
HoldHigh => thold_sload_clk_noedge_posedge,
HoldLow => thold_sload_clk_noedge_posedge,
CheckEnabled => TO_X01((NOT aclr_ipd)) /= '1',
RefTransition => '/',
HeaderMsg => "/MAC_REG",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
END PROCESS;
end generate g0;
end block;
dataout_tmp <= datain_ipd WHEN bypass_register = '1' ELSE dataout_reg;
PathDelay : block
begin
g1 : for i in dataout'range generate
PROCESS (dataout_tmp(i))
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (clk_ipd'last_event, tpd_clk_dataout_posedge(i), TRUE),
1 => (aclr_ipd'last_event, tpd_aclr_dataout_posedge(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE );
end process;
end generate;
end block;
END arch;
-------------------------------------------------------------------------------
-- Module Name: stratixiii_mac_multiplier --
-- Description: Stratix III MAC signed multiplier --
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_mac_multiplier IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
tipd_dataa : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(17 downto 0) := (OTHERS => DefPropDelay01);
tipd_signa : VitalDelayType01 := DefPropDelay01;
tipd_signb : VitalDelayType01 := DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(18*36-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(18*36-1 downto 0) := (others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(35 downto 0) := (others => DefPropDelay01);
tpd_signb_dataout : VitalDelayArrayType01(35 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(dataa_width + datab_width - 1 DOWNTO 0)
);
END stratixiii_mac_multiplier;
ARCHITECTURE arch OF stratixiii_mac_multiplier IS
constant dataout_width : integer := dataa_width + datab_width;
SIGNAL product : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
SIGNAL abs_product : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
SIGNAL abs_a : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
SIGNAL abs_b : std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
SIGNAL dataout_tmp : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
SIGNAL product_sign : std_logic := '0';
SIGNAL dataa_sign : std_logic := '0';
SIGNAL datab_sign : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(dataa_width -1 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(datab_width -1 DOWNTO 0) := (others => '0');
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
VitalWireDelay (signa_ipd, signa, tipd_signa);
VitalWireDelay (signb_ipd, signb, tipd_signb);
end block;
dataa_sign <= dataa_ipd(dataa_width - 1) AND signa_ipd ;
datab_sign <= datab_ipd(datab_width - 1) AND signb_ipd ;
product_sign <= dataa_sign XOR datab_sign ;
abs_a <= (NOT dataa_ipd + '1') WHEN dataa_sign = '1' ELSE dataa_ipd;
abs_b <= (NOT datab_ipd + '1') WHEN datab_sign = '1' ELSE datab_ipd;
abs_product <= abs_a * abs_b ;
dataout_tmp <= (NOT abs_product + 1) WHEN product_sign = '1' ELSE abs_product;
PathDelay : block
begin
do : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE),
2 => (signa'last_event, tpd_signa_dataout(i), TRUE),
3 => (signb'last_event, tpd_signb_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do;
end block;
END arch;
----------------------------------------------------------------------------------
-- Module Name: stratixiii_mac_mult_atom --
-- Description: Simulation model for stratixiii mac mult atom. --
-- This model instantiates the following components. --
-- 1.stratixiii_mac_bit_register. --
-- 2.stratixiii_mac_register. --
-- 3.stratixiii_mac_multiplier. --
----------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_mac_mult IS
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18;
dataa_clock : string := "none";
datab_clock : string := "none";
signa_clock : string := "none";
signb_clock : string := "none";
scanouta_clock : string := "none";
dataa_clear : string := "none";
datab_clear : string := "none";
signa_clear : string := "none";
signb_clear : string := "none";
scanouta_clear : string := "none";
signa_internally_grounded : string := "false";
signb_internally_grounded : string := "false";
lpm_type : string := "stratixiii_mac_mult"
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '1');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '1');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '1');
dataout : OUT std_logic_vector(dataa_width + datab_width - 1 DOWNTO 0);
scanouta : OUT std_logic_vector(dataa_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixiii_mac_mult;
ARCHITECTURE arch OF stratixiii_mac_mult IS
constant dataout_width : integer := dataa_width + datab_width;
COMPONENT stratixiii_mac_bit_register
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixiii_mac_register
GENERIC (
data_width : integer := 18
);
PORT (
datain : IN std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width - 1 DOWNTO 0)
);
END COMPONENT;
COMPONENT stratixiii_mac_multiplier
GENERIC (
dataa_width : integer := 18;
datab_width : integer := 18
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(dataa_width + datab_width - 1 DOWNTO 0)
);
END COMPONENT;
--Internal signals to instantiate the dataa input register unit
SIGNAL dataa_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL dataa_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL dataa_clk : std_logic := '0';
SIGNAL dataa_aclr : std_logic := '0';
SIGNAL dataa_sload : std_logic := '0';
SIGNAL dataa_bypass_register : std_logic := '0';
SIGNAL dataa_in_reg : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
SIGNAL dataa_in : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
--Internal signals to instantiate the datab input register unit
SIGNAL datab_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL datab_clk : std_logic := '0';
SIGNAL datab_aclr : std_logic := '0';
SIGNAL datab_sload : std_logic := '0';
SIGNAL datab_bypass_register : std_logic := '0';
SIGNAL datab_in_reg : std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
SIGNAL datab_in : std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
--Internal signals to instantiate the signa input register unit
SIGNAL signa_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk : std_logic := '0';
SIGNAL signa_aclr : std_logic := '0';
SIGNAL signa_sload : std_logic := '0';
SIGNAL signa_bypass_register : std_logic := '0';
SIGNAL signa_in_reg : std_logic := '0';
SIGNAL signa_in : std_logic := '0';
--Internal signbls to instantiate the signb input register unit
SIGNAL signb_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk : std_logic := '0';
SIGNAL signb_aclr : std_logic := '0';
SIGNAL signb_sload : std_logic := '0';
SIGNAL signb_bypass_register : std_logic := '0';
SIGNAL signb_in_reg : std_logic := '0';
SIGNAL signb_in : std_logic := '0';
--Internal scanoutals to instantiate the scanouta input register unit
SIGNAL scanouta_clk_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL scanouta_aclr_value : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL scanouta_clk : std_logic := '0';
SIGNAL scanouta_aclr : std_logic := '0';
SIGNAL scanouta_sload : std_logic := '0';
SIGNAL scanouta_bypass_register : std_logic := '0';
SIGNAL scanouta_tmp : std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
--Internal Signals to instantiate the mac multiplier
SIGNAL signa_mult : std_logic := '0';
SIGNAL signb_mult : std_logic := '0';
SIGNAL dataout_tmp : std_logic_vector(dataout_width - 1 DOWNTO 0):= (others => '0');
BEGIN
--Instantiate the dataa input Register
dataa_clk_value <= "0000" WHEN ((dataa_clock = "0") or (dataa_clock = "none"))
ELSE "0001" WHEN (dataa_clock = "1")
ELSE "0010" WHEN (dataa_clock = "2")
ELSE "0011" WHEN (dataa_clock = "3")
ELSE "0000" ;
dataa_aclr_value <= "0000" WHEN ((dataa_clear = "0") or (dataa_clear = "none"))
ELSE "0001" WHEN (dataa_clear = "1")
ELSE "0010" WHEN (dataa_clear = "2")
ELSE "0011" WHEN (dataa_clear = "3")
ELSE "0000" ;
dataa_clk <= '1' WHEN clk(conv_integer(dataa_clk_value)) = '1' ELSE '0';
dataa_aclr <= '1' WHEN (aclr(conv_integer(dataa_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
dataa_sload <= '1' WHEN ena(conv_integer(dataa_clk_value)) = '1' ELSE '0';
dataa_bypass_register <= '1' WHEN (dataa_clock = "none") ELSE '0';
dataa_in <= dataa;
dataa_input_register : stratixiii_mac_register
GENERIC MAP (
data_width => dataa_width
)
PORT MAP (
datain => dataa_in,
clk => dataa_clk,
aclr => dataa_aclr,
sload => dataa_sload,
bypass_register => dataa_bypass_register,
dataout => dataa_in_reg
);
--Instantiate the datab input Register
datab_clk_value <= "0000" WHEN ((datab_clock = "0") or (datab_clock = "none"))
ELSE "0001" WHEN (datab_clock = "1")
ELSE "0010" WHEN (datab_clock = "2")
ELSE "0011" WHEN (datab_clock = "3")
ELSE "0000" ;
datab_aclr_value <= "0000" WHEN ((datab_clear = "0") or (datab_clear = "none"))
ELSE "0001" WHEN (datab_clear = "1")
ELSE "0010" WHEN (datab_clear = "2")
ELSE "0011" WHEN (datab_clear = "3")
ELSE "0000" ;
datab_clk <= '1' WHEN clk(conv_integer(datab_clk_value)) = '1' ELSE '0';
datab_aclr <= '1' WHEN (aclr(conv_integer(datab_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
datab_sload <= '1' WHEN ena(conv_integer(datab_clk_value)) = '1' ELSE '0';
datab_bypass_register <= '1' WHEN (datab_clock = "none") ELSE '0';
datab_in <= datab;
datab_input_register : stratixiii_mac_register
GENERIC MAP (
data_width => datab_width
)
PORT MAP (
datain => datab_in,
clk => datab_clk,
aclr => datab_aclr,
sload => datab_sload,
bypass_register => datab_bypass_register,
dataout => datab_in_reg
);
--Instantiate the signa input Register
signa_clk_value <= "0000" WHEN ((signa_clock = "0") or (signa_clock = "none"))
ELSE "0001" WHEN (signa_clock = "1")
ELSE "0010" WHEN (signa_clock = "2")
ELSE "0011" WHEN (signa_clock = "3")
ELSE "0000" ;
signa_aclr_value <= "0000" WHEN ((signa_clear = "0") or (signa_clear = "none"))
ELSE "0001" WHEN (signa_clear = "1")
ELSE "0010" WHEN (signa_clear = "2")
ELSE "0011" WHEN (signa_clear = "3")
ELSE "0000" ;
signa_clk <= '1' WHEN clk(conv_integer(signa_clk_value)) = '1' ELSE '0';
signa_aclr <= '1' WHEN (aclr(conv_integer(signa_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
signa_sload <= '1' WHEN ena(conv_integer(signa_clk_value)) = '1' ELSE '0';
signa_bypass_register <= '1' WHEN (signa_clock = "none") ELSE '0';
signa_in <= signa;
signa_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => signa_in,
clk => signa_clk,
aclr => signa_aclr,
sload => signa_sload,
bypass_register => signa_bypass_register,
dataout => signa_in_reg
);
--Instantiate the signb input Register
signb_clk_value <= "0000" WHEN ((signb_clock = "0") or (signb_clock = "none"))
ELSE "0001" WHEN (signb_clock = "1")
ELSE "0010" WHEN (signb_clock = "2")
ELSE "0011" WHEN (signb_clock = "3")
ELSE "0000" ;
signb_aclr_value <= "0000" WHEN ((signb_clear = "0") or (signb_clear = "none"))
ELSE "0001" WHEN (signb_clear = "1")
ELSE "0010" WHEN (signb_clear = "2")
ELSE "0011" WHEN (signb_clear = "3")
ELSE "0000" ;
signb_clk <= '1' WHEN clk(conv_integer(signb_clk_value)) = '1' ELSE '0';
signb_aclr <= '1' WHEN (aclr(conv_integer(signb_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
signb_sload <= '1' WHEN ena(conv_integer(signb_clk_value)) = '1' ELSE '0';
signb_bypass_register <= '1' WHEN (signb_clock = "none") ELSE '0';
signb_in <= signb;
signb_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => signb_in,
clk => signb_clk,
aclr => signb_aclr,
sload => signb_sload,
bypass_register => signb_bypass_register,
dataout => signb_in_reg
);
--Instantiate the scanouta input Register
scanouta_clk_value <= "0000" WHEN ((scanouta_clock = "0") or (scanouta_clock = "none"))
ELSE "0001" WHEN (scanouta_clock = "1")
ELSE "0010" WHEN (scanouta_clock = "2")
ELSE "0011" WHEN (scanouta_clock = "3")
ELSE "0000" ;
scanouta_aclr_value <= "0000" WHEN ((scanouta_clear = "0") or (scanouta_clear = "none"))
ELSE "0001" WHEN (scanouta_clear = "1")
ELSE "0010" WHEN (scanouta_clear = "2")
ELSE "0011" WHEN (scanouta_clear = "3")
ELSE "0000" ;
scanouta_clk <= '1' WHEN clk(conv_integer(scanouta_clk_value)) = '1' ELSE '0';
scanouta_aclr <= '1' WHEN (aclr(conv_integer(scanouta_aclr_value)) OR (NOT devclrn) OR (NOT devpor)) = '1' ELSE '0';
scanouta_sload <= '1' WHEN ena(conv_integer(scanouta_clk_value)) = '1' ELSE '0';
scanouta_bypass_register <= '1' WHEN (scanouta_clock = "none") ELSE '0';
scanouta_input_register : stratixiii_mac_register
GENERIC MAP (
data_width => dataa_width
)
PORT MAP (
datain => dataa_in_reg,
clk => scanouta_clk,
aclr => scanouta_aclr,
sload => scanouta_sload,
bypass_register => scanouta_bypass_register,
dataout => scanouta
);
--Instantiate mac_multiplier block
signa_mult <= '0' WHEN (signa_internally_grounded = "true") ELSE signa_in_reg;
signb_mult <= '0' WHEN (signb_internally_grounded = "true") ELSE signb_in_reg;
mac_multiplier : stratixiii_mac_multiplier
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width
)
PORT MAP (
dataa => dataa_in_reg,
datab => datab_in_reg,
signa => signa_mult,
signb => signb_mult,
dataout => dataout
);
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_fsa_isse --
-- Description: Stratix III first stage adder input selection and sign extension block. --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_fsa_isse IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
datac_width : integer := 36;
datad_width : integer := 36;
chainin_width : integer := 44;
multa_signa_internally_grounded : string := "false";
multa_signb_internally_grounded : string := "false";
multb_signa_internally_grounded : string := "false";
multb_signb_internally_grounded : string := "false";
multc_signa_internally_grounded : string := "false";
multc_signb_internally_grounded : string := "false";
multd_signa_internally_grounded : string := "false";
multd_signb_internally_grounded : string := "false";
operation_mode : string := "output_only"
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0);
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0);
datac : IN std_logic_vector(datac_width - 1 DOWNTO 0);
datad : IN std_logic_vector(datad_width - 1 DOWNTO 0);
chainin : IN std_logic_vector(chainin_width - 1 DOWNTO 0);
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataa_out : OUT std_logic_vector(71 DOWNTO 0);
datab_out : OUT std_logic_vector(71 DOWNTO 0);
datac_out : OUT std_logic_vector(71 DOWNTO 0);
datad_out : OUT std_logic_vector(71 DOWNTO 0);
chainin_out : OUT std_logic_vector(71 DOWNTO 0);
operation : OUT std_logic_vector(3 DOWNTO 0)
);
END stratixiii_fsa_isse;
ARCHITECTURE arch OF stratixiii_fsa_isse IS
signal dataa_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal datab_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal datac_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal datad_out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
signal chainin_out_tmp: std_logic_vector(71 DOWNTO 0) := (others => '0');
signal sign :std_logic := '0';
BEGIN
operation <= "0000" WHEN (operation_mode = "output_only") ELSE
"0001" WHEN (operation_mode = "one_level_adder") ELSE
"0010" WHEN (operation_mode = "loopback") ELSE
"0011" WHEN (operation_mode = "accumulator") ELSE
"0100" WHEN (operation_mode = "accumulator_chain_out") ELSE
"0101" WHEN (operation_mode = "two_level_adder") ELSE
"0110" WHEN (operation_mode = "two_level_adder_chain_out") ELSE
"0111" WHEN (operation_mode = "36_bit_multiply") ELSE
"1000" WHEN (operation_mode = "shift") ELSE
"1001" WHEN (operation_mode = "double") ELSE "0000";
sign <= signa or signb;
PROCESS( dataa,datab,datac,datad,chainin,signa,signb)
variable active_signb : std_logic := '0';
variable active_signc : std_logic := '0';
variable active_signd : std_logic := '0';
variable read_new_param : std_logic := '0';
variable datab_out_tim_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datac_out_tim_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datad_out_tim_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datab_out_fun_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datac_out_fun_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable datad_out_fun_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
IF ( multa_signa_internally_grounded = "false" AND multa_signb_internally_grounded = "false"
AND multb_signa_internally_grounded = "false" AND multb_signb_internally_grounded = "false"
AND multc_signa_internally_grounded = "false" AND multc_signb_internally_grounded = "false"
AND multd_signa_internally_grounded = "false" AND multd_signb_internally_grounded = "false") THEN
read_new_param := '0' ;
ELSE
read_new_param := '1' ;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift") or (operation_mode = "double")) THEN
if (multb_signb_internally_grounded = "false" AND multb_signa_internally_grounded = "true") then
active_signb := signb;
elsif(multb_signb_internally_grounded = "true" AND multb_signa_internally_grounded = "false" ) then
active_signb := signa;
elsif(multb_signb_internally_grounded = "false" AND multb_signa_internally_grounded = "false") then
active_signb := sign;
else
active_signb := '0';
end if;
ELSE
active_signb := sign;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift") or (operation_mode = "double")) THEN
if (multc_signb_internally_grounded = "false" AND multc_signa_internally_grounded = "true") then
active_signc := signb;
elsif(multc_signb_internally_grounded = "true" AND multc_signa_internally_grounded = "false" ) then
active_signc := signa;
elsif(multc_signb_internally_grounded = "false" AND multc_signa_internally_grounded = "false") then
active_signc := sign;
else
active_signc := '0';
end if;
ELSE
active_signc := sign;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift") or (operation_mode = "double")) THEN
if (multd_signb_internally_grounded = "false" AND multd_signa_internally_grounded = "true") then
active_signd := signb;
elsif(multd_signb_internally_grounded = "true" AND multd_signa_internally_grounded = "false" ) then
active_signd := signa;
elsif(multd_signb_internally_grounded = "false" AND multd_signa_internally_grounded = "false") then
active_signd := sign;
else
active_signd := '0';
end if;
ELSE
active_signd := sign;
END IF;
IF (dataa(dataa_width - 1) = '1' AND sign = '1') THEN
dataa_out_tmp <= sxt(dataa(dataa_width - 1 DOWNTO 0), 72);
ELSE
dataa_out_tmp <= ext(dataa(dataa_width - 1 DOWNTO 0), 72);
END IF;
IF (datab(datab_width - 1) = '1' AND active_signb = '1') THEN
datab_out_tim_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_tim_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
IF (datac(datac_width - 1) = '1' AND active_signc = '1') THEN
datac_out_tim_tmp := sxt(datac(datac_width - 1 DOWNTO 0), 72);
ELSE
datac_out_tim_tmp := ext(datac(datac_width - 1 DOWNTO 0), 72);
END IF;
IF (datad(datad_width - 1) = '1' AND active_signd = '1') THEN
datad_out_tim_tmp := sxt(datad(datad_width - 1 DOWNTO 0), 72);
ELSE
datad_out_tim_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift")) THEN
IF(datab(datab_width - 1) = '1' AND signb = '1') THEN
datab_out_fun_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_fun_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
ELSIF(operation_mode = "double") THEN
IF(datab(datab_width - 1) = '1' AND signa = '1') THEN
datab_out_fun_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_fun_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
ELSE
IF (datab(datab_width - 1) = '1' AND sign = '1') THEN
datab_out_fun_tmp := sxt(datab(datab_width - 1 DOWNTO 0), 72);
ELSE
datab_out_fun_tmp := ext(datab(datab_width - 1 DOWNTO 0), 72);
END IF;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift")) THEN
IF (datac(datac_width - 1) = '1' AND signa = '1') THEN
datac_out_fun_tmp := sxt(datac(datac_width - 1 DOWNTO 0), 72);
ELSE
datac_out_fun_tmp := ext(datac(datac_width - 1 DOWNTO 0), 72);
END IF;
ELSE
IF (datac(datac_width - 1) = '1' AND sign = '1') THEN
datac_out_fun_tmp := sxt(datac(datac_width - 1 DOWNTO 0), 72);
ELSE
datac_out_fun_tmp := ext(datac(datac_width - 1 DOWNTO 0), 72);
END IF;
END IF;
IF ((operation_mode = "36_bit_multiply") or (operation_mode = "shift")) THEN
datad_out_fun_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
ELSIF(operation_mode = "double")THEN
IF (datad(datad_width - 1) = '1' AND signa = '1') THEN
datad_out_fun_tmp := sxt(datad(datad_width - 1 DOWNTO 0), 72);
ELSE
datad_out_fun_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
END IF;
ELSE
IF (datad(datad_width - 1) = '1' AND sign = '1') THEN
datad_out_fun_tmp := sxt(datad(datad_width - 1 DOWNTO 0), 72);
ELSE
datad_out_fun_tmp := ext(datad(datad_width - 1 DOWNTO 0), 72);
END IF;
END IF;
IF (chainin(chainin_width - 1) = '1') THEN
chainin_out_tmp <= sxt(chainin(chainin_width - 1 DOWNTO 0), 72);
ELSE
chainin_out_tmp <= ext(chainin(chainin_width - 1 DOWNTO 0), 72);
END IF;
IF(read_new_param = '1') THEN
datab_out_tmp <= datab_out_tim_tmp;
datac_out_tmp <= datac_out_tim_tmp;
datad_out_tmp <= datad_out_tim_tmp;
ELSE
datab_out_tmp <= datab_out_fun_tmp;
datac_out_tmp <= datac_out_fun_tmp;
datad_out_tmp <= datad_out_fun_tmp;
END IF;
END process;
dataa_out <= dataa_out_tmp;
datab_out <= datab_out_tmp;
datac_out <= datac_out_tmp;
datad_out <= datad_out_tmp;
chainin_out <= chainin_out_tmp;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_first_stage_add_sub --
-- Description: Stratix III First Stage Adder Subtractor Unit --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_first_stage_add_sub IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
fsa_mode : string := "add";
tipd_dataa : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_sign : VitalDelayType01 :=DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_sign_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END stratixiii_first_stage_add_sub;
ARCHITECTURE arch OF stratixiii_first_stage_add_sub IS
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL abs_b : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL abs_a : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign_a : std_logic := '0';
SIGNAL sign_b : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign_ipd : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
VitalWireDelay (sign_ipd, sign, tipd_sign);
end block;
PROCESS
BEGIN
WAIT UNTIL dataa_ipd'EVENT OR datab_ipd'EVENT OR sign_ipd'EVENT OR operation'EVENT;
IF ((operation = "0111") OR (operation = "1000")or (operation = "1001")) THEN --36 std_logic multiply, shift and add
dataout_tmp <= dataa_ipd(53 DOWNTO 36) & dataa_ipd(35 DOWNTO 0) & "000000000000000000" + datab_ipd;
ELSE
IF(fsa_mode = "add")THEN
IF (sign_ipd = '1') THEN
dataout_tmp <= signed(dataa_ipd) + signed(datab_ipd);
ELSE
dataout_tmp <= unsigned(dataa_ipd) + unsigned(datab_ipd);
END IF;
ELSE
IF (sign_ipd = '1') THEN
dataout_tmp <= signed(dataa_ipd) - signed(datab_ipd);
ELSE
dataout_tmp <= unsigned(dataa_ipd) - unsigned(datab_ipd);
END IF;
END IF;
END IF;
END process ;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE),
2 => (sign'last_event, tpd_sign_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_second_stage_add_accum --
-- Description: Stratix III Second stage Adder and Accumulator/Decimator Unit --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_second_stage_add_accum IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
ssa_mode : string := "add";
tipd_dataa : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_accumin : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_sign : VitalDelayType01 :=DefPropDelay01;
tpd_dataa_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_accumin_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_sign_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_dataa_overflow : VitalDelayType01 := DefPropDelay01;
tpd_datab_overflow : VitalDelayType01 := DefPropDelay01;
tpd_accumin_overflow : VitalDelayType01 := DefPropDelay01;
tpd_sign_overflow : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
accumin : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
overflow : OUT std_logic
);
END stratixiii_second_stage_add_accum;
ARCHITECTURE arch OF stratixiii_second_stage_add_accum IS
constant accum_width : integer := dataa_width + 7;
SIGNAL dataout_temp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataa_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL accum_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL overflow_tmp : std_logic := '0';
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL accumin_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
g3 :for i in accumin'range generate
VitalWireDelay (accumin_ipd(i), accumin(i), tipd_accumin(i));
end generate;
VitalWireDelay (sign_ipd, sign, tipd_sign);
end block;
PROCESS
Variable dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
WAIT UNTIL dataa_ipd'EVENT OR datab_ipd'EVENT OR sign_ipd'EVENT OR accumin_ipd'EVENT OR operation'EVENT;
IF (operation = "0011" OR operation = "0100") THEN --Accumultor or Accumulator chainout
IF(ssa_mode = "add")THEN
IF (sign_ipd = '1') THEN
dataout_tmp := signed(sxt(accumin_ipd(accum_width-1 downto 0),72)) + signed(sxt(dataa_ipd(accum_width-1 downto 0),72)) + signed(sxt(datab_ipd(accum_width-1 downto 0),72));
ELSE
dataout_tmp := unsigned(ext(accumin_ipd(accum_width-1 downto 0),72)) + unsigned(ext(dataa_ipd(accum_width-1 downto 0),72)) + unsigned(ext(datab_ipd(accum_width-1 downto 0),72));
END IF;
ELSE
IF (sign_ipd = '1') THEN
dataout_tmp := signed(accumin_ipd) - signed(dataa_ipd)- signed(datab_ipd);
ELSE
dataout_tmp := unsigned(accumin_ipd) - unsigned(dataa_ipd)- unsigned(datab_ipd);
END IF;
END IF;
IF(sign_ipd = '1')THEN
overflow_tmp <= dataout_tmp(accum_width) xor dataout_tmp(accum_width -1);
ELSE
IF(ssa_mode = "add")THEN
overflow_tmp <= dataout_tmp(accum_width);
ELSE
overflow_tmp <= 'X';
END IF;
END IF;
ELSIF (operation = "0101" OR operation = "0110") THEN -- two level adder or two level with chainout
overflow_tmp <= '0';
IF (sign_ipd = '1') THEN
dataout_tmp := signed(dataa_ipd) + signed(datab_ipd);
ELSE
dataout_tmp := unsigned(dataa_ipd) + unsigned(datab_ipd);
END IF;
ELSIF ((operation = "0111") OR (operation = "1000")) THEN --36 std_logic multiply; shift and add
dataout_tmp(71 DOWNTO 0) := dataa_ipd(53 DOWNTO 0) & "000000000000000000" + datab_ipd;
overflow_tmp <= '0';
ELSIF ((operation = "1001")) THEN --double mode
dataout_tmp(71 DOWNTO 0) := dataa_ipd + datab_ipd;
overflow_tmp <= '0';
END IF;
dataout_temp <= dataout_tmp;
END PROCESS;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_temp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_temp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE),
2 => (accumin_ipd'last_event, tpd_accumin_dataout(i), TRUE),
3 => (sign'last_event, tpd_sign_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
process(overflow_tmp)
VARIABLE overflow_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => overflow,
OutSignalName => "overflow",
OutTemp => overflow_tmp,
paths => (0 => (dataa_ipd'last_event, tpd_dataa_overflow, TRUE),
1 => (datab_ipd'last_event, tpd_datab_overflow, TRUE),
2 => (accumin_ipd'last_event, tpd_accumin_overflow, TRUE),
3 => (sign'last_event, tpd_sign_overflow, TRUE)),
GlitchData => overflow_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE
);
end process;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_round_block --
-- Description: Stratix III round block --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_round_block IS
GENERIC (
round_mode : string := "nearest_integer";
round_width : integer := 15;
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0):= (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END stratixiii_round_block;
ARCHITECTURE arch OF stratixiii_round_block IS
signal out_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
dataout <= out_tmp ;
PROCESS(datain,round,datain_width)
variable i : integer ;
variable j : integer ;
variable sign : std_logic ;
variable result_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
variable dataout_value : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
if(round = '0')then
dataout_value := datain;
else
dataout_value := datain;
j := 0;
sign := '0';
IF( conv_integer(datain_width) > round_width) THEN
for i in ((conv_integer(datain_width)) - round_width) to (conv_integer(datain_width) -1) loop
result_tmp(j) := datain(i);
j := j + 1;
END LOOP;
for i in 0 to (conv_integer(datain_width) - round_width -2) loop
sign := sign or datain(i);
dataout_value(i) := 'X';
END LOOP;
dataout_value((conv_integer(datain_width)) - round_width -1) := 'X';
IF (datain(conv_integer(datain_width) - round_width -1) = '0') THEN -- fractional < 0.5
dataout_tmp := result_tmp;
ELSE
IF ((datain(conv_integer(datain_width) - round_width -1) = '1') AND (sign = '1')) THEN --fractional > 0.5
dataout_tmp := result_tmp + '1';
ELSE
IF (round_mode = "nearest_even") THEN --unbiased rounding
IF(result_tmp(0) = '1') THEN --check for odd integer
dataout_tmp := result_tmp + '1' ;
ELSE
dataout_tmp := result_tmp;
END IF;
ELSE --biased rounding
dataout_tmp := result_tmp + '1';
END IF;
END IF;
END IF;
j := conv_integer(datain_width) - round_width;
FOR i IN 0 to (round_width -1)LOOP
dataout_value(j) := dataout_tmp(i);
j := j + 1;
END LOOP;
ELSE
dataout_value := datain;
END IF;
end if;
out_tmp <= dataout_value;
END PROCESS;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_saturate_block --
-- Description: Stratix III saturation block --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_saturate_block IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
saturate_width : integer := 15;
round_width : integer := 15;
saturate_mode : string := " asymmetric";
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
saturate : IN std_logic := '0';
round : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0):= (others => '0');
saturation_overflow : OUT std_logic
);
END stratixiii_saturate_block;
ARCHITECTURE arch OF stratixiii_saturate_block IS
constant accum_width : integer := dataa_width + 8;
SIGNAL saturation_overflow_tmp : std_logic := '0';
signal msb : std_logic := '0';
signal sign : std_logic := '0';
signal min : std_logic_vector(71 downto 0):=(others => '1');
signal max : std_logic_vector(71 downto 0):=(others => '0');
signal dataout_tmp : std_logic_vector(71 DOWNTO 0):= (others => '0');
SIGNAL i : integer;
BEGIN
sign <= signa OR signb ;
msb <= datain(accum_width) when ((operation_mode = "accumulator") or (operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out"))
ELSE datain(dataa_width +1) when(operation_mode = "two_level_adder")
ELSE datain(dataa_width) when((operation_mode = "one_level_adder")or (operation_mode = "loopback"))
ELSE datain(dataa_width -1);
dataout <= dataout_tmp ;
saturation_overflow <= saturation_overflow_tmp ;
PROCESS(datain,datain_width,round,saturate,sign,msb)
variable saturation_temp : std_logic := '0';
variable sign_tmp : std_logic := '1';
variable data_tmp : std_logic := '0';
BEGIN
IF (saturate = '0') THEN
dataout_tmp <= datain;
saturation_overflow_tmp <= '0';
ELSE
saturation_temp := '0';
data_tmp := '0';
sign_tmp := '1';
IF (round = '1') THEN
for i in 0 to (conv_integer(datain_width) - round_width -1) LOOP
min(i) <= 'X';
max(i) <= 'X';
END LOOP;
END IF;
IF (saturate_mode = "symmetric") THEN
for i in 0 to (conv_integer(datain_width) - round_width -1) LOOP
min(i) <= 'X';
IF (round = '1') THEN
max(i) <= 'X';
ELSE
max(i) <= '1';
END IF;
END LOOP;
for i in (conv_integer(datain_width) - round_width) to (conv_integer(datain_width) - saturate_width -1) LOOP
data_tmp := data_tmp or datain(i);
max(i) <= '1';
min(i) <= '0';
END LOOP;
min(conv_integer(datain_width) - round_width) <= '1';
END IF;
IF (saturate_mode = "asymmetric") THEN
for i in 0 to (conv_integer(datain_width) - saturate_width -1) LOOP
max(i) <= '1';
min(i) <= '0';
END LOOP;
END IF;
if((saturate_width = 1))then
IF (msb /= datain(conv_integer(datain_width)-1)) THEN
saturation_temp := '1';
ELSE
sign_tmp := sign_tmp and datain(conv_integer(datain_width)-1);
END IF;
else
for i in (conv_integer(datain_width) - saturate_width) to (conv_integer(datain_width)-1) LOOP
sign_tmp := sign_tmp and datain(i);
IF (datain(conv_integer(datain_width)-1) /= datain(i)) THEN
saturation_temp := '1';
end if;
END LOOP;
end if;
-- Trigger the saturation overflow for data=-2^n in case of symmetric saturation.
if((sign_tmp ='1') and (data_tmp = '0') and (saturate_mode = "symmetric")) then
saturation_temp := '1';
end if;
saturation_overflow_tmp <= saturation_temp;
IF (saturation_temp = '1') THEN
IF ((operation_mode = "output_only")or (operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out")) THEN
IF (msb = '1') THEN
dataout_tmp <= min;
ELSE
dataout_tmp <= max;
END IF;
ELSE
IF (sign = '1') THEN
IF (msb = '1') THEN
dataout_tmp <= min;
ELSE
dataout_tmp <= max;
END IF;
ELSE
dataout_tmp <= (others => 'X');
END IF;
END IF;
ELSE
dataout_tmp <= datain;
END IF;
END IF;
END PROCESS;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_round_saturate_block --
-- Description: Stratix III round and saturation Unit. --
-- This unit instantiated the following components. --
-- 1.stratixiii_round_block. --
-- 2.stratixiii_saturate_block. --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_round_saturate_block IS
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
saturate_width : integer := 15;
round_width : integer := 15;
saturate_mode : string := " asymmetric";
round_mode : string := "nearest_integer";
operation_mode : string := "output_only" ;
tipd_datain : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_round : VitalDelayType01 :=DefPropDelay01;
tipd_saturate : VitalDelayType01 :=DefPropDelay01;
tipd_signa : VitalDelayType01 :=DefPropDelay01;
tipd_signb : VitalDelayType01 :=DefPropDelay01;
tpd_datain_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_round_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_saturate_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_signb_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_datain_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_round_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_saturate_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_signa_saturationoverflow : VitalDelayType01 := DefPropDelay01;
tpd_signb_saturationoverflow : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0);
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
saturationoverflow : OUT std_logic
);
END stratixiii_round_saturate_block;
ARCHITECTURE arch OF stratixiii_round_saturate_block IS
COMPONENT stratixiii_round_block
GENERIC (
round_mode : string := "nearest_integer";
round_width : integer := 15;
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
COMPONENT stratixiii_saturate_block
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
saturate_mode : string := " asymmetric";
saturate_width : integer := 15;
round_width : integer := 15;
operation_mode : string := "output_only"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
saturate : IN std_logic := '0';
round : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
saturation_overflow : OUT std_logic
);
END COMPONENT;
SIGNAL dataout_round : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL saturate_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_saturate : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datain_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
SIGNAL round_ipd : std_logic := '0';
SIGNAL saturate_ipd : std_logic := '0';
SIGNAL saturationoverflow_tmp : std_logic := '0';
BEGIN
WireDelay : block
begin
g1 :for i in datain'range generate
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
end generate;
VitalWireDelay (signa_ipd, signa, tipd_signa);
VitalWireDelay (signb_ipd, signb, tipd_signb);
VitalWireDelay (round_ipd, round, tipd_round);
VitalWireDelay (saturate_ipd, saturate, tipd_saturate);
end block;
round_unit : stratixiii_round_block
GENERIC MAP (
operation_mode => operation_mode,
round_width => round_width,
round_mode => round_mode
)
PORT MAP (
datain => datain_ipd,
round => round_ipd,
datain_width => datain_width,
dataout => dataout_round
);
saturate_unit : stratixiii_saturate_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
operation_mode => operation_mode,
saturate_mode => saturate_mode,
saturate_width =>saturate_width,
round_width =>round_width
)
PORT MAP (
datain => dataout_round,
saturate => saturate_ipd,
round => round_ipd,
signa => signa_ipd,
signb => signb_ipd,
datain_width => datain_width,
dataout => dataout_saturate,
saturation_overflow => saturationoverflow_tmp
);
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_saturate(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_saturate(i),
Paths => (0 => (datain_ipd'last_event, tpd_datain_dataout(i), TRUE),
1 => (round_ipd'last_event, tpd_round_dataout(i), TRUE),
2 => (saturate_ipd'last_event, tpd_saturate_dataout(i), TRUE),
3 => (signa'last_event, tpd_signa_dataout(i), TRUE),
4 => (signb'last_event, tpd_signb_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
process(saturationoverflow_tmp)
VARIABLE saturationoverflow_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => saturationoverflow,
OutSignalName => "saturationoverflow",
OutTemp => saturationoverflow_tmp,
Paths => (0 => (datain_ipd'last_event, tpd_datain_saturationoverflow, TRUE),
1 => (round_ipd'last_event, tpd_round_saturationoverflow, TRUE),
2 => (saturate_ipd'last_event, tpd_saturate_saturationoverflow, TRUE),
3 => (signa'last_event, tpd_signa_saturationoverflow, TRUE),
4 => (signb'last_event, tpd_signb_saturationoverflow, TRUE)),
GlitchData => saturationoverflow_VitalGlitchData,
Mode => DefGlitchMode,
XOn => TRUE,
MsgOn => TRUE
);
end process;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_rotate_shift_block --
-- Description: Stratix III roate and shift Unit. --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_rotate_shift_block IS
GENERIC (
dataa_width : integer := 32;
datab_width : integer := 32;
tipd_datain : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_rotate : VitalDelayType01 :=DefPropDelay01;
tipd_shiftright : VitalDelayType01 :=DefPropDelay01;
tipd_signa : VitalDelayType01 :=DefPropDelay01;
tipd_signb : VitalDelayType01 :=DefPropDelay01;
tpd_datain_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_rotate_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_shiftright_dataout: VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
tpd_signa_dataout : VitalDelayArrayType01(71 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
rotate : IN std_logic := '0';
shiftright : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END stratixiii_rotate_shift_block;
ARCHITECTURE arch OF stratixiii_rotate_shift_block IS
signal dataout_tmp : std_logic_vector(71 downto 0) := (others => '0');
SIGNAL datain_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL signa_ipd : std_logic := '0';
SIGNAL signb_ipd : std_logic := '0';
SIGNAL rotate_ipd : std_logic := '0';
SIGNAL shiftright_ipd : std_logic := '0';
SIGNAL sign : std_logic;
BEGIN
WireDelay : block
begin
g1 :for i in datain'range generate
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
end generate;
VitalWireDelay (signa_ipd, signa, tipd_signa);
VitalWireDelay (signb_ipd, signa, tipd_signa);
VitalWireDelay (rotate_ipd, rotate, tipd_rotate);
VitalWireDelay (shiftright_ipd, shiftright, tipd_shiftright);
end block;
PROCESS
BEGIN
WAIT UNTIL datain_ipd'EVENT OR rotate_ipd'EVENT OR shiftright_ipd'EVENT;
sign <= signa_ipd xor signb_ipd;
dataout_tmp <= datain;
IF ((rotate_ipd = '0') AND (shiftright_ipd = '0')) THEN
dataout_tmp(39 downto 8) <= datain_ipd(39 downto 8);
ELSIF ((rotate_ipd = '0') AND (shiftright_ipd = '1')) THEN --shift right
dataout_tmp(39 downto 8) <= datain_ipd(71 downto 40);
ELSIF((rotate_ipd = '1') AND (shiftright_ipd = '0')) THEN
dataout_tmp(39 downto 8) <= datain_ipd(39 downto 8) OR datain_ipd(71 downto 40);
ELSE
dataout_tmp <= datain_ipd;
END IF;
END PROCESS;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (datain_ipd'last_event, tpd_datain_dataout(i), TRUE),
1 => (rotate_ipd'last_event, tpd_rotate_dataout(i), TRUE),
2 => (shiftright_ipd'last_event, tpd_shiftright_dataout(i), TRUE),
3 => (signa'last_event, tpd_signa_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
end block;
END arch;
--------------------------------------------------------------------------------------------------
-- Module Name: stratixiii_carry_chain_adder --
-- Description: Stratix III carry Chain Adder --
--------------------------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_carry_chain_adder IS
GENERIC(
tipd_dataa : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tipd_datab : VitalDelayArrayType01(71 downto 0) := (OTHERS => DefPropDelay01);
tpd_dataa_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
tpd_datab_dataout : VitalDelayArrayType01(72*72-1 downto 0) := (others => DefPropDelay01);
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT STD_LOGIC_vector(71 DOWNTO 0)
);
END stratixiii_carry_chain_adder;
ARCHITECTURE arch OF stratixiii_carry_chain_adder IS
SIGNAL dataa_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_ipd : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
BEGIN
WireDelay : block
begin
g1 :for i in dataa'range generate
VitalWireDelay (dataa_ipd(i), dataa(i), tipd_dataa(i));
end generate;
g2 :for i in datab'range generate
VitalWireDelay (datab_ipd(i), datab(i), tipd_datab(i));
end generate;
end block;
dataout_tmp <= (dataa_ipd(71 downto 45) & dataa_ipd(43) & dataa_ipd(43 downto 0)) + (datab_ipd(71 downto 45) & datab_ipd(43) & datab_ipd(43 downto 0)) ;
PathDelay : block
begin
do1 : for i in dataout'range generate
process(dataout_tmp(i))
VARIABLE dataout_VitalGlitchData : VitalGlitchDataType;
begin
VitalPathDelay01 (
OutSignal => dataout(i),
OutSignalName => "dataout",
OutTemp => dataout_tmp(i),
Paths => (0 => (dataa_ipd'last_event, tpd_dataa_dataout(i), TRUE),
1 => (datab_ipd'last_event, tpd_datab_dataout(i), TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
MsgOn => FALSE,
XOn => TRUE
);
end process;
end generate do1;
end block;
END arch;
----------------------------------------------------------------------------------
-- Module Name: stratixiii_mac_out_atom --
-- Description: Simulation model for stratixiii mac out atom --
-- This model instantiates the following components --
-- 1.stratixiii_mac_bit_register --
-- 2.stratixiii_mac_register --
-- 3.stratixiii_fsa_isse --
-- 4.stratixiii_first_stage_add_sub --
-- 5.stratixiii_second_stage_add_accum --
-- 6.stratixiii_round_saturate_block --
-- 7.stratixiii_rotate_shift_block --
-- 8.stratixiii_carry_chain_adder --
----------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
ENTITY stratixiii_mac_out IS
GENERIC (
operation_mode : string := "output_only";
dataa_width : integer := 1;
datab_width : integer := 1;
datac_width : integer := 1;
datad_width : integer := 1;
chainin_width : integer := 1;
round_width : integer := 15;
round_chain_out_width : integer := 15;
saturate_width : integer := 15;
saturate_chain_out_width : integer := 15;
first_adder0_clock : string := "none";
first_adder0_clear : string := "none";
first_adder1_clock : string := "none";
first_adder1_clear : string := "none";
second_adder_clock : string := "none";
second_adder_clear : string := "none";
output_clock : string := "none";
output_clear : string := "none";
signa_clock : string := "none";
signa_clear : string := "none";
signb_clock : string := "none";
signb_clear : string := "none";
round_clock : string := "none";
round_clear : string := "none";
roundchainout_clock : string := "none";
roundchainout_clear : string := "none";
saturate_clock : string := "none";
saturate_clear : string := "none";
saturatechainout_clock : string := "none";
saturatechainout_clear : string := "none";
zeroacc_clock : string := "none";
zeroacc_clear : string := "none";
zeroloopback_clock : string := "none";
zeroloopback_clear : string := "none";
rotate_clock : string := "none";
rotate_clear : string := "none";
shiftright_clock : string := "none";
shiftright_clear : string := "none";
signa_pipeline_clock : string := "none";
signa_pipeline_clear : string := "none";
signb_pipeline_clock : string := "none";
signb_pipeline_clear : string := "none";
round_pipeline_clock : string := "none";
round_pipeline_clear : string := "none";
roundchainout_pipeline_clock : string := "none";
roundchainout_pipeline_clear : string := "none";
saturate_pipeline_clock : string := "none";
saturate_pipeline_clear : string := "none";
saturatechainout_pipeline_clock: string := "none";
saturatechainout_pipeline_clear: string := "none";
zeroacc_pipeline_clock : string := "none";
zeroacc_pipeline_clear : string := "none";
zeroloopback_pipeline_clock : string := "none";
zeroloopback_pipeline_clear : string := "none";
rotate_pipeline_clock : string := "none";
rotate_pipeline_clear : string := "none";
shiftright_pipeline_clock : string := "none";
shiftright_pipeline_clear : string := "none";
roundchainout_output_clock : string := "none";
roundchainout_output_clear : string := "none";
saturatechainout_output_clock : string := "none";
saturatechainout_output_clear : string := "none";
zerochainout_output_clock : string := "none";
zerochainout_output_clear : string := "none";
zeroloopback_output_clock : string := "none";
zeroloopback_output_clear : string := "none";
rotate_output_clock : string := "none";
rotate_output_clear : string := "none";
shiftright_output_clock : string := "none";
shiftright_output_clear : string := "none";
first_adder0_mode : string := "add";
first_adder1_mode : string := "add";
acc_adder_operation : string := "add";
round_mode : string := "nearest_integer";
round_chain_out_mode : string := "nearest_integer";
saturate_mode : string := "asymmetric";
saturate_chain_out_mode : string := "asymmetric";
multa_signa_internally_grounded : string := "false";
multa_signb_internally_grounded : string := "false";
multb_signa_internally_grounded : string := "false";
multb_signb_internally_grounded : string := "false";
multc_signa_internally_grounded : string := "false";
multc_signb_internally_grounded : string := "false";
multd_signa_internally_grounded : string := "false";
multd_signb_internally_grounded : string := "false";
lpm_type : string := "stratixiii_mac_out";
dataout_width : integer:=72
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '1');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '1');
datac : IN std_logic_vector(datac_width - 1 DOWNTO 0):= (others => '1');
datad : IN std_logic_vector(datad_width - 1 DOWNTO 0):= (others => '1');
signa : IN std_logic := '1';
signb : IN std_logic := '1';
chainin : IN std_logic_vector(chainin_width - 1 DOWNTO 0):= (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
zeroacc : IN std_logic := '0';
roundchainout : IN std_logic := '0';
saturatechainout : IN std_logic := '0';
zerochainout : IN std_logic := '0';
zeroloopback : IN std_logic := '0';
rotate : IN std_logic := '0';
shiftright : IN std_logic := '0';
clk : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
ena : IN std_logic_vector(3 DOWNTO 0) := (others => '1');
aclr : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
loopbackout : OUT std_logic_vector(17 DOWNTO 0):= (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
overflow : OUT std_logic := '0';
saturatechainoutoverflow: OUT std_logic := '0';
dftout : OUT std_logic := '0';
devpor : IN std_logic := '1';
devclrn : IN std_logic := '1'
);
END stratixiii_mac_out;
ARCHITECTURE arch OF stratixiii_mac_out IS
COMPONENT stratixiii_mac_bit_register
PORT (
datain : IN std_logic := '0';
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixiii_mac_register
GENERIC (
data_width : integer := 18
);
PORT (
datain : IN std_logic_vector(data_width - 1 DOWNTO 0) := (others => '0');
clk : IN std_logic := '0';
aclr : IN std_logic := '0';
sload : IN std_logic := '0';
bypass_register : IN std_logic := '0';
dataout : OUT std_logic_vector(data_width - 1 DOWNTO 0)
);
END COMPONENT;
COMPONENT stratixiii_fsa_isse
GENERIC (
datab_width : integer := 36;
dataa_width : integer := 36;
chainin_width : integer := 44;
operation_mode : string := "output_only";
datad_width : integer := 36;
multa_signa_internally_grounded : string := "false";
multa_signb_internally_grounded : string := "false";
multb_signa_internally_grounded : string := "false";
multb_signb_internally_grounded : string := "false";
multc_signa_internally_grounded : string := "false";
multc_signb_internally_grounded : string := "false";
multd_signa_internally_grounded : string := "false";
multd_signb_internally_grounded : string := "false";
datac_width : integer := 36
);
PORT (
dataa : IN std_logic_vector(dataa_width - 1 DOWNTO 0):= (others => '0');
datab : IN std_logic_vector(datab_width - 1 DOWNTO 0):= (others => '0');
datac : IN std_logic_vector(datac_width - 1 DOWNTO 0):= (others => '0');
datad : IN std_logic_vector(datad_width - 1 DOWNTO 0):= (others => '0');
chainin : IN std_logic_vector(chainin_width - 1 DOWNTO 0):= (others => '0');
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataa_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
datab_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
datac_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
datad_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
chainin_out : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
operation : OUT std_logic_vector(3 DOWNTO 0)
);
END COMPONENT;
COMPONENT stratixiii_first_stage_add_sub
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
fsa_mode : string := "add"
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
COMPONENT stratixiii_second_stage_add_accum
GENERIC (
dataa_width : integer := 36;
datab_width : integer := 36;
ssa_mode : string := "add"
);
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
accumin : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
sign : IN std_logic := '0';
operation : IN std_logic_vector(3 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
overflow : OUT std_logic
);
END COMPONENT;
COMPONENT stratixiii_round_saturate_block
GENERIC (
datab_width : integer := 36;
dataa_width : integer := 36;
saturate_mode : string := " asymmetric";
saturate_width : integer := 15;
round_width : integer := 15;
operation_mode : string := "output_only";
round_mode : string := "nearest_integer"
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
round : IN std_logic := '0';
saturate : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
datain_width : IN std_logic_vector(7 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0) := (others => '0');
saturationoverflow : OUT std_logic
);
END COMPONENT;
COMPONENT stratixiii_rotate_shift_block
GENERIC (
datab_width : integer := 32;
dataa_width : integer := 32
);
PORT (
datain : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
rotate : IN std_logic := '0';
shiftright : IN std_logic := '0';
signa : IN std_logic := '0';
signb : IN std_logic := '0';
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
COMPONENT stratixiii_carry_chain_adder
PORT (
dataa : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
datab : IN std_logic_vector(71 DOWNTO 0) := (others => '0');
dataout : OUT std_logic_vector(71 DOWNTO 0)
);
END COMPONENT;
--signals for zeroloopback input register
SIGNAL zeroloopback_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_clk_ir : std_logic := '0';
SIGNAL zeroloopback_aclr_ir : std_logic := '0';
SIGNAL zeroloopback_sload_ir : std_logic := '0';
SIGNAL zeroloopback_bypass_register_ir : std_logic := '0';
SIGNAL zeroloopback_in_reg : std_logic := '0';
SIGNAL zeroloopback_in : std_logic := '0';
--signals for zeroacc input register
SIGNAL zeroacc_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_clk_ir : std_logic := '0';
SIGNAL zeroacc_aclr_ir : std_logic := '0';
SIGNAL zeroacc_sload_ir : std_logic := '0';
SIGNAL zeroacc_bypass_register_ir : std_logic := '0';
SIGNAL zeroacc_in_reg : std_logic := '0';
SIGNAL zeroacc_in : std_logic := '0';
--Signals for signa input register
SIGNAL signa_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk_ir : std_logic := '0';
SIGNAL signa_aclr_ir : std_logic := '0';
SIGNAL signa_sload_ir : std_logic := '0';
SIGNAL signa_bypass_register_ir : std_logic := '0';
SIGNAL signa_in_reg : std_logic := '0';
SIGNAL signa_in : std_logic := '0';
--signals for signb input register
SIGNAL signb_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk_ir : std_logic := '0';
SIGNAL signb_aclr_ir : std_logic := '0';
SIGNAL signb_sload_ir : std_logic := '0';
SIGNAL signb_bypass_register_ir : std_logic := '0';
SIGNAL signb_in_reg : std_logic := '0';
SIGNAL signb_in : std_logic := '0';
--signals for rotate input register
SIGNAL rotate_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_clk_ir : std_logic := '0';
SIGNAL rotate_aclr_ir : std_logic := '0';
SIGNAL rotate_sload_ir : std_logic := '0';
SIGNAL rotate_bypass_register_ir: std_logic := '0';
SIGNAL rotate_in_reg : std_logic := '0';
SIGNAL rotate_in : std_logic := '0';
--signals for shiftright input register
SIGNAL shiftright_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_clk_ir : std_logic := '0';
SIGNAL shiftright_aclr_ir : std_logic := '0';
SIGNAL shiftright_sload_ir : std_logic := '0';
SIGNAL shiftright_bypass_register_ir : std_logic := '0';
SIGNAL shiftright_in_reg : std_logic := '0';
SIGNAL shiftright_in : std_logic := '0';
--signals for round input register
SIGNAL round_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_clk_ir : std_logic := '0';
SIGNAL round_aclr_ir : std_logic := '0';
SIGNAL round_sload_ir : std_logic := '0';
SIGNAL round_bypass_register_ir : std_logic := '0';
SIGNAL round_in_reg : std_logic := '0';
SIGNAL round_in : std_logic := '0';
--signals for saturate input register
SIGNAL saturate_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_clk_ir : std_logic := '0';
SIGNAL saturate_aclr_ir : std_logic := '0';
SIGNAL saturate_sload_ir : std_logic := '0';
SIGNAL saturate_bypass_register_ir : std_logic := '0';
SIGNAL saturate_in_reg : std_logic := '0';
SIGNAL saturate_in : std_logic := '0';
--signals for roundchainout input register
SIGNAL roundchainout_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_clk_ir : std_logic := '0';
SIGNAL roundchainout_aclr_ir : std_logic := '0';
SIGNAL roundchainout_sload_ir : std_logic := '0';
SIGNAL roundchainout_bypass_register_ir: std_logic := '0';
SIGNAL roundchainout_in_reg : std_logic := '0';
SIGNAL roundchainout_in : std_logic := '0';
--signals for saturatechainout input register
SIGNAL saturatechainout_clkval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_aclrval_ir : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_clk_ir : std_logic := '0';
SIGNAL saturatechainout_aclr_ir : std_logic := '0';
SIGNAL saturatechainout_sload_ir: std_logic := '0';
SIGNAL saturatechainout_bypass_register_ir: std_logic := '0';
SIGNAL saturatechainout_in_reg : std_logic := '0';
SIGNAL saturatechainout_in : std_logic := '0';
--signals for fsa_input_interface
SIGNAL dataa_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datab_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datac_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL datad_fsa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL chainin_coa_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL operation : std_logic_vector(3 DOWNTO 0) := (others => '0');
--Signals for First Stage Adder units
SIGNAL dataout_fsa0 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL fsa_pip_datain1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_fsa1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL overflow_fsa0 : std_logic := '0';
SIGNAL overflow_fsa1 : std_logic := '0';
--signals for zeroloopback pipeline register
SIGNAL zeroloopback_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_clk_pip : std_logic := '0';
SIGNAL zeroloopback_aclr_pip : std_logic := '0';
SIGNAL zeroloopback_sload_pip : std_logic := '0';
SIGNAL zeroloopback_bypass_register_pip: std_logic := '0';
SIGNAL zeroloopback_pip_reg : std_logic := '0';
--signals for zeroacc pipeline register
SIGNAL zeroacc_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroacc_clk_pip : std_logic := '0';
SIGNAL zeroacc_aclr_pip : std_logic := '0';
SIGNAL zeroacc_sload_pip : std_logic := '0';
SIGNAL zeroacc_bypass_register_pip : std_logic := '0';
SIGNAL zeroacc_pip_reg : std_logic := '0';
--Signals for signa pipeline register
SIGNAL signa_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signa_clk_pip : std_logic := '0';
SIGNAL signa_aclr_pip : std_logic := '0';
SIGNAL signa_sload_pip : std_logic := '0';
SIGNAL signa_bypass_register_pip: std_logic := '0';
SIGNAL signa_pip_reg : std_logic := '0';
--signals for signb pipeline register
SIGNAL signb_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL signb_clk_pip : std_logic := '0';
SIGNAL signb_aclr_pip : std_logic := '0';
SIGNAL signb_sload_pip : std_logic := '0';
SIGNAL signb_bypass_register_pip: std_logic := '0';
SIGNAL signb_pip_reg : std_logic := '0';
--signals for rotate pipeline register
SIGNAL rotate_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_clk_pip : std_logic := '0';
SIGNAL rotate_aclr_pip : std_logic := '0';
SIGNAL rotate_sload_pip : std_logic := '0';
SIGNAL rotate_bypass_register_pip : std_logic := '0';
SIGNAL rotate_pip_reg : std_logic := '0';
--signals for shiftright pipeline register
SIGNAL shiftright_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_clk_pip : std_logic := '0';
SIGNAL shiftright_aclr_pip : std_logic := '0';
SIGNAL shiftright_sload_pip : std_logic := '0';
SIGNAL shiftright_bypass_register_pip : std_logic := '0';
SIGNAL shiftright_pip_reg : std_logic := '0';
--signals for round pipeline register
SIGNAL round_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL round_clk_pip : std_logic := '0';
SIGNAL round_aclr_pip : std_logic := '0';
SIGNAL round_sload_pip : std_logic := '0';
SIGNAL round_bypass_register_pip: std_logic := '0';
SIGNAL round_pip_reg : std_logic := '0';
--signals for saturate pipeline register
SIGNAL saturate_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturate_clk_pip : std_logic := '0';
SIGNAL saturate_aclr_pip : std_logic := '0';
SIGNAL saturate_sload_pip : std_logic := '0';
SIGNAL saturate_bypass_register_pip : std_logic := '0';
SIGNAL saturate_pip_reg : std_logic := '0';
--signals for roundchainout pipeline register
SIGNAL roundchainout_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_aclrval_pip: std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_clk_pip : std_logic := '0';
SIGNAL roundchainout_aclr_pip : std_logic := '0';
SIGNAL roundchainout_sload_pip : std_logic := '0';
SIGNAL roundchainout_bypass_register_pip: std_logic := '0';
SIGNAL roundchainout_pip_reg : std_logic := '0';
--signals for saturatechainout pipeline register
SIGNAL saturatechainout_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_clk_pip : std_logic := '0';
SIGNAL saturatechainout_aclr_pip: std_logic := '0';
SIGNAL saturatechainout_sload_pip : std_logic := '0';
SIGNAL saturatechainout_bypass_register_pip: std_logic := '0';
SIGNAL saturatechainout_pip_reg : std_logic := '0';
--signals for fsa0 pipeline register
SIGNAL fsa0_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa0_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa0_clk_pip : std_logic := '0';
SIGNAL fsa0_aclr_pip : std_logic := '0';
SIGNAL fsa0_sload_pip : std_logic := '0';
SIGNAL fsa0_bypass_register_pip : std_logic := '0';
SIGNAL fsa0_pip_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
--signals for fsa1 pipeline register
SIGNAL fsa1_clkval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa1_aclrval_pip : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL fsa1_clk_pip : std_logic := '0';
SIGNAL fsa1_aclr_pip : std_logic := '0';
SIGNAL fsa1_sload_pip : std_logic := '0';
SIGNAL fsa1_bypass_register_pip : std_logic := '0';
SIGNAL fsa1_pip_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
--Signals for second stage adder
SIGNAL ssa_accum_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL ssa_sign : std_logic := '0';
SIGNAL ssa_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL ssa_overflow : std_logic := '0';
--Signals for RS block
SIGNAL rs_datain : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_of : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_saturation_overflow : std_logic := '0';
SIGNAL ssa_datain_width : std_logic_vector(7 DOWNTO 0);
SIGNAL ssa_round_width : std_logic_vector(3 DOWNTO 0) := (others => '0');
--signals for zeroloopback output register
SIGNAL zeroloopback_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zeroloopback_clk_or : std_logic := '0';
SIGNAL zeroloopback_aclr_or : std_logic := '0';
SIGNAL zeroloopback_sload_or : std_logic := '0';
SIGNAL zeroloopback_bypass_register_or : std_logic := '0';
SIGNAL zeroloopback_out_reg : std_logic := '0';
--signals for zerochainout output register
SIGNAL zerochainout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zerochainout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL zerochainout_clk_or : std_logic := '0';
SIGNAL zerochainout_aclr_or : std_logic := '0';
SIGNAL zerochainout_sload_or : std_logic := '0';
SIGNAL zerochainout_bypass_register_or : std_logic := '0';
SIGNAL zerochainout_out_reg : std_logic := '0';
--Signals for saturation_overflow output register
SIGNAL rs_saturation_overflow_in : std_logic := '0';
SIGNAL saturation_overflow_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturation_overflow_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturation_overflow_clk_or : std_logic := '0';
SIGNAL saturation_overflow_aclr_or : std_logic := '0';
SIGNAL saturation_overflow_sload_or : std_logic := '0';
SIGNAL saturation_overflow_bypass_register_or: std_logic := '0';
SIGNAL saturation_overflow_out_reg : std_logic := '0';
--signals for rs_dataout output register
SIGNAL rs_dataout_in : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clkval_or_co : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_aclrval_or_co : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clkval_or_o : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_aclrval_or_o : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rs_dataout_clk_or : std_logic := '0';
SIGNAL rs_dataout_aclr_or : std_logic := '0';
SIGNAL rs_dataout_sload_or : std_logic := '0';
SIGNAL rs_dataout_bypass_register_or_co : std_logic := '0';
SIGNAL rs_dataout_bypass_register_or_o : std_logic := '0';
SIGNAL rs_dataout_bypass_register_or : std_logic := '0';
SIGNAL rs_dataout_out_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL rs_saturation_overflow_out_reg : std_logic := '0';
--signals for rotate output register
SIGNAL rotate_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL rotate_clk_or : std_logic := '0';
SIGNAL rotate_aclr_or : std_logic := '0';
SIGNAL rotate_sload_or : std_logic := '0';
SIGNAL rotate_bypass_register_or: std_logic := '0';
SIGNAL rotate_out_reg : std_logic := '0';
--signals for shiftright output register
SIGNAL shiftright_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL shiftright_clk_or : std_logic := '0';
SIGNAL shiftright_aclr_or : std_logic := '0';
SIGNAL shiftright_sload_or : std_logic := '0';
SIGNAL shiftright_bypass_register_or : std_logic := '0';
SIGNAL shiftright_out_reg : std_logic := '0';
--signals for roundchainout output register
SIGNAL roundchainout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL roundchainout_clk_or : std_logic := '0';
SIGNAL roundchainout_aclr_or : std_logic := '0';
SIGNAL roundchainout_sload_or : std_logic := '0';
SIGNAL roundchainout_bypass_register_or: std_logic := '0';
SIGNAL roundchainout_out_reg : std_logic := '0';
--signals for saturatechainout output register
SIGNAL saturatechainout_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL saturatechainout_clk_or : std_logic := '0';
SIGNAL saturatechainout_aclr_or : std_logic := '0';
SIGNAL saturatechainout_sload_or: std_logic := '0';
SIGNAL saturatechainout_bypass_register_or: std_logic := '0';
SIGNAL saturatechainout_out_reg : std_logic := '0';
--Signals for chainout Adder RS Block
SIGNAL coa_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL coa_round_width : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL coa_rs_dataout : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL coa_rs_saturation_overflow : std_logic := '0';
--signals for control signals for COA output register
SIGNAL coa_reg_clkval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL coa_reg_aclrval_or : std_logic_vector(3 DOWNTO 0) := (others => '0');
SIGNAL coa_reg_clk_or : std_logic := '0';
SIGNAL coa_reg_aclr_or : std_logic := '0';
SIGNAL coa_reg_sload_or : std_logic := '0';
SIGNAL coa_reg_bypass_register_or : std_logic := '0';
SIGNAL coa_reg_out_reg : std_logic := '0';
SIGNAL coa_rs_saturation_overflow_out_reg: std_logic := '0';
SIGNAL coa_rs_saturationchainout_overflow_out_reg: std_logic := '0';
SIGNAL coa_rs_dataout_out_reg : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL dataout_shift_rot : std_logic_vector(71 DOWNTO 0):= (others => '0');
SIGNAL dataout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL loopbackout_tmp : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL saturation_overflow_tmp : std_logic := '0';
SIGNAL saturationchainout_overflow_tmp : std_logic := '0';
SIGNAL rs_dataout_tmp1 : std_logic_vector(71 DOWNTO 0) := (others => '0');
SIGNAL sign : std_logic := '0';
BEGIN
process(rs_dataout, rs_saturation_overflow, saturate_pip_reg)
variable rs_tmp : std_logic_vector(71 downto 0):= (others => '0');
begin
rs_tmp := rs_dataout;
if (((operation_mode = "output_only")or (operation_mode = "one_level_adder") or(operation_mode = "loopback")) and (dataa_width > 1) and (saturate_pip_reg = '1'))then
rs_tmp(dataa_width -1) := rs_saturation_overflow ;
end if;
rs_dataout_of <= rs_tmp;
end process;
--Instantiate the zeroloopback input Register
zeroloopback_clkval_ir <= "0000" WHEN ((zeroloopback_clock = "0") or (zeroloopback_clock = "none"))
ELSE "0001" WHEN (zeroloopback_clock = "1")
ELSE "0010" WHEN (zeroloopback_clock = "2")
ELSE "0011" WHEN (zeroloopback_clock = "3")
ELSE "0000" ;
zeroloopback_aclrval_ir <= "0000" WHEN ((zeroloopback_clear = "0") or (zeroloopback_clear = "none"))
ELSE "0001" WHEN (zeroloopback_clear = "1")
ELSE "0010" WHEN (zeroloopback_clear = "2")
ELSE "0011" WHEN (zeroloopback_clear = "3")
ELSE "0000" ;
zeroloopback_clk_ir <= '1' WHEN clk(conv_integer(zeroloopback_clkval_ir)) = '1' ELSE '0';
zeroloopback_aclr_ir <= '1' WHEN (aclr(conv_integer(zeroloopback_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroloopback_sload_ir <= '1' WHEN ena(conv_integer(zeroloopback_clkval_ir)) = '1' ELSE '0';
zeroloopback_bypass_register_ir <= '1' WHEN (zeroloopback_clock = "none") ELSE '0';
zeroloopback_in <= zeroloopback;
zeroloopback_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => zeroloopback_in,
clk => zeroloopback_clk_ir,
aclr => zeroloopback_aclr_ir,
sload => zeroloopback_sload_ir,
bypass_register => zeroloopback_bypass_register_ir,
dataout => zeroloopback_in_reg
);
--Instantiate the zeroacc input Register
zeroacc_clkval_ir <= "0000" WHEN ((zeroacc_clock = "0") or (zeroacc_clock = "none"))
ELSE "0001" WHEN (zeroacc_clock = "1")
ELSE "0010" WHEN (zeroacc_clock = "2")
ELSE "0011" WHEN (zeroacc_clock = "3")
ELSE "0000" ;
zeroacc_aclrval_ir <= "0000" WHEN ((zeroacc_clear = "0") or (zeroacc_clear = "none"))
ELSE "0001" WHEN (zeroacc_clear = "1")
ELSE "0010" WHEN (zeroacc_clear = "2")
ELSE "0011" WHEN (zeroacc_clear = "3")
ELSE "0000" ;
zeroacc_clk_ir <= '1' WHEN clk(conv_integer(zeroacc_clkval_ir)) = '1' ELSE '0';
zeroacc_aclr_ir <= '1' WHEN (aclr(conv_integer(zeroacc_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroacc_sload_ir <= '1' WHEN ena(conv_integer(zeroacc_clkval_ir)) = '1' ELSE '0';
zeroacc_bypass_register_ir <= '1' WHEN (zeroacc_clock = "none") ELSE '0';
zeroacc_in <= zeroacc;
zeroacc_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => zeroacc_in,
clk => zeroacc_clk_ir,
aclr => zeroacc_aclr_ir,
sload => zeroacc_sload_ir,
bypass_register => zeroacc_bypass_register_ir,
dataout => zeroacc_in_reg
);
--Instantiate the signa input Register
signa_clkval_ir <= "0000" WHEN ((signa_clock = "0") or (signa_clock = "none"))
ELSE "0001" WHEN (signa_clock = "1")
ELSE "0010" WHEN (signa_clock = "2")
ELSE "0011" WHEN (signa_clock = "3")
ELSE "0000" ;
signa_aclrval_ir <= "0000" WHEN ((signa_clear = "0") or (signa_clear = "none"))
ELSE "0001" WHEN (signa_clear = "1")
ELSE "0010" WHEN (signa_clear = "2")
ELSE "0011" WHEN (signa_clear = "3")
ELSE "0000" ;
signa_clk_ir <= '1' WHEN clk(conv_integer(signa_clkval_ir)) = '1' ELSE '0';
signa_aclr_ir <= '1' WHEN (aclr(conv_integer(signa_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signa_sload_ir <= '1' WHEN ena(conv_integer(signa_clkval_ir)) = '1' ELSE '0';
signa_bypass_register_ir <= '1' WHEN (signa_clock = "none") ELSE '0';
signa_in <= signa;
signa_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => signa_in,
clk => signa_clk_ir,
aclr => signa_aclr_ir,
sload => signa_sload_ir,
bypass_register => signa_bypass_register_ir,
dataout => signa_in_reg
);
--Instantiate the signb input Register
signb_clkval_ir <= "0000" WHEN ((signb_clock = "0") or (signb_clock = "none"))
ELSE "0001" WHEN (signb_clock = "1")
ELSE "0010" WHEN (signb_clock = "2")
ELSE "0011" WHEN (signb_clock = "3")
ELSE "0000" ;
signb_aclrval_ir <= "0000" WHEN ((signb_clear = "0") or (signb_clear = "none"))
ELSE "0001" WHEN (signb_clear = "1")
ELSE "0010" WHEN (signb_clear = "2")
ELSE "0011" WHEN (signb_clear = "3")
ELSE "0000" ;
signb_clk_ir <= '1' WHEN clk(conv_integer(signb_clkval_ir)) = '1' ELSE '0';
signb_aclr_ir <= '1' WHEN (aclr(conv_integer(signb_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signb_sload_ir <= '1' WHEN ena(conv_integer(signb_clkval_ir)) = '1' ELSE '0';
signb_bypass_register_ir <= '1' WHEN (signb_clock = "none") ELSE '0';
signb_in <= signb;
signb_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => signb_in,
clk => signb_clk_ir,
aclr => signb_aclr_ir,
sload => signb_sload_ir,
bypass_register => signb_bypass_register_ir,
dataout => signb_in_reg
);
--Instantiate the rotate input Register
rotate_clkval_ir <= "0000" WHEN ((rotate_clock = "0") or (rotate_clock = "none"))
ELSE "0001" WHEN (rotate_clock = "1")
ELSE "0010" WHEN (rotate_clock = "2")
ELSE "0011" WHEN (rotate_clock = "3")
ELSE "0000" ;
rotate_aclrval_ir <= "0000" WHEN ((rotate_clear = "0") or (rotate_clear = "none"))
ELSE "0001" WHEN (rotate_clear = "1")
ELSE "0010" WHEN (rotate_clear = "2")
ELSE "0011" WHEN (rotate_clear = "3")
ELSE "0000" ;
rotate_clk_ir <= '1' WHEN clk(conv_integer(rotate_clkval_ir)) = '1' ELSE '0';
rotate_aclr_ir <= '1' WHEN (aclr(conv_integer(rotate_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rotate_sload_ir <= '1' WHEN ena(conv_integer(rotate_clkval_ir)) = '1' ELSE '0';
rotate_bypass_register_ir <= '1' WHEN (rotate_clock = "none") ELSE '0';
rotate_in <= rotate;
rotate_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => rotate_in,
clk => rotate_clk_ir,
aclr => rotate_aclr_ir,
sload => rotate_sload_ir,
bypass_register => rotate_bypass_register_ir,
dataout => rotate_in_reg
);
--Instantiate the shiftright input Register
shiftright_clkval_ir <= "0000" WHEN ((shiftright_clock = "0") or (shiftright_clock = "none"))
ELSE "0001" WHEN (shiftright_clock = "1")
ELSE "0010" WHEN (shiftright_clock = "2")
ELSE "0011" WHEN (shiftright_clock = "3")
ELSE "0000" ;
shiftright_aclrval_ir <= "0000" WHEN ((shiftright_clear = "0") or (shiftright_clear = "none"))
ELSE "0001" WHEN (shiftright_clear = "1")
ELSE "0010" WHEN (shiftright_clear = "2")
ELSE "0011" WHEN (shiftright_clear = "3")
ELSE "0000" ;
shiftright_clk_ir <= '1' WHEN clk(conv_integer(shiftright_clkval_ir)) = '1' ELSE '0';
shiftright_aclr_ir <= '1' WHEN (aclr(conv_integer(shiftright_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0' ;
shiftright_sload_ir <= '1' WHEN ena(conv_integer(shiftright_clkval_ir)) = '1' ELSE '0';
shiftright_bypass_register_ir <= '1' WHEN (shiftright_clock = "none") ELSE '0';
shiftright_in <= shiftright;
shiftright_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => shiftright_in,
clk => shiftright_clk_ir,
aclr => shiftright_aclr_ir,
sload => shiftright_sload_ir,
bypass_register => shiftright_bypass_register_ir,
dataout => shiftright_in_reg
);
--Instantiate the round input Register
round_clkval_ir <= "0000" WHEN ((round_clock = "0") or (round_clock = "none"))
ELSE "0001" WHEN (round_clock = "1")
ELSE "0010" WHEN (round_clock = "2")
ELSE "0011" WHEN (round_clock = "3")
ELSE "0000" ;
round_aclrval_ir <= "0000" WHEN ((round_clear = "0") or (round_clear = "none"))
ELSE "0001" WHEN (round_clear = "1")
ELSE "0010" WHEN (round_clear = "2")
ELSE "0011" WHEN (round_clear = "3")
ELSE "0000" ;
round_clk_ir <= '1' WHEN clk(conv_integer(round_clkval_ir)) = '1' ELSE '0';
round_aclr_ir <= '1' WHEN (aclr(conv_integer(round_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
round_sload_ir <= '1' WHEN ena(conv_integer(round_clkval_ir)) = '1' ELSE '0';
round_bypass_register_ir <= '1' WHEN (round_clock = "none") ELSE '0';
round_in <= round;
round_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => round_in,
clk => round_clk_ir,
aclr => round_aclr_ir,
sload => round_sload_ir,
bypass_register => round_bypass_register_ir,
dataout => round_in_reg
);
--Instantiate the saturate input Register
saturate_clkval_ir <= "0000" WHEN ((saturate_clock = "0") or (saturate_clock = "none"))
ELSE "0001" WHEN (saturate_clock = "1")
ELSE "0010" WHEN (saturate_clock = "2")
ELSE "0011" WHEN (saturate_clock = "3")
ELSE "0000" ;
saturate_aclrval_ir <= "0000" WHEN ((saturate_clear = "0") or (saturate_clear = "none"))
ELSE "0001" WHEN (saturate_clear = "1")
ELSE "0010" WHEN (saturate_clear = "2")
ELSE "0011" WHEN (saturate_clear = "3")
ELSE "0000" ;
saturate_clk_ir <= '1' WHEN clk(conv_integer(saturate_clkval_ir)) = '1' ELSE '0';
saturate_aclr_ir <= '1' WHEN (aclr(conv_integer(saturate_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturate_sload_ir <= '1' WHEN ena(conv_integer(saturate_clkval_ir)) = '1' ELSE '0';
saturate_bypass_register_ir <= '1' WHEN (saturate_clock = "none") ELSE '0';
saturate_in <= saturate;
saturate_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => saturate_in,
clk => saturate_clk_ir,
aclr => saturate_aclr_ir,
sload => saturate_sload_ir,
bypass_register => saturate_bypass_register_ir,
dataout => saturate_in_reg
);
--Instantiate the roundchainout input Register
roundchainout_clkval_ir <= "0000" WHEN ((roundchainout_clock = "0") or (roundchainout_clock = "none"))
ELSE "0001" WHEN (roundchainout_clock = "1")
ELSE "0010" WHEN (roundchainout_clock = "2")
ELSE "0011" WHEN (roundchainout_clock = "3")
ELSE "0000" ;
roundchainout_aclrval_ir <= "0000" WHEN ((roundchainout_clear = "0") or (roundchainout_clear = "none"))
ELSE "0001" WHEN (roundchainout_clear = "1")
ELSE "0010" WHEN (roundchainout_clear = "2")
ELSE "0011" WHEN (roundchainout_clear = "3")
ELSE "0000" ;
roundchainout_clk_ir <= '1' WHEN clk(conv_integer(roundchainout_clkval_ir)) = '1' ELSE '0';
roundchainout_aclr_ir <= '1' WHEN (aclr(conv_integer(roundchainout_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
roundchainout_sload_ir <= '1' WHEN ena(conv_integer(roundchainout_clkval_ir)) = '1' ELSE '0';
roundchainout_bypass_register_ir <= '1' WHEN (roundchainout_clock = "none") ELSE '0';
roundchainout_in <= roundchainout;
roundchainout_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => roundchainout_in,
clk => roundchainout_clk_ir,
aclr => roundchainout_aclr_ir,
sload => roundchainout_sload_ir,
bypass_register => roundchainout_bypass_register_ir,
dataout => roundchainout_in_reg
);
--Instantiate the saturatechainout input Register
saturatechainout_clkval_ir <= "0000" WHEN ((saturatechainout_clock = "0") or (saturatechainout_clock = "none"))
ELSE "0001" WHEN (saturatechainout_clock = "1")
ELSE "0010" WHEN (saturatechainout_clock = "2")
ELSE "0011" WHEN (saturatechainout_clock = "3")
ELSE "0000" ;
saturatechainout_aclrval_ir <= "0000" WHEN ((saturatechainout_clear = "0") or (saturatechainout_clear = "none"))
ELSE "0001" WHEN (saturatechainout_clear = "1")
ELSE "0010" WHEN (saturatechainout_clear = "2")
ELSE "0011" WHEN (saturatechainout_clear = "3")
ELSE "0000" ;
saturatechainout_clk_ir <= '1' WHEN clk(conv_integer(saturatechainout_clkval_ir)) = '1' ELSE '0';
saturatechainout_aclr_ir <= '1' WHEN (aclr(conv_integer(saturatechainout_aclrval_ir)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturatechainout_sload_ir <= '1' WHEN ena(conv_integer(saturatechainout_clkval_ir)) = '1' ELSE '0';
saturatechainout_bypass_register_ir <= '1' WHEN (saturatechainout_clock = "none") ELSE '0';
saturatechainout_in <= saturatechainout;
saturatechainout_input_register : stratixiii_mac_bit_register
PORT MAP (
datain => saturatechainout_in,
clk => saturatechainout_clk_ir,
aclr => saturatechainout_aclr_ir,
sload => saturatechainout_sload_ir,
bypass_register => saturatechainout_bypass_register_ir,
dataout => saturatechainout_in_reg
);
--Instantiate the First level adder interface and sign extension block
sign <= signa_in_reg OR signb_in_reg ;
fsa_interface : stratixiii_fsa_isse
GENERIC MAP (
chainin_width => chainin_width,
dataa_width => dataa_width,
datab_width => datab_width,
datac_width => datac_width,
datad_width => datad_width,
operation_mode => operation_mode,
multa_signa_internally_grounded => multa_signa_internally_grounded,
multa_signb_internally_grounded => multa_signb_internally_grounded,
multb_signa_internally_grounded => multb_signa_internally_grounded,
multb_signb_internally_grounded => multb_signb_internally_grounded,
multc_signa_internally_grounded => multc_signa_internally_grounded,
multc_signb_internally_grounded => multc_signb_internally_grounded,
multd_signa_internally_grounded => multd_signa_internally_grounded,
multd_signb_internally_grounded => multd_signb_internally_grounded
)
PORT MAP (
dataa => dataa,
datab => datab,
datac => datac,
datad => datad,
chainin => chainin,
signa => signa_in_reg,
signb => signb_in_reg,
dataa_out => dataa_fsa_in,
datab_out => datab_fsa_in,
datac_out => datac_fsa_in,
datad_out => datad_fsa_in,
chainin_out => chainin_coa_in,
operation => operation
);
--Instantiate First Stage Adder/Subtractor Unit0
fsaunit0 : stratixiii_first_stage_add_sub
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
fsa_mode => first_adder0_mode
)
PORT MAP (
dataa => dataa_fsa_in,
datab => datab_fsa_in,
sign => sign,
operation => operation,
dataout => dataout_fsa0
);
--Instantiate First Stage Adder/Subtractor Unit1
fsaunit1 : stratixiii_first_stage_add_sub
GENERIC MAP (
dataa_width => datac_width,
datab_width => datad_width,
fsa_mode => first_adder1_mode
)
PORT MAP (
dataa => datac_fsa_in,
datab => datad_fsa_in,
sign => sign,
operation => operation,
dataout => dataout_fsa1
);
--Instantiate the zeroloopback pipeline Register
zeroloopback_clkval_pip <= "0000" WHEN ((zeroloopback_pipeline_clock = "0") or (zeroloopback_pipeline_clock = "none"))
ELSE "0001" WHEN (zeroloopback_pipeline_clock = "1")
ELSE "0010" WHEN (zeroloopback_pipeline_clock = "2")
ELSE "0011" WHEN (zeroloopback_pipeline_clock = "3")
ELSE "0000" ;
zeroloopback_aclrval_pip <= "0000" WHEN ((zeroloopback_pipeline_clear = "0") or (zeroloopback_pipeline_clear = "none"))
ELSE "0001" WHEN (zeroloopback_pipeline_clear = "1")
ELSE "0010" WHEN (zeroloopback_pipeline_clear = "2")
ELSE "0011" WHEN (zeroloopback_pipeline_clear = "3")
ELSE "0000" ;
zeroloopback_clk_pip <= '1' WHEN clk(conv_integer(zeroloopback_clkval_pip)) = '1' ELSE '0';
zeroloopback_aclr_pip <= '1' WHEN (aclr(conv_integer(zeroloopback_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroloopback_sload_pip <= '1' WHEN ena(conv_integer(zeroloopback_clkval_pip)) = '1' ELSE '0';
zeroloopback_bypass_register_pip <= '1' WHEN (zeroloopback_pipeline_clock = "none") ELSE '0';
zeroloopback_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => zeroloopback_in_reg,
clk => zeroloopback_clk_pip,
aclr => zeroloopback_aclr_pip,
sload => zeroloopback_sload_pip,
bypass_register => zeroloopback_bypass_register_pip,
dataout => zeroloopback_pip_reg
);
--Instantiate the zeroacc pipeline Register
zeroacc_clkval_pip <= "0000" WHEN ((zeroacc_pipeline_clock = "0") or (zeroacc_pipeline_clock = "none"))
ELSE "0001" WHEN (zeroacc_pipeline_clock = "1")
ELSE "0010" WHEN (zeroacc_pipeline_clock = "2")
ELSE "0011" WHEN (zeroacc_pipeline_clock = "3")
ELSE "0000" ;
zeroacc_aclrval_pip <= "0000" WHEN ((zeroacc_pipeline_clear = "0") or (zeroacc_pipeline_clear = "none"))
ELSE "0001" WHEN (zeroacc_pipeline_clear = "1")
ELSE "0010" WHEN (zeroacc_pipeline_clear = "2")
ELSE "0011" WHEN (zeroacc_pipeline_clear = "3")
ELSE "0000" ;
zeroacc_clk_pip <= '1' WHEN clk(conv_integer(zeroacc_clkval_pip)) = '1' ELSE '0';
zeroacc_aclr_pip <= '1' WHEN (aclr(conv_integer(zeroacc_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroacc_sload_pip <= '1' WHEN ena(conv_integer(zeroacc_clkval_pip)) = '1' ELSE '0';
zeroacc_bypass_register_pip <= '1' WHEN (zeroacc_pipeline_clock = "none") ELSE '0';
zeroacc_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => zeroacc_in_reg,
clk => zeroacc_clk_pip,
aclr => zeroacc_aclr_pip,
sload => zeroacc_sload_pip,
bypass_register => zeroacc_bypass_register_pip,
dataout => zeroacc_pip_reg
);
--Instantiate the signa pipeline Register
signa_clkval_pip <= "0000" WHEN ((signa_pipeline_clock = "0") or (signa_pipeline_clock = "none"))
ELSE "0001" WHEN (signa_pipeline_clock = "1")
ELSE "0010" WHEN (signa_pipeline_clock = "2")
ELSE "0011" WHEN (signa_pipeline_clock = "3")
ELSE "0000" ;
signa_aclrval_pip <= "0000" WHEN ((signa_pipeline_clear = "0") or (signa_pipeline_clear = "none"))
ELSE "0001" WHEN (signa_pipeline_clear = "1")
ELSE "0010" WHEN (signa_pipeline_clear = "2")
ELSE "0011" WHEN (signa_pipeline_clear = "3")
ELSE "0000" ;
signa_clk_pip <= '1' WHEN clk(conv_integer(signa_clkval_pip)) = '1' ELSE '0';
signa_aclr_pip <= '1' WHEN (aclr(conv_integer(signa_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signa_sload_pip <= '1' WHEN ena(conv_integer(signa_clkval_pip)) = '1' ELSE '0';
signa_bypass_register_pip <= '1' WHEN (signa_pipeline_clock = "none") ELSE '0';
signa_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => signa_in_reg,
clk => signa_clk_pip,
aclr => signa_aclr_pip,
sload => signa_sload_pip,
bypass_register => signa_bypass_register_pip,
dataout => signa_pip_reg
);
--Instantiate the signb pipeline Register
signb_clkval_pip <= "0000" WHEN ((signb_pipeline_clock = "0") or (signb_pipeline_clock = "none"))
ELSE "0001" WHEN (signb_pipeline_clock = "1")
ELSE "0010" WHEN (signb_pipeline_clock = "2")
ELSE "0011" WHEN (signb_pipeline_clock = "3")
ELSE "0000" ;
signb_aclrval_pip <= "0000" WHEN ((signb_pipeline_clear = "0") or (signb_pipeline_clear = "none"))
ELSE "0001" WHEN (signb_pipeline_clear = "1")
ELSE "0010" WHEN (signb_pipeline_clear = "2")
ELSE "0011" WHEN (signb_pipeline_clear = "3")
ELSE "0000" ;
signb_clk_pip <= '1' WHEN clk(conv_integer(signb_clkval_pip)) = '1' ELSE '0';
signb_aclr_pip <= '1' WHEN (aclr(conv_integer(signb_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
signb_sload_pip <= '1' WHEN ena(conv_integer(signb_clkval_pip)) = '1' ELSE '0';
signb_bypass_register_pip <= '1' WHEN (signb_pipeline_clock = "none") ELSE '0';
signb_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => signb_in_reg,
clk => signb_clk_pip,
aclr => signb_aclr_pip,
sload => signb_sload_pip,
bypass_register => signb_bypass_register_pip,
dataout => signb_pip_reg
);
--Instantiate the rotate pipeline Register
rotate_clkval_pip <= "0000" WHEN ((rotate_pipeline_clock = "0") or (rotate_pipeline_clock = "none"))
ELSE "0001" WHEN (rotate_pipeline_clock = "1")
ELSE "0010" WHEN (rotate_pipeline_clock = "2")
ELSE "0011" WHEN (rotate_pipeline_clock = "3")
ELSE "0000" ;
rotate_aclrval_pip <= "0000" WHEN ((rotate_pipeline_clear = "0") or (rotate_pipeline_clear = "none"))
ELSE "0001" WHEN (rotate_pipeline_clear = "1")
ELSE "0010" WHEN (rotate_pipeline_clear = "2")
ELSE "0011" WHEN (rotate_pipeline_clear = "3")
ELSE "0000" ;
rotate_clk_pip <= '1' WHEN clk(conv_integer(rotate_clkval_pip)) = '1' ELSE '0';
rotate_aclr_pip <= '1' WHEN (aclr(conv_integer(rotate_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rotate_sload_pip <= '1' WHEN ena(conv_integer(rotate_clkval_pip)) = '1' ELSE '0';
rotate_bypass_register_pip <= '1' WHEN (rotate_pipeline_clock = "none") ELSE '0';
rotate_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => rotate_in_reg,
clk => rotate_clk_pip,
aclr => rotate_aclr_pip,
sload => rotate_sload_pip,
bypass_register => rotate_bypass_register_pip,
dataout => rotate_pip_reg
);
--Instantiate the shiftright pipeline Register
shiftright_clkval_pip <= "0000" WHEN ((shiftright_pipeline_clock = "0") or (shiftright_pipeline_clock = "none"))
ELSE "0001" WHEN (shiftright_pipeline_clock = "1")
ELSE "0010" WHEN (shiftright_pipeline_clock = "2")
ELSE "0011" WHEN (shiftright_pipeline_clock = "3")
ELSE "0000" ;
shiftright_aclrval_pip <= "0000" WHEN ((shiftright_pipeline_clear = "0") or (shiftright_pipeline_clear = "none"))
ELSE "0001" WHEN (shiftright_pipeline_clear = "1")
ELSE "0010" WHEN (shiftright_pipeline_clear = "2")
ELSE "0011" WHEN (shiftright_pipeline_clear = "3")
ELSE "0000" ;
shiftright_clk_pip <= '1' WHEN clk(conv_integer(shiftright_clkval_pip)) = '1' ELSE '0';
shiftright_aclr_pip <= '1' WHEN (aclr(conv_integer(shiftright_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
shiftright_sload_pip <= '1' WHEN ena(conv_integer(shiftright_clkval_pip)) = '1' ELSE '0';
shiftright_bypass_register_pip <= '1' WHEN (shiftright_pipeline_clock = "none") ELSE '0';
shiftright_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => shiftright_in_reg,
clk => shiftright_clk_pip,
aclr => shiftright_aclr_pip,
sload => shiftright_sload_pip,
bypass_register => shiftright_bypass_register_pip,
dataout => shiftright_pip_reg
);
--Instantiate the round pipeline Register
round_clkval_pip <= "0000" WHEN ((round_pipeline_clock = "0") or (round_pipeline_clock = "none"))
ELSE "0001" WHEN (round_pipeline_clock = "1")
ELSE "0010" WHEN (round_pipeline_clock = "2")
ELSE "0011" WHEN (round_pipeline_clock = "3")
ELSE "0000" ;
round_aclrval_pip <= "0000" WHEN ((round_pipeline_clear = "0") or (round_pipeline_clear = "none"))
ELSE "0001" WHEN (round_pipeline_clear = "1")
ELSE "0010" WHEN (round_pipeline_clear = "2")
ELSE "0011" WHEN (round_pipeline_clear = "3")
ELSE "0000" ;
round_clk_pip <= '1' WHEN clk(conv_integer(round_clkval_pip)) = '1' ELSE '0';
round_aclr_pip <= '1' WHEN (aclr(conv_integer(round_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
round_sload_pip <= '1' WHEN ena(conv_integer(round_clkval_pip)) = '1' ELSE '0';
round_bypass_register_pip <= '1' WHEN (round_pipeline_clock = "none") ELSE '0';
round_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => round_in_reg,
clk => round_clk_pip,
aclr => round_aclr_pip,
sload => round_sload_pip,
bypass_register => round_bypass_register_pip,
dataout => round_pip_reg
);
--Instantiate the saturate pipeline Register
saturate_clkval_pip <= "0000" WHEN ((saturate_pipeline_clock = "0") or (saturate_pipeline_clock = "none"))
ELSE "0001" WHEN (saturate_pipeline_clock = "1")
ELSE "0010" WHEN (saturate_pipeline_clock = "2")
ELSE "0011" WHEN (saturate_pipeline_clock = "3")
ELSE "0000" ;
saturate_aclrval_pip <= "0000" WHEN ((saturate_pipeline_clear = "0") or (saturate_pipeline_clear = "none"))
ELSE "0001" WHEN (saturate_pipeline_clear = "1")
ELSE "0010" WHEN (saturate_pipeline_clear = "2")
ELSE "0011" WHEN (saturate_pipeline_clear = "3")
ELSE "0000" ;
saturate_clk_pip <= '1' WHEN clk(conv_integer(saturate_clkval_pip)) = '1' ELSE '0';
saturate_aclr_pip <= '1' WHEN (aclr(conv_integer(saturate_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturate_sload_pip <= '1' WHEN ena(conv_integer(saturate_clkval_pip)) = '1' ELSE '0';
saturate_bypass_register_pip <= '1' WHEN (saturate_pipeline_clock = "none") ELSE '0';
saturate_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => saturate_in_reg,
clk => saturate_clk_pip,
aclr => saturate_aclr_pip,
sload => saturate_sload_pip,
bypass_register => saturate_bypass_register_pip,
dataout => saturate_pip_reg
);
--Instantiate the roundchainout pipeline Register
roundchainout_clkval_pip <= "0000" WHEN ((roundchainout_pipeline_clock = "0") or (roundchainout_pipeline_clock = "none"))
ELSE "0001" WHEN (roundchainout_pipeline_clock = "1")
ELSE "0010" WHEN (roundchainout_pipeline_clock = "2")
ELSE "0011" WHEN (roundchainout_pipeline_clock = "3")
ELSE "0000" ;
roundchainout_aclrval_pip <= "0000" WHEN ((roundchainout_pipeline_clear = "0") or (roundchainout_pipeline_clear = "none"))
ELSE "0001" WHEN (roundchainout_pipeline_clear = "1")
ELSE "0010" WHEN (roundchainout_pipeline_clear = "2")
ELSE "0011" WHEN (roundchainout_pipeline_clear = "3")
ELSE "0000" ;
roundchainout_clk_pip <= '1' WHEN clk(conv_integer(roundchainout_clkval_pip)) = '1' ELSE '0';
roundchainout_aclr_pip <= '1' WHEN (aclr(conv_integer(roundchainout_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
roundchainout_sload_pip <= '1' WHEN ena(conv_integer(roundchainout_clkval_pip)) = '1' ELSE '0';
roundchainout_bypass_register_pip <= '1' WHEN (roundchainout_pipeline_clock = "none") ELSE '0';
roundchainout_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => roundchainout_in_reg,
clk => roundchainout_clk_pip,
aclr => roundchainout_aclr_pip,
sload => roundchainout_sload_pip,
bypass_register => roundchainout_bypass_register_pip,
dataout => roundchainout_pip_reg
);
--Instantiate the saturatechainout pipeline Register
saturatechainout_clkval_pip <= "0000" WHEN ((saturatechainout_pipeline_clock = "0") or (saturatechainout_pipeline_clock = "none"))
ELSE "0001" WHEN (saturatechainout_pipeline_clock = "1")
ELSE "0010" WHEN (saturatechainout_pipeline_clock = "2")
ELSE "0011" WHEN (saturatechainout_pipeline_clock = "3")
ELSE "0000" ;
saturatechainout_aclrval_pip <= "0000" WHEN ((saturatechainout_pipeline_clear = "0") or (saturatechainout_pipeline_clear = "none"))
ELSE "0001" WHEN (saturatechainout_pipeline_clear = "1")
ELSE "0010" WHEN (saturatechainout_pipeline_clear = "2")
ELSE "0011" WHEN (saturatechainout_pipeline_clear = "3")
ELSE "0000" ;
saturatechainout_clk_pip <= '1' WHEN clk(conv_integer(saturatechainout_clkval_pip)) = '1' ELSE '0';
saturatechainout_aclr_pip <= '1' WHEN (aclr(conv_integer(saturatechainout_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturatechainout_sload_pip <= '1' WHEN ena(conv_integer(saturatechainout_clkval_pip)) = '1' ELSE '0';
saturatechainout_bypass_register_pip <= '1' WHEN (saturatechainout_pipeline_clock = "none") ELSE '0';
saturatechainout_pipeline_register : stratixiii_mac_bit_register
PORT MAP (
datain => saturatechainout_in_reg,
clk => saturatechainout_clk_pip,
aclr => saturatechainout_aclr_pip,
sload => saturatechainout_sload_pip,
bypass_register => saturatechainout_bypass_register_pip,
dataout => saturatechainout_pip_reg
);
-- Instantiate fsa0 dataout pipline register
fsa_pip_datain1 <= dataa_fsa_in WHEN (operation_mode = "output_only") ELSE dataout_fsa0;
fsa0_clkval_pip <= "0000" WHEN ((first_adder0_clock = "0") or (first_adder0_clock = "none"))
ELSE "0001" WHEN (first_adder0_clock = "1")
ELSE "0010" WHEN (first_adder0_clock = "2")
ELSE "0011" WHEN (first_adder0_clock = "3")
ELSE "0000" ;
fsa0_aclrval_pip <= "0000" WHEN ((first_adder0_clear = "0") or (first_adder0_clear = "none"))
ELSE "0001" WHEN (first_adder0_clear = "1")
ELSE "0010" WHEN (first_adder0_clear = "2")
ELSE "0011" WHEN (first_adder0_clear = "3")
ELSE "0000" ;
fsa0_clk_pip <= '1' WHEN clk(conv_integer(fsa0_clkval_pip)) = '1' ELSE '0';
fsa0_aclr_pip <= '1' WHEN (aclr(conv_integer(fsa0_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
fsa0_sload_pip <= '1' WHEN ena(conv_integer(fsa0_clkval_pip)) = '1' ELSE '0';
fsa0_bypass_register_pip <= '1' WHEN (first_adder0_clock = "none") ELSE '0';
fsa0_pipeline_register : stratixiii_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => fsa_pip_datain1,
clk => fsa0_clk_pip,
aclr => fsa0_aclr_pip,
sload => fsa0_sload_pip,
bypass_register => fsa0_bypass_register_pip,
dataout => fsa0_pip_reg
);
-- Instantiate fsa1 dataout pipline register
fsa1_clkval_pip <= "0000" WHEN ((first_adder1_clock = "0") or (first_adder1_clock = "none"))
ELSE "0001" WHEN (first_adder1_clock = "1")
ELSE "0010" WHEN (first_adder1_clock = "2")
ELSE "0011" WHEN (first_adder1_clock = "3")
ELSE "0000" ;
fsa1_aclrval_pip <= "0000" WHEN ((first_adder1_clear = "0") or (first_adder1_clear = "none"))
ELSE "0001" WHEN (first_adder1_clear = "1")
ELSE "0010" WHEN (first_adder1_clear = "2")
ELSE "0011" WHEN (first_adder1_clear = "3")
ELSE "0000" ;
fsa1_clk_pip <= '1' WHEN clk(conv_integer(fsa1_clkval_pip)) = '1' ELSE '0';
fsa1_aclr_pip <= '1' WHEN (aclr(conv_integer(fsa1_aclrval_pip)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
fsa1_sload_pip <= '1' WHEN ena(conv_integer(fsa1_clkval_pip)) = '1' ELSE '0';
fsa1_bypass_register_pip <= '1' WHEN (first_adder1_clock = "none") ELSE '0';
fsa1_pipeline_register : stratixiii_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => dataout_fsa1,
clk => fsa1_clk_pip,
aclr => fsa1_aclr_pip,
sload => fsa1_sload_pip,
bypass_register => fsa1_bypass_register_pip,
dataout => fsa1_pip_reg
);
--Instantiate the second level adder/accumulator block
ssa_accum_in <= rs_dataout_out_reg WHEN (NOT zeroacc_pip_reg) = '1' ELSE (others => '0');
ssa_sign <= signa_pip_reg OR signb_pip_reg ;
ssa_unit : stratixiii_second_stage_add_accum
GENERIC MAP (
dataa_width => dataa_width + 1,
datab_width => datac_width + 1,
ssa_mode => acc_adder_operation
)
PORT MAP (
dataa => fsa0_pip_reg,
datab => fsa1_pip_reg,
accumin => ssa_accum_in,
sign => ssa_sign,
operation => operation,
dataout => ssa_dataout,
overflow => ssa_overflow
);
-- Instantiate round and saturation block
rs_datain <= fsa0_pip_reg when ((operation_mode = "output_only") or (operation_mode = "one_level_adder")or(operation_mode = "loopback"))
ELSE ssa_dataout ;
ssa_datain_width <= CONV_STD_LOGIC_VECTOR(dataa_width + 8,8) when ((operation_mode = "accumulator") or(operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out"))
ELSE CONV_STD_LOGIC_VECTOR(dataa_width +2,8) when(operation_mode = "two_level_adder")
ELSE CONV_STD_LOGIC_VECTOR(dataa_width + datab_width,8) when ((operation_mode = "shift" ) or (operation_mode = "36_bit_multiply" ))
ELSE CONV_STD_LOGIC_VECTOR(dataa_width + 8,8) when ((operation_mode = "double" ))
ELSE CONV_STD_LOGIC_VECTOR(dataa_width,8);
rs_block : stratixiii_round_saturate_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
operation_mode => operation_mode,
round_mode => round_mode,
saturate_mode => saturate_mode,
saturate_width => saturate_width,
round_width => round_width
)
PORT MAP (
datain => rs_datain,
round => round_pip_reg,
saturate => saturate_pip_reg,
signa => signa_pip_reg,
signb => signb_pip_reg,
datain_width => ssa_datain_width,
dataout => rs_dataout,
saturationoverflow => rs_saturation_overflow
);
--Instantiate the zeroloopback output Register
zeroloopback_clkval_or <= "0000" WHEN ((zeroloopback_output_clock = "0") or (zeroloopback_output_clock = "none"))
ELSE "0001" WHEN (zeroloopback_output_clock = "1")
ELSE "0010" WHEN (zeroloopback_output_clock = "2")
ELSE "0011" WHEN (zeroloopback_output_clock = "3")
ELSE "0000" ;
zeroloopback_aclrval_or <= "0000" WHEN ((zeroloopback_output_clear = "0") or (zeroloopback_output_clear = "none"))
ELSE "0001" WHEN (zeroloopback_output_clear = "1")
ELSE "0010" WHEN (zeroloopback_output_clear = "2")
ELSE "0011" WHEN (zeroloopback_output_clear = "3")
ELSE "0000" ;
zeroloopback_clk_or <= '1' WHEN clk(conv_integer(zeroloopback_clkval_or)) = '1' ELSE '0';
zeroloopback_aclr_or <= '1' WHEN (aclr(conv_integer(zeroloopback_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zeroloopback_sload_or <= '1' WHEN ena(conv_integer(zeroloopback_clkval_or)) = '1' ELSE '0';
zeroloopback_bypass_register_or <= '1' WHEN (zeroloopback_output_clock = "none") ELSE '0';
zeroloopback_output_register : stratixiii_mac_bit_register
PORT MAP (
datain => zeroloopback_pip_reg,
clk => zeroloopback_clk_or,
aclr => zeroloopback_aclr_or,
sload => zeroloopback_sload_or,
bypass_register => zeroloopback_bypass_register_or,
dataout => zeroloopback_out_reg
);
--Instantiate the zerochainout output Register
zerochainout_clkval_or <= "0000" WHEN ((zerochainout_output_clock = "0") or (zerochainout_output_clock = "none"))
ELSE "0001" WHEN (zerochainout_output_clock = "1")
ELSE "0010" WHEN (zerochainout_output_clock = "2")
ELSE "0011" WHEN (zerochainout_output_clock = "3")
ELSE "0000" ;
zerochainout_aclrval_or <= "0000" WHEN ((zerochainout_output_clear = "0") or (zerochainout_output_clear = "none"))
ELSE "0001" WHEN (zerochainout_output_clear = "1")
ELSE "0010" WHEN (zerochainout_output_clear = "2")
ELSE "0011" WHEN (zerochainout_output_clear = "3")
ELSE "0000" ;
zerochainout_clk_or <= '1' WHEN clk(conv_integer(zerochainout_clkval_or)) = '1' ELSE '0';
zerochainout_aclr_or <= '1' WHEN (aclr(conv_integer(zerochainout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
zerochainout_sload_or <= '1' WHEN ena(conv_integer(zerochainout_clkval_or)) = '1' ELSE '0';
zerochainout_bypass_register_or <= '1' WHEN (zerochainout_output_clock = "none") ELSE '0';
zerochainout_output_register : stratixiii_mac_bit_register
PORT MAP (
datain => zerochainout,
clk => zerochainout_clk_or,
aclr => zerochainout_aclr_or,
sload => zerochainout_sload_or,
bypass_register => zerochainout_bypass_register_or,
dataout => zerochainout_out_reg
);
-- Instantiate Round_Saturate dataout output register
rs_dataout_clkval_or_co <= "0000" WHEN ((second_adder_clock = "0") or (second_adder_clock = "none"))
ELSE "0001" WHEN (second_adder_clock = "1")
ELSE "0010" WHEN (second_adder_clock = "2")
ELSE "0011" WHEN (second_adder_clock = "3")
ELSE "0000" ;
rs_dataout_aclrval_or_co <= "0000" WHEN ((second_adder_clear = "0") or (second_adder_clear = "none"))
ELSE "0001" WHEN (second_adder_clear = "1")
ELSE "0010" WHEN (second_adder_clear = "2")
ELSE "0011" WHEN (second_adder_clear = "3")
ELSE "0000" ;
rs_dataout_clkval_or_o <= "0000" WHEN ((output_clock = "0") or (output_clock = "none"))
ELSE "0001" WHEN (output_clock = "1")
ELSE "0010" WHEN (output_clock = "2")
ELSE "0011" WHEN (output_clock = "3")
ELSE "0000" ;
rs_dataout_aclrval_or_o <= "0000" WHEN ((output_clear = "0") or (output_clear = "none"))
ELSE "0001" WHEN (output_clear = "1")
ELSE "0010" WHEN (output_clear = "2")
ELSE "0011" WHEN (output_clear = "3")
ELSE "0000" ;
rs_dataout_aclrval_or <= rs_dataout_aclrval_or_co WHEN ((operation_mode = "two_level_adder_chain_out") or (operation_mode = "accumulator_chain_out" ))
ELSE rs_dataout_aclrval_or_o;
rs_dataout_clkval_or <= rs_dataout_clkval_or_co WHEN ((operation_mode = "two_level_adder_chain_out") or (operation_mode = "accumulator_chain_out" ))
ELSE rs_dataout_clkval_or_o;
rs_dataout_bypass_register_or_co <= '1' WHEN (second_adder_clock = "none") ELSE '0';
rs_dataout_bypass_register_or_o <= '1' WHEN (output_clock = "none") ELSE '0';
rs_dataout_clk_or <= '1' WHEN clk(conv_integer(rs_dataout_clkval_or)) = '1' ELSE '0';
rs_dataout_aclr_or <= '1' WHEN (aclr(conv_integer(rs_dataout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rs_dataout_sload_or <= '1' WHEN ena(conv_integer(rs_dataout_clkval_or)) = '1' ELSE '0';
rs_dataout_bypass_register_or <= rs_dataout_bypass_register_or_co WHEN ((operation_mode = "two_level_adder_chain_out") or (operation_mode = "accumulator_chain_out" ))
ELSE rs_dataout_bypass_register_or_o;
rs_dataout_in <= ssa_dataout WHEN ((operation_mode = "36_bit_multiply") OR (operation_mode = "shift")) ELSE rs_dataout_of;
rs_dataout_output_register : stratixiii_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => rs_dataout_in,
clk => rs_dataout_clk_or,
aclr => rs_dataout_aclr_or,
sload => rs_dataout_sload_or,
bypass_register => rs_dataout_bypass_register_or,
dataout => rs_dataout_out_reg
);
-- Instantiate Round_Saturate saturation_overflow output register
rs_saturation_overflow_in <= rs_saturation_overflow WHEN (saturate_pip_reg = '1') ELSE ssa_overflow;
rs_saturation_overflow_output_register : stratixiii_mac_bit_register
PORT MAP (
datain => rs_saturation_overflow_in,
clk => rs_dataout_clk_or,
aclr => rs_dataout_aclr_or,
sload => rs_dataout_sload_or,
bypass_register => rs_dataout_bypass_register_or,
dataout => rs_saturation_overflow_out_reg
);
--Instantiate the rotate output Register
rotate_clkval_or <= "0000" WHEN ((rotate_output_clock = "0") or (rotate_output_clock = "none"))
ELSE "0001" WHEN (rotate_output_clock = "1")
ELSE "0010" WHEN (rotate_output_clock = "2")
ELSE "0011" WHEN (rotate_output_clock = "3")
ELSE "0000" ;
rotate_aclrval_or <= "0000" WHEN ((rotate_output_clear = "0") or (rotate_output_clear = "none"))
ELSE "0001" WHEN (rotate_output_clear = "1")
ELSE "0010" WHEN (rotate_output_clear = "2")
ELSE "0011" WHEN (rotate_output_clear = "3")
ELSE "0000" ;
rotate_clk_or <= '1' WHEN clk(conv_integer(rotate_clkval_or)) = '1' ELSE '0';
rotate_aclr_or <= '1' WHEN (aclr(conv_integer(rotate_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
rotate_sload_or <= '1' WHEN ena(conv_integer(rotate_clkval_or)) = '1' ELSE '0';
rotate_bypass_register_or <= '1' WHEN (rotate_output_clock = "none") ELSE '0';
rotate_output_register : stratixiii_mac_bit_register
PORT MAP (
datain => rotate_pip_reg,
clk => rotate_clk_or,
aclr => rotate_aclr_or,
sload => rotate_sload_or,
bypass_register => rotate_bypass_register_or,
dataout => rotate_out_reg
);
--Instantiate the shiftright output Register
shiftright_output_register : stratixiii_mac_bit_register
PORT MAP (
datain => shiftright_pip_reg,
clk => shiftright_clk_or,
aclr => shiftright_aclr_or,
sload => shiftright_sload_or,
bypass_register => shiftright_bypass_register_or,
dataout => shiftright_out_reg
);
shiftright_clkval_or <= "0000" WHEN ((shiftright_output_clock = "0") or (shiftright_output_clock = "none"))
ELSE "0001" WHEN (shiftright_output_clock = "1")
ELSE "0010" WHEN (shiftright_output_clock = "2")
ELSE "0011" WHEN (shiftright_output_clock = "3")
ELSE "0000" ;
shiftright_aclrval_or <= "0000" WHEN ((shiftright_output_clear = "0") or (shiftright_output_clear = "none"))
ELSE "0001" WHEN (shiftright_output_clear = "1")
ELSE "0010" WHEN (shiftright_output_clear = "2")
ELSE "0011" WHEN (shiftright_output_clear = "3")
ELSE "0000" ;
shiftright_clk_or <= '1' WHEN clk(conv_integer(shiftright_clkval_or)) = '1' ELSE '0';
shiftright_aclr_or <= '1' WHEN (aclr(conv_integer(shiftright_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
shiftright_sload_or <= '1' WHEN ena(conv_integer(shiftright_clkval_or)) = '1' ELSE '0';
shiftright_bypass_register_or <= '1' WHEN (shiftright_output_clock = "none") ELSE '0';
--Instantiate the roundchainout output Register
roundchainout_clkval_or <= "0000" WHEN ((roundchainout_output_clock = "0") or (roundchainout_output_clock = "none"))
ELSE "0001" WHEN (roundchainout_output_clock = "1")
ELSE "0010" WHEN (roundchainout_output_clock = "2")
ELSE "0011" WHEN (roundchainout_output_clock = "3")
ELSE "0000" ;
roundchainout_aclrval_or <= "0000" WHEN ((roundchainout_output_clear = "0") or (roundchainout_output_clear = "none"))
ELSE "0001" WHEN (roundchainout_output_clear = "1")
ELSE "0010" WHEN (roundchainout_output_clear = "2")
ELSE "0011" WHEN (roundchainout_output_clear = "3")
ELSE "0000" ;
roundchainout_clk_or <= '1' WHEN clk(conv_integer(roundchainout_clkval_or)) = '1' ELSE '0';
roundchainout_aclr_or <= '1' WHEN (aclr(conv_integer(roundchainout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
roundchainout_sload_or <= '1' WHEN ena(conv_integer(roundchainout_clkval_or)) = '1' ELSE '0';
roundchainout_bypass_register_or <= '1' WHEN (roundchainout_output_clock = "none") ELSE '0';
roundchainout_output_register : stratixiii_mac_bit_register
PORT MAP (
datain => roundchainout_pip_reg,
clk => roundchainout_clk_or,
aclr => roundchainout_aclr_or,
sload => roundchainout_sload_or,
bypass_register => roundchainout_bypass_register_or,
dataout => roundchainout_out_reg
);
--Instantiate the saturatechainout output Register
saturatechainout_clkval_or <= "0000" WHEN ((saturatechainout_output_clock = "0") or (saturatechainout_output_clock = "none"))
ELSE "0001" WHEN (saturatechainout_output_clock = "1")
ELSE "0010" WHEN (saturatechainout_output_clock = "2")
ELSE "0011" WHEN (saturatechainout_output_clock = "3")
ELSE "0000" ;
saturatechainout_aclrval_or <= "0000" WHEN ((saturatechainout_output_clear = "0") or (saturatechainout_output_clear = "none"))
ELSE "0001" WHEN (saturatechainout_output_clear = "1")
ELSE "0010" WHEN (saturatechainout_output_clear = "2")
ELSE "0011" WHEN (saturatechainout_output_clear = "3")
ELSE "0000" ;
saturatechainout_clk_or <= '1' WHEN clk(conv_integer(saturatechainout_clkval_or)) = '1' ELSE '0';
saturatechainout_aclr_or <= '1' WHEN (aclr(conv_integer(saturatechainout_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
saturatechainout_sload_or <= '1' WHEN ena(conv_integer(saturatechainout_clkval_or)) = '1' ELSE '0';
saturatechainout_bypass_register_or <= '1' WHEN (saturatechainout_output_clock = "none") ELSE '0';
saturatechainout_output_register : stratixiii_mac_bit_register
PORT MAP (
datain => saturatechainout_pip_reg,
clk => saturatechainout_clk_or,
aclr => saturatechainout_aclr_or,
sload => saturatechainout_sload_or,
bypass_register => saturatechainout_bypass_register_or,
dataout => saturatechainout_out_reg
);
--Instantiate the Carry chainout Adder
chainout_adder : stratixiii_carry_chain_adder
PORT MAP (
dataa => rs_dataout_out_reg,
datab => chainin_coa_in,
dataout => coa_dataout
);
--Instantiate the carry chainout adder RS Block
coa_rs_block : stratixiii_round_saturate_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width,
operation_mode => operation_mode,
round_mode => round_chain_out_mode,
saturate_mode => saturate_chain_out_mode,
saturate_width => saturate_chain_out_width,
round_width => round_chain_out_width
)
PORT MAP (
datain => coa_dataout,
round => roundchainout_out_reg,
saturate => saturatechainout_out_reg,
signa => signa_pip_reg,
signb => signb_pip_reg,
datain_width => ssa_datain_width,
dataout => coa_rs_dataout,
saturationoverflow => coa_rs_saturation_overflow
);
--Instantiate the rs_saturation_overflow output register (after COA)
coa_reg_clkval_or <= "0000" WHEN ((output_clock = "0") or (output_clock = "none"))
ELSE "0001" WHEN (output_clock = "1")
ELSE "0010" WHEN (output_clock = "2")
ELSE "0011" WHEN (output_clock = "3")
ELSE "0000" ;
coa_reg_aclrval_or <= "0000" WHEN ((output_clear = "0") or (output_clear = "none"))
ELSE "0001" WHEN (output_clear = "1")
ELSE "0010" WHEN (output_clear = "2")
ELSE "0011" WHEN (output_clear = "3")
ELSE "0000" ;
coa_reg_clk_or <= '1' WHEN clk(conv_integer(coa_reg_clkval_or)) = '1' ELSE '0';
coa_reg_aclr_or <= '1' WHEN (aclr(conv_integer(coa_reg_aclrval_or)) OR NOT devclrn OR NOT devpor) = '1' ELSE '0';
coa_reg_sload_or <= '1' WHEN ena(conv_integer(coa_reg_clkval_or)) = '1' ELSE '0';
coa_reg_bypass_register_or <= '1' WHEN (output_clock = "none") ELSE '0';
coa_rs_saturation_overflow_register : stratixiii_mac_bit_register
PORT MAP (
datain => rs_saturation_overflow_out_reg,
clk => coa_reg_clk_or,
aclr => coa_reg_aclr_or,
sload => coa_reg_sload_or,
bypass_register => '1',
dataout => coa_rs_saturation_overflow_out_reg
);
--Instantiate the rs_saturationchainout_overflow output register
coa_rs_saturationchainout_overflow_register : stratixiii_mac_bit_register
PORT MAP (
datain => coa_rs_saturation_overflow,
clk => coa_reg_clk_or,
aclr => coa_reg_aclr_or,
sload => coa_reg_sload_or,
bypass_register => coa_reg_bypass_register_or,
dataout => coa_rs_saturationchainout_overflow_out_reg
);
-- Instantiate the coa_rs_dataout output register
coa_rs_dataout_register : stratixiii_mac_register
GENERIC MAP (
data_width => 72
)
PORT MAP (
datain => coa_rs_dataout,
clk => coa_reg_clk_or,
aclr => coa_reg_aclr_or,
sload => coa_reg_sload_or,
bypass_register => coa_reg_bypass_register_or,
dataout => coa_rs_dataout_out_reg
);
--Instantiate the shift/Rotate Unit
shift_rot_unit : stratixiii_rotate_shift_block
GENERIC MAP (
dataa_width => dataa_width,
datab_width => datab_width
)
PORT MAP (
datain => rs_dataout_out_reg,
rotate => rotate_out_reg,
shiftright => shiftright_out_reg,
signa => signa_pip_reg,
signb => signb_pip_reg,
dataout => dataout_shift_rot
);
--Assign the dataout depENDing on the mode of operation
dataout_tmp <= coa_rs_dataout_out_reg when((operation_mode = "accumulator_chain_out")or(operation_mode = "two_level_adder_chain_out"))
ELSE dataout_shift_rot when (operation_mode = "shift")
ELSE rs_dataout_out_reg;
--Assign the loopbackout for loopback mode
loopbackout_tmp <= rs_dataout_out_reg when((operation_mode = "loopback") and (zeroloopback_out_reg = '0'))
ELSE (others => '0');
--Assign the saturation overflow output
saturation_overflow_tmp <= rs_saturation_overflow_out_reg when((operation_mode = "accumulator") or(operation_mode = "two_level_adder"))
ELSE coa_rs_saturation_overflow_out_reg when((operation_mode = "accumulator_chain_out")or(operation_mode = "two_level_adder_chain_out"))
ELSE '0';
--Assign the saturationchainout overflow output
saturationchainout_overflow_tmp <= coa_rs_saturationchainout_overflow_out_reg when((operation_mode = "accumulator_chain_out") or(operation_mode = "two_level_adder_chain_out"))
ELSE '0';
dataout <= (others => '0') WHEN (((operation_mode = "accumulator_chain_out")or(operation_mode = "two_level_adder_chain_out")) and (zerochainout_out_reg = '1'))
ELSE dataout_tmp;
loopbackout <= loopbackout_tmp(35 downto 18);
overflow <= saturation_overflow_tmp;
saturatechainoutoverflow <= saturationchainout_overflow_tmp;
END arch;
----------------------------------------------------------------------------
-- Module Name : stratixiii_io_pad
-- Description : Simulation model for stratixiii IO pad
----------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
ENTITY stratixiii_io_pad IS
GENERIC (
lpm_type : string := "stratixiii_io_pad");
PORT (
--INPUT PORTS
padin : IN std_logic := '0'; -- Input Pad
--OUTPUT PORTS
padout : OUT std_logic); -- Output Pad
END stratixiii_io_pad;
ARCHITECTURE arch OF stratixiii_io_pad IS
BEGIN
padout <= padin;
END arch;
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixiii_mn_cntr
--
-- Description : Timing simulation model for the M and N counter. This is a
-- common model for the input counter and the loop feedback
-- counter of the StratixII PLL.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.std_logic_arith.all;
USE IEEE.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY stratixiii_mn_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END stratixiii_mn_cntr;
ARCHITECTURE behave of stratixiii_mn_cntr is
begin
process (clk, reset)
variable count : integer := 1;
variable first_rising_edge : boolean := true;
variable tmp_cout : std_logic;
begin
if (reset = '1') then
count := 1;
tmp_cout := '0';
first_rising_edge := true;
elsif (clk'event) then
if (clk = '1' and first_rising_edge) then
first_rising_edge := false;
tmp_cout := clk;
elsif (not first_rising_edge) then
if (count < modulus) then
count := count + 1;
else
count := 1;
tmp_cout := not tmp_cout;
end if;
end if;
end if;
cout <= transport tmp_cout after time_delay * 1 ps;
end process;
end behave;
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixiii_scale_cntr
--
-- Description : Timing simulation model for the output scale-down counters.
-- This is a common model for the C0, C1, C2, C3, C4 and C5
-- output counters of the StratixII PLL.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
ENTITY stratixiii_scale_cntr is
PORT( clk : IN std_logic;
reset : IN std_logic := '0';
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0;
cout : OUT std_logic
);
END stratixiii_scale_cntr;
ARCHITECTURE behave of stratixiii_scale_cntr is
begin
process (clk, reset)
variable tmp_cout : std_logic := '0';
variable count : integer := 1;
variable output_shift_count : integer := 1;
variable first_rising_edge : boolean := false;
begin
if (reset = '1') then
count := 1;
output_shift_count := 1;
tmp_cout := '0';
first_rising_edge := false;
elsif (clk'event) then
if (mode = " off") then
tmp_cout := '0';
elsif (mode = "bypass") then
tmp_cout := clk;
first_rising_edge := true;
elsif (not first_rising_edge) then
if (clk = '1') then
if (output_shift_count = initial) then
tmp_cout := clk;
first_rising_edge := true;
else
output_shift_count := output_shift_count + 1;
end if;
end if;
elsif (output_shift_count < initial) then
if (clk = '1') then
output_shift_count := output_shift_count + 1;
end if;
else
count := count + 1;
if (mode = " even" and (count = (high*2) + 1)) then
tmp_cout := '0';
elsif (mode = " odd" and (count = high*2)) then
tmp_cout := '0';
elsif (count = (high + low)*2 + 1) then
tmp_cout := '1';
count := 1; -- reset count
end if;
end if;
end if;
cout <= transport tmp_cout;
end process;
end behave;
--BEGIN MF PORTING DELETE
--/////////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixiii_pll_reg
--
-- Description : Simulation model for a simple DFF.
-- This is required for the generation of the bit slip-signals.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;
ENTITY stratixiii_pll_reg is
PORT( clk : in std_logic;
ena : in std_logic := '1';
d : in std_logic;
clrn : in std_logic := '1';
prn : in std_logic := '1';
q : out std_logic
);
end stratixiii_pll_reg;
ARCHITECTURE behave of stratixiii_pll_reg is
begin
process (clk, prn, clrn)
variable q_reg : std_logic := '0';
begin
if (prn = '0') then
q_reg := '1';
elsif (clrn = '0') then
q_reg := '0';
elsif (clk'event and clk = '1' and (ena = '1')) then
q_reg := D;
end if;
Q <= q_reg;
end process;
end behave;
--END MF PORTING DELETE
--///////////////////////////////////////////////////////////////////////////
--
-- Entity Name : stratixiii_pll
--
-- Description : Timing simulation model for the StratixII PLL.
-- In the functional mode, it is also the model for the altpll
-- megafunction.
--
-- Limitations : Does not support Spread Spectrum and Bandwidth.
--
-- Outputs : Up to 10 output clocks, each defined by its own set of
-- parameters. Locked output (active high) indicates when the
-- PLL locks. clkbad and activeclock are used for
-- clock switchover to indicate which input clock has gone
-- bad, when the clock switchover initiates and which input
-- clock is being used as the reference, respectively.
-- scandataout is the data output of the serial scan chain.
--
--///////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE IEEE.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE STD.TEXTIO.all;
USE work.stratixiii_atom_pack.all;
USE work.stratixiii_pllpack.all;
USE work.stratixiii_mn_cntr;
USE work.stratixiii_scale_cntr;
USE work.stratixiii_dffe;
USE work.stratixiii_pll_reg;
-- New Features : The list below outlines key new features in STRATIXIII:
-- 1. Dynamic Phase Reconfiguration
-- 2. Dynamic PLL Reconfiguration (different protocol)
-- 3. More output counters
ENTITY stratixiii_pll is
GENERIC (
operation_mode : string := "normal";
pll_type : string := "auto"; -- AUTO/FAST/ENHANCED/LEFT_RIGHT/TOP_BOTTOM
compensate_clock : string := "clock0";
inclk0_input_frequency : integer := 0;
inclk1_input_frequency : integer := 0;
self_reset_on_loss_lock : string := "off";
switch_over_type : string := "auto";
switch_over_counter : integer := 1;
enable_switch_over_counter : string := "off";
dpa_multiply_by : integer := 0;
dpa_divide_by : integer := 0;
dpa_divider : integer := 0;
bandwidth : integer := 0;
bandwidth_type : string := "auto";
use_dc_coupling : string := "false";
lock_c : integer := 4;
sim_gate_lock_device_behavior : string := "off";
lock_high : integer := 0;
lock_low : integer := 0;
lock_window_ui : string := "0.05";
lock_window : time := 5 ps;
test_bypass_lock_detect : string := "off";
clk0_output_frequency : integer := 0;
clk0_multiply_by : integer := 0;
clk0_divide_by : integer := 0;
clk0_phase_shift : string := "0";
clk0_duty_cycle : integer := 50;
clk1_output_frequency : integer := 0;
clk1_multiply_by : integer := 0;
clk1_divide_by : integer := 0;
clk1_phase_shift : string := "0";
clk1_duty_cycle : integer := 50;
clk2_output_frequency : integer := 0;
clk2_multiply_by : integer := 0;
clk2_divide_by : integer := 0;
clk2_phase_shift : string := "0";
clk2_duty_cycle : integer := 50;
clk3_output_frequency : integer := 0;
clk3_multiply_by : integer := 0;
clk3_divide_by : integer := 0;
clk3_phase_shift : string := "0";
clk3_duty_cycle : integer := 50;
clk4_output_frequency : integer := 0;
clk4_multiply_by : integer := 0;
clk4_divide_by : integer := 0;
clk4_phase_shift : string := "0";
clk4_duty_cycle : integer := 50;
clk5_output_frequency : integer := 0;
clk5_multiply_by : integer := 0;
clk5_divide_by : integer := 0;
clk5_phase_shift : string := "0";
clk5_duty_cycle : integer := 50;
clk6_output_frequency : integer := 0;
clk6_multiply_by : integer := 0;
clk6_divide_by : integer := 0;
clk6_phase_shift : string := "0";
clk6_duty_cycle : integer := 50;
clk7_output_frequency : integer := 0;
clk7_multiply_by : integer := 0;
clk7_divide_by : integer := 0;
clk7_phase_shift : string := "0";
clk7_duty_cycle : integer := 50;
clk8_output_frequency : integer := 0;
clk8_multiply_by : integer := 0;
clk8_divide_by : integer := 0;
clk8_phase_shift : string := "0";
clk8_duty_cycle : integer := 50;
clk9_output_frequency : integer := 0;
clk9_multiply_by : integer := 0;
clk9_divide_by : integer := 0;
clk9_phase_shift : string := "0";
clk9_duty_cycle : integer := 50;
pfd_min : integer := 0;
pfd_max : integer := 0;
vco_min : integer := 0;
vco_max : integer := 0;
vco_center : integer := 0;
-- ADVANCED USER PARAMETERS
m_initial : integer := 1;
m : integer := 0;
n : integer := 1;
c0_high : integer := 1;
c0_low : integer := 1;
c0_initial : integer := 1;
c0_mode : string := "bypass";
c0_ph : integer := 0;
c1_high : integer := 1;
c1_low : integer := 1;
c1_initial : integer := 1;
c1_mode : string := "bypass";
c1_ph : integer := 0;
c2_high : integer := 1;
c2_low : integer := 1;
c2_initial : integer := 1;
c2_mode : string := "bypass";
c2_ph : integer := 0;
c3_high : integer := 1;
c3_low : integer := 1;
c3_initial : integer := 1;
c3_mode : string := "bypass";
c3_ph : integer := 0;
c4_high : integer := 1;
c4_low : integer := 1;
c4_initial : integer := 1;
c4_mode : string := "bypass";
c4_ph : integer := 0;
c5_high : integer := 1;
c5_low : integer := 1;
c5_initial : integer := 1;
c5_mode : string := "bypass";
c5_ph : integer := 0;
c6_high : integer := 1;
c6_low : integer := 1;
c6_initial : integer := 1;
c6_mode : string := "bypass";
c6_ph : integer := 0;
c7_high : integer := 1;
c7_low : integer := 1;
c7_initial : integer := 1;
c7_mode : string := "bypass";
c7_ph : integer := 0;
c8_high : integer := 1;
c8_low : integer := 1;
c8_initial : integer := 1;
c8_mode : string := "bypass";
c8_ph : integer := 0;
c9_high : integer := 1;
c9_low : integer := 1;
c9_initial : integer := 1;
c9_mode : string := "bypass";
c9_ph : integer := 0;
m_ph : integer := 0;
clk0_counter : string := "unused";
clk1_counter : string := "unused";
clk2_counter : string := "unused";
clk3_counter : string := "unused";
clk4_counter : string := "unused";
clk5_counter : string := "unused";
clk6_counter : string := "unused";
clk7_counter : string := "unused";
clk8_counter : string := "unused";
clk9_counter : string := "unused";
c1_use_casc_in : string := "off";
c2_use_casc_in : string := "off";
c3_use_casc_in : string := "off";
c4_use_casc_in : string := "off";
c5_use_casc_in : string := "off";
c6_use_casc_in : string := "off";
c7_use_casc_in : string := "off";
c8_use_casc_in : string := "off";
c9_use_casc_in : string := "off";
m_test_source : integer := -1;
c0_test_source : integer := -1;
c1_test_source : integer := -1;
c2_test_source : integer := -1;
c3_test_source : integer := -1;
c4_test_source : integer := -1;
c5_test_source : integer := -1;
c6_test_source : integer := -1;
c7_test_source : integer := -1;
c8_test_source : integer := -1;
c9_test_source : integer := -1;
vco_multiply_by : integer := 0;
vco_divide_by : integer := 0;
vco_post_scale : integer := 1;
vco_frequency_control : string := "auto";
vco_phase_shift_step : integer := 0;
charge_pump_current : integer := 10;
loop_filter_r : string := " 1.0";
loop_filter_c : integer := 0;
pll_compensation_delay : integer := 0;
simulation_type : string := "functional";
lpm_type : string := "stratixiii_pll";
clk0_use_even_counter_mode : string := "off";
clk1_use_even_counter_mode : string := "off";
clk2_use_even_counter_mode : string := "off";
clk3_use_even_counter_mode : string := "off";
clk4_use_even_counter_mode : string := "off";
clk5_use_even_counter_mode : string := "off";
clk6_use_even_counter_mode : string := "off";
clk7_use_even_counter_mode : string := "off";
clk8_use_even_counter_mode : string := "off";
clk9_use_even_counter_mode : string := "off";
clk0_use_even_counter_value : string := "off";
clk1_use_even_counter_value : string := "off";
clk2_use_even_counter_value : string := "off";
clk3_use_even_counter_value : string := "off";
clk4_use_even_counter_value : string := "off";
clk5_use_even_counter_value : string := "off";
clk6_use_even_counter_value : string := "off";
clk7_use_even_counter_value : string := "off";
clk8_use_even_counter_value : string := "off";
clk9_use_even_counter_value : string := "off";
-- Test only
init_block_reset_a_count : integer := 1;
init_block_reset_b_count : integer := 1;
charge_pump_current_bits : integer := 0;
lock_window_ui_bits : integer := 0;
loop_filter_c_bits : integer := 0;
loop_filter_r_bits : integer := 0;
test_counter_c0_delay_chain_bits : integer := 0;
test_counter_c1_delay_chain_bits : integer := 0;
test_counter_c2_delay_chain_bits : integer := 0;
test_counter_c3_delay_chain_bits : integer := 0;
test_counter_c4_delay_chain_bits : integer := 0;
test_counter_c5_delay_chain_bits : integer := 0;
test_counter_c6_delay_chain_bits : integer := 0;
test_counter_c7_delay_chain_bits : integer := 0;
test_counter_c8_delay_chain_bits : integer := 0;
test_counter_c9_delay_chain_bits : integer := 0;
test_counter_m_delay_chain_bits : integer := 0;
test_counter_n_delay_chain_bits : integer := 0;
test_feedback_comp_delay_chain_bits : integer := 0;
test_input_comp_delay_chain_bits : integer := 0;
test_volt_reg_output_mode_bits : integer := 0;
test_volt_reg_output_voltage_bits : integer := 0;
test_volt_reg_test_mode : string := "false";
vco_range_detector_high_bits : integer := -1;
vco_range_detector_low_bits : integer := -1;
scan_chain_mif_file : string := "";
dpa_output_clock_phase_shift : integer := 0;
test_counter_c3_sclk_delay_chain_bits : integer := -1;
test_counter_c4_sclk_delay_chain_bits : integer := -1;
test_counter_c5_lden_delay_chain_bits : integer := -1;
test_counter_c6_lden_delay_chain_bits : integer := -1;
auto_settings : string := "true";
-- Simulation only generics
family_name : string := "StratixIII";
-- VITAL generics
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := true;
InstancePath : STRING := "*";
tipd_inclk : VitalDelayArrayType01(1 downto 0) := (OTHERS => DefPropDelay01);
tipd_ena : VitalDelayType01 := DefPropDelay01;
tipd_pfdena : VitalDelayType01 := DefPropDelay01;
tipd_areset : VitalDelayType01 := DefPropDelay01;
tipd_fbin : VitalDelayType01 := DefPropDelay01;
tipd_scanclk : VitalDelayType01 := DefPropDelay01;
tipd_scanclkena : VitalDelayType01 := DefPropDelay01;
tipd_scandata : VitalDelayType01 := DefPropDelay01;
tipd_configupdate : VitalDelayType01 := DefPropDelay01;
tipd_clkswitch : VitalDelayType01 := DefPropDelay01;
tipd_phaseupdown : VitalDelayType01 := DefPropDelay01;
tipd_phasecounterselect : VitalDelayArrayType01(3 DOWNTO 0) := (OTHERS => DefPropDelay01);
tipd_phasestep : VitalDelayType01 := DefPropDelay01;
tsetup_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scandata_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_scanclkena_scanclk_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
use_vco_bypass : string := "false"
);
PORT
(
inclk : in std_logic_vector(1 downto 0);
fbin : in std_logic := '0';
fbout : out std_logic;
clkswitch : in std_logic := '0';
areset : in std_logic := '0';
pfdena : in std_logic := '1';
scandata : in std_logic := '0';
scanclk : in std_logic := '0';
scanclkena : in std_logic := '1';
configupdate : in std_logic := '0';
clk : out std_logic_vector(9 downto 0);
phasecounterselect : in std_logic_vector(3 downto 0) := "0000";
phaseupdown : in std_logic := '0';
phasestep : in std_logic := '0';
clkbad : out std_logic_vector(1 downto 0);
activeclock : out std_logic;
locked : out std_logic;
scandataout : out std_logic;
scandone : out std_logic;
phasedone : out std_logic;
vcooverrange : out std_logic;
vcounderrange : out std_logic
);
END stratixiii_pll;
ARCHITECTURE vital_pll of stratixiii_pll is
TYPE int_array is ARRAY(NATURAL RANGE <>) of integer;
TYPE str_array is ARRAY(NATURAL RANGE <>) of string(1 to 6);
TYPE str_array1 is ARRAY(NATURAL RANGE <>) of string(1 to 9);
TYPE std_logic_array is ARRAY(NATURAL RANGE <>) of std_logic;
-- internal advanced parameter signals
signal i_vco_min : integer := vco_min * (vco_post_scale/2);
signal i_vco_max : integer := vco_max * (vco_post_scale/2);
signal i_vco_center : integer;
signal i_pfd_min : integer;
signal i_pfd_max : integer;
signal c_ph_val : int_array(0 to 9) := (OTHERS => 0);
signal c_ph_val_tmp : int_array(0 to 9) := (OTHERS => 0);
signal c_high_val : int_array(0 to 9) := (OTHERS => 1);
signal c_low_val : int_array(0 to 9) := (OTHERS => 1);
signal c_initial_val : int_array(0 to 9) := (OTHERS => 1);
signal c_mode_val : str_array(0 to 9);
signal clk_num : str_array(0 to 9);
-- old values
signal c_high_val_old : int_array(0 to 9) := (OTHERS => 1);
signal c_low_val_old : int_array(0 to 9) := (OTHERS => 1);
signal c_ph_val_old : int_array(0 to 9) := (OTHERS => 0);
signal c_mode_val_old : str_array(0 to 9);
-- hold registers
signal c_high_val_hold : int_array(0 to 9) := (OTHERS => 1);
signal c_low_val_hold : int_array(0 to 9) := (OTHERS => 1);
signal c_ph_val_hold : int_array(0 to 9) := (OTHERS => 0);
signal c_mode_val_hold : str_array(0 to 9);
-- temp registers
signal sig_c_ph_val_tmp : int_array(0 to 9) := (OTHERS => 0);
signal c_ph_val_orig : int_array(0 to 9) := (OTHERS => 0);
signal i_clk9_counter : integer := 9;
signal i_clk8_counter : integer := 8;
signal i_clk7_counter : integer := 7;
signal i_clk6_counter : integer := 6;
signal i_clk5_counter : integer := 5;
signal real_lock_high : integer := 0;
signal i_clk4_counter : integer := 4;
signal i_clk3_counter : integer := 3;
signal i_clk2_counter : integer := 2;
signal i_clk1_counter : integer := 1;
signal i_clk0_counter : integer := 0;
signal i_charge_pump_current : integer;
signal i_loop_filter_r : integer;
-- end internal advanced parameter signals
-- CONSTANTS
CONSTANT SCAN_CHAIN : integer := 144;
CONSTANT GPP_SCAN_CHAIN : integer := 234;
CONSTANT FAST_SCAN_CHAIN : integer := 180;
CONSTANT cntrs : str_array(9 downto 0) := (" C9", " C8", " C7", " C6", " C5", " C4", " C3", " C2", " C1", " C0");
CONSTANT ss_cntrs : str_array(0 to 3) := (" M", " M2", " N", " N2");
CONSTANT loop_filter_c_arr : int_array(0 to 3) := (0,0,0,0);
CONSTANT fpll_loop_filter_c_arr : int_array(0 to 3) := (0,0,0,0);
CONSTANT charge_pump_curr_arr : int_array(0 to 15) := (0,0,0,0,0,0,0,0,0,0,0,0,0,0,0,0);
CONSTANT num_phase_taps : integer := 8;
-- signals
signal vcc : std_logic := '1';
signal fbclk : std_logic;
signal refclk : std_logic;
signal vco_over : std_logic := '0';
signal vco_under : std_logic := '1';
signal pll_locked : boolean := false;
signal c_clk : std_logic_array(0 to 9);
signal vco_out : std_logic_vector(7 downto 0) := (OTHERS => '0');
-- signals to assign values to counter params
signal m_val : integer := 1;
signal n_val : integer := 1;
signal m_ph_val : integer := 0;
signal m_ph_initial : integer := 0;
signal m_ph_val_tmp : integer := 0;
signal m_initial_val : integer := m_initial;
signal m_mode_val : string(1 to 6) := " ";
signal n_mode_val : string(1 to 6) := " ";
signal lfc_val : integer := 0;
signal vco_cur : integer := vco_post_scale;
signal cp_curr_val : integer := 0;
signal lfr_val : string(1 to 2) := " ";
signal cp_curr_old_bit_setting : integer := charge_pump_current_bits;
signal cp_curr_val_bit_setting : std_logic_vector(2 downto 0) := (OTHERS => '0');
signal lfr_old_bit_setting : integer := loop_filter_r_bits;
signal lfr_val_bit_setting : std_logic_vector(4 downto 0) := (OTHERS => '0');
signal lfc_old_bit_setting : integer := loop_filter_c_bits;
signal lfc_val_bit_setting : std_logic_vector(1 downto 0) := (OTHERS => '0');
signal pll_reconfig_display_full_setting : boolean := FALSE; -- display full setting, change to true
-- old values
signal m_val_old : integer := 1;
signal n_val_old : integer := 1;
signal m_mode_val_old : string(1 to 6) := " ";
signal n_mode_val_old : string(1 to 6) := " ";
signal m_ph_val_old : integer := 0;
signal lfc_old : integer := 0;
signal vco_old : integer := 0;
signal cp_curr_old : integer := 0;
signal lfr_old : string(1 to 2) := " ";
signal num_output_cntrs : integer := 10;
signal scanclk_period : time := 1 ps;
signal scan_data : std_logic_vector(0 to 233) := (OTHERS => '0');
signal clk_pfd : std_logic_vector(0 to 9);
signal clk0_tmp : std_logic;
signal clk1_tmp : std_logic;
signal clk2_tmp : std_logic;
signal clk3_tmp : std_logic;
signal clk4_tmp : std_logic;
signal clk5_tmp : std_logic;
signal clk6_tmp : std_logic;
signal clk7_tmp : std_logic;
signal clk8_tmp : std_logic;
signal clk9_tmp : std_logic;
signal update_conf_latches : std_logic := '0';
signal update_conf_latches_reg : std_logic := '0';
signal clkin : std_logic := '0';
signal gate_locked : std_logic := '0';
signal pfd_locked : std_logic := '0';
signal lock : std_logic := '0';
signal about_to_lock : boolean := false;
signal reconfig_err : boolean := false;
signal inclk_c0 : std_logic;
signal inclk_c1 : std_logic;
signal inclk_c2 : std_logic;
signal inclk_c3 : std_logic;
signal inclk_c4 : std_logic;
signal inclk_c5 : std_logic;
signal inclk_c6 : std_logic;
signal inclk_c7 : std_logic;
signal inclk_c8 : std_logic;
signal inclk_c9 : std_logic;
signal inclk_m : std_logic;
signal devpor : std_logic;
signal devclrn : std_logic;
signal inclk0_ipd : std_logic;
signal inclk1_ipd : std_logic;
signal pfdena_ipd : std_logic;
signal areset_ipd : std_logic;
signal fbin_ipd : std_logic;
signal scanclk_ipd : std_logic;
signal scanclkena_ipd, scanclkena_reg : std_logic;
signal scandata_ipd : std_logic;
signal clkswitch_ipd : std_logic;
signal phasecounterselect_ipd : std_logic_vector(3 downto 0);
signal phaseupdown_ipd : std_logic;
signal phasestep_ipd : std_logic;
signal configupdate_ipd : std_logic;
-- registered signals
signal sig_offset : time := 0 ps;
signal sig_refclk_time : time := 0 ps;
signal sig_fbclk_period : time := 0 ps;
signal sig_vco_period_was_phase_adjusted : boolean := false;
signal sig_phase_adjust_was_scheduled : boolean := false;
signal sig_stop_vco : std_logic := '0';
signal sig_m_times_vco_period : time := 0 ps;
signal sig_new_m_times_vco_period : time := 0 ps;
signal sig_got_refclk_posedge : boolean := false;
signal sig_got_fbclk_posedge : boolean := false;
signal sig_got_second_refclk : boolean := false;
signal m_delay : integer := 0;
signal n_delay : integer := 0;
signal inclk1_tmp : std_logic := '0';
signal reset_low : std_logic := '0';
-- Phase Reconfig
SIGNAL phasecounterselect_reg : std_logic_vector(3 DOWNTO 0);
SIGNAL phaseupdown_reg : std_logic := '0';
SIGNAL phasestep_reg : std_logic := '0';
SIGNAL phasestep_high_count : integer := 0;
SIGNAL update_phase : std_logic := '0';
signal scandataout_tmp : std_logic := '0';
signal scandata_in : std_logic := '0';
signal scandata_out : std_logic := '0';
signal scandone_tmp : std_logic := '1';
signal initiate_reconfig : std_logic := '0';
signal sig_refclk_period : time := (inclk0_input_frequency * 1 ps) * n;
signal schedule_vco : std_logic := '0';
signal areset_ena_sig : std_logic := '0';
signal pll_in_test_mode : boolean := false;
signal pll_has_just_been_reconfigured : boolean := false;
signal inclk_c_from_vco : std_logic_array(0 to 9);
signal inclk_m_from_vco : std_logic;
SIGNAL inclk0_period : time := 0 ps;
SIGNAL last_inclk0_period : time := 0 ps;
SIGNAL last_inclk0_edge : time := 0 ps;
SIGNAL first_inclk0_edge_detect : STD_LOGIC := '0';
SIGNAL inclk1_period : time := 0 ps;
SIGNAL last_inclk1_period : time := 0 ps;
SIGNAL last_inclk1_edge : time := 0 ps;
SIGNAL first_inclk1_edge_detect : STD_LOGIC := '0';
COMPONENT stratixiii_mn_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial_value : IN integer := 1;
modulus : IN integer := 1;
time_delay : IN integer := 0
);
END COMPONENT;
COMPONENT stratixiii_scale_cntr
PORT (
clk : IN std_logic;
reset : IN std_logic := '0';
cout : OUT std_logic;
initial : IN integer := 1;
high : IN integer := 1;
low : IN integer := 1;
mode : IN string := "bypass";
ph_tap : IN integer := 0
);
END COMPONENT;
COMPONENT stratixiii_dffe
GENERIC(
TimingChecksOn: Boolean := true;
InstancePath: STRING := "*";
XOn: Boolean := DefGlitchXOn;
MsgOn: Boolean := DefGlitchMsgOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks;
tpd_PRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLRN_Q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_CLK_Q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_ENA_Q_posedge : VitalDelayType01 := DefPropDelay01;
tsetup_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
tsetup_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_D_CLK_noedge_negedge : VitalDelayType := DefSetupHoldCnst;
thold_ENA_CLK_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tipd_D : VitalDelayType01 := DefPropDelay01;
tipd_CLRN : VitalDelayType01 := DefPropDelay01;
tipd_PRN : VitalDelayType01 := DefPropDelay01;
tipd_CLK : VitalDelayType01 := DefPropDelay01;
tipd_ENA : VitalDelayType01 := DefPropDelay01);
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
COMPONENT stratixiii_pll_reg
PORT(
Q : out STD_LOGIC := '0';
D : in STD_LOGIC := '1';
CLRN : in STD_LOGIC := '1';
PRN : in STD_LOGIC := '1';
CLK : in STD_LOGIC := '0';
ENA : in STD_LOGIC := '1');
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (inclk0_ipd, inclk(0), tipd_inclk(0));
VitalWireDelay (inclk1_ipd, inclk(1), tipd_inclk(1));
VitalWireDelay (areset_ipd, areset, tipd_areset);
VitalWireDelay (fbin_ipd, fbin, tipd_fbin);
VitalWireDelay (pfdena_ipd, pfdena, tipd_pfdena);
VitalWireDelay (scanclk_ipd, scanclk, tipd_scanclk);
VitalWireDelay (scanclkena_ipd, scanclkena, tipd_scanclkena);
VitalWireDelay (scandata_ipd, scandata, tipd_scandata);
VitalWireDelay (configupdate_ipd, configupdate, tipd_configupdate);
VitalWireDelay (clkswitch_ipd, clkswitch, tipd_clkswitch);
VitalWireDelay (phaseupdown_ipd, phaseupdown, tipd_phaseupdown);
VitalWireDelay (phasestep_ipd, phasestep, tipd_phasestep);
VitalWireDelay (phasecounterselect_ipd(0), phasecounterselect(0), tipd_phasecounterselect(0));
VitalWireDelay (phasecounterselect_ipd(1), phasecounterselect(1), tipd_phasecounterselect(1));
VitalWireDelay (phasecounterselect_ipd(2), phasecounterselect(2), tipd_phasecounterselect(2));
VitalWireDelay (phasecounterselect_ipd(3), phasecounterselect(3), tipd_phasecounterselect(3));
end block;
inclk_m <= fbclk when m_test_source = 0 else
refclk when m_test_source = 1 else
inclk_m_from_vco;
areset_ena_sig <= areset_ipd or sig_stop_vco;
pll_in_test_mode <= true when (m_test_source /= -1 or c0_test_source /= -1 or
c1_test_source /= -1 or c2_test_source /= -1 or
c3_test_source /= -1 or c4_test_source /= -1 or
c5_test_source /= -1 or c6_test_source /= -1 or
c7_test_source /= -1 or c8_test_source /= -1 or
c9_test_source /= -1)
else
false;
real_lock_high <= lock_high WHEN (sim_gate_lock_device_behavior = "on") ELSE 0;
m1 : stratixiii_mn_cntr
port map ( clk => inclk_m,
reset => areset_ena_sig,
cout => fbclk,
initial_value => m_initial_val,
modulus => m_val,
time_delay => m_delay
);
-- add delta delay to inclk1 to ensure inclk0 and inclk1 are processed
-- in different simulation deltas.
inclk1_tmp <= inclk1_ipd;
-- Calculate the inclk0 period
PROCESS
VARIABLE inclk0_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (inclk0_ipd'EVENT AND inclk0_ipd = '1');
IF (first_inclk0_edge_detect = '0') THEN
first_inclk0_edge_detect <= '1';
ELSE
last_inclk0_period <= inclk0_period;
inclk0_period_tmp := NOW - last_inclk0_edge;
END IF;
last_inclk0_edge <= NOW;
inclk0_period <= inclk0_period_tmp;
END PROCESS;
-- Calculate the inclk1 period
PROCESS
VARIABLE inclk1_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (inclk1_ipd'EVENT AND inclk1_ipd = '1');
IF (first_inclk1_edge_detect = '0') THEN
first_inclk1_edge_detect <= '1';
ELSE
last_inclk1_period <= inclk1_period;
inclk1_period_tmp := NOW - last_inclk1_edge;
END IF;
last_inclk1_edge <= NOW;
inclk1_period <= inclk1_period_tmp;
END PROCESS;
process (inclk0_ipd, inclk1_tmp, clkswitch_ipd)
variable input_value : std_logic := '0';
variable current_clock : integer := 0;
variable clk0_count, clk1_count : integer := 0;
variable clk0_is_bad, clk1_is_bad : std_logic := '0';
variable primary_clk_is_bad : boolean := false;
variable current_clk_is_bad : boolean := false;
variable got_curr_clk_falling_edge_after_clkswitch : boolean := false;
variable switch_over_count : integer := 0;
variable active_clock : std_logic := '0';
variable external_switch : boolean := false;
variable diff_percent_period : integer := 0;
variable buf : line;
variable switch_clock : boolean := false;
begin
if (now = 0 ps) then
if (switch_over_type = "manual" and clkswitch_ipd = '1') then
current_clock := 1;
active_clock := '1';
end if;
end if;
if (clkswitch_ipd'event and clkswitch_ipd = '1' and switch_over_type = "auto") then
external_switch := true;
elsif (switch_over_type = "manual") then
if (clkswitch_ipd'event and clkswitch_ipd = '1') then
switch_clock := true;
elsif (clkswitch_ipd'event and clkswitch_ipd = '0') then
switch_clock := false;
end if;
end if;
if (switch_clock = true) then
if (inclk0_ipd'event or inclk1_tmp'event) then
if (current_clock = 0) then
current_clock := 1;
active_clock := '1';
clkin <= transport inclk1_tmp;
elsif (current_clock = 1) then
current_clock := 0;
active_clock := '0';
clkin <= transport inclk0_ipd;
end if;
switch_clock := false;
end if;
end if;
-- save the current inclk event value
if (inclk0_ipd'event) then
input_value := inclk0_ipd;
elsif (inclk1_tmp'event) then
input_value := inclk1_tmp;
end if;
-- check if either input clk is bad
if (inclk0_ipd'event and inclk0_ipd = '1') then
clk0_count := clk0_count + 1;
clk0_is_bad := '0';
clk1_count := 0;
if (clk0_count > 2) then
-- no event on other clk for 2 cycles
clk1_is_bad := '1';
if (current_clock = 1) then
current_clk_is_bad := true;
end if;
end if;
end if;
if (inclk1_tmp'event and inclk1_tmp = '1') then
clk1_count := clk1_count + 1;
clk1_is_bad := '0';
clk0_count := 0;
if (clk1_count > 2) then
-- no event on other clk for 2 cycles
clk0_is_bad := '1';
if (current_clock = 0) then
current_clk_is_bad := true;
end if;
end if;
end if;
-- check if the bad clk is the primary clock
if (clk0_is_bad = '1') then
primary_clk_is_bad := true;
else
primary_clk_is_bad := false;
end if;
-- actual switching
if (inclk0_ipd'event and current_clock = 0) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk0_ipd = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk0_ipd;
end if;
else
clkin <= transport inclk0_ipd;
end if;
elsif (inclk1_tmp'event and current_clock = 1) then
if (external_switch) then
if (not got_curr_clk_falling_edge_after_clkswitch) then
if (inclk1_tmp = '0') then
got_curr_clk_falling_edge_after_clkswitch := true;
end if;
clkin <= transport inclk1_tmp;
end if;
else
clkin <= transport inclk1_tmp;
end if;
else
if (input_value = '1' and enable_switch_over_counter = "on" and primary_clk_is_bad) then
switch_over_count := switch_over_count + 1;
end if;
if ((input_value = '0')) then
if (external_switch and (got_curr_clk_falling_edge_after_clkswitch or current_clk_is_bad)) or (primary_clk_is_bad and clkswitch_ipd /= '1' and (enable_switch_over_counter = "off" or switch_over_count = switch_over_counter)) then
got_curr_clk_falling_edge_after_clkswitch := false;
if(inclk0_period > inclk1_period) then
diff_percent_period := (( inclk0_period - inclk1_period ) * 100) / inclk1_period;
else
diff_percent_period := (( inclk1_period - inclk0_period ) * 100) / inclk0_period;
end if;
if((diff_percent_period > 20)and ( switch_over_type = "auto")) then
WRITE(buf,string'("Warning : The input clock frequencies specified for the specified PLL are too far apart for auto-switch-over feature to work properly. Please make sure that the clock frequencies are 20 percent apart for correct functionality."));
writeline(output, buf);
end if;
if (current_clock = 0) then
current_clock := 1;
else
current_clock := 0;
end if;
active_clock := not active_clock;
switch_over_count := 0;
external_switch := false;
current_clk_is_bad := false;
else
if(switch_over_type = "auto") then
if(current_clock = 0 and clk0_is_bad = '1' and clk1_is_bad = '0' ) then
current_clock := 1;
active_clock := not active_clock;
end if;
if(current_clock = 1 and clk0_is_bad = '0' and clk1_is_bad = '1' ) then
current_clock := 0;
active_clock := not active_clock;
end if;
end if;
end if;
end if;
end if;
-- schedule outputs
clkbad(0) <= clk0_is_bad;
clkbad(1) <= clk1_is_bad;
activeclock <= active_clock;
end process;
n1 : stratixiii_mn_cntr
port map (
clk => clkin,
reset => areset_ipd,
cout => refclk,
initial_value => n_val,
modulus => n_val);
inclk_c0 <= refclk when c0_test_source = 1 else
fbclk when c0_test_source = 0 else
inclk_c_from_vco(0);
c0 : stratixiii_scale_cntr
port map (
clk => inclk_c0,
reset => areset_ena_sig,
cout => c_clk(0),
initial => c_initial_val(0),
high => c_high_val(0),
low => c_low_val(0),
mode => c_mode_val(0),
ph_tap => c_ph_val(0));
inclk_c1 <= refclk when c1_test_source = 1 else
fbclk when c1_test_source = 0 else
c_clk(0) when c1_use_casc_in = "on" else
inclk_c_from_vco(1);
c1 : stratixiii_scale_cntr
port map (
clk => inclk_c1,
reset => areset_ena_sig,
cout => c_clk(1),
initial => c_initial_val(1),
high => c_high_val(1),
low => c_low_val(1),
mode => c_mode_val(1),
ph_tap => c_ph_val(1));
inclk_c2 <= refclk when c2_test_source = 1 else
fbclk when c2_test_source = 0 else
c_clk(1) when c2_use_casc_in = "on" else
inclk_c_from_vco(2);
c2 : stratixiii_scale_cntr
port map (
clk => inclk_c2,
reset => areset_ena_sig,
cout => c_clk(2),
initial => c_initial_val(2),
high => c_high_val(2),
low => c_low_val(2),
mode => c_mode_val(2),
ph_tap => c_ph_val(2));
inclk_c3 <= refclk when c3_test_source = 1 else
fbclk when c3_test_source = 0 else
c_clk(2) when c3_use_casc_in = "on" else
inclk_c_from_vco(3);
c3 : stratixiii_scale_cntr
port map (
clk => inclk_c3,
reset => areset_ena_sig,
cout => c_clk(3),
initial => c_initial_val(3),
high => c_high_val(3),
low => c_low_val(3),
mode => c_mode_val(3),
ph_tap => c_ph_val(3));
inclk_c4 <= refclk when c4_test_source = 1 else
fbclk when c4_test_source = 0 else
c_clk(3) when (c4_use_casc_in = "on") else
inclk_c_from_vco(4);
c4 : stratixiii_scale_cntr
port map (
clk => inclk_c4,
reset => areset_ena_sig,
cout => c_clk(4),
initial => c_initial_val(4),
high => c_high_val(4),
low => c_low_val(4),
mode => c_mode_val(4),
ph_tap => c_ph_val(4));
inclk_c5 <= refclk when c5_test_source = 1 else
fbclk when c5_test_source = 0 else
c_clk(4) when c5_use_casc_in = "on" else
inclk_c_from_vco(5);
c5 : stratixiii_scale_cntr
port map (
clk => inclk_c5,
reset => areset_ena_sig,
cout => c_clk(5),
initial => c_initial_val(5),
high => c_high_val(5),
low => c_low_val(5),
mode => c_mode_val(5),
ph_tap => c_ph_val(5));
inclk_c6 <= refclk when c6_test_source = 1 else
fbclk when c6_test_source = 0 else
c_clk(5) when c6_use_casc_in = "on" else
inclk_c_from_vco(6);
c6 : stratixiii_scale_cntr
port map (
clk => inclk_c6,
reset => areset_ena_sig,
cout => c_clk(6),
initial => c_initial_val(6),
high => c_high_val(6),
low => c_low_val(6),
mode => c_mode_val(6),
ph_tap => c_ph_val(6));
inclk_c7 <= refclk when c7_test_source = 1 else
fbclk when c7_test_source = 0 else
c_clk(6) when c7_use_casc_in = "on" else
inclk_c_from_vco(7);
c7 : stratixiii_scale_cntr
port map (
clk => inclk_c7,
reset => areset_ena_sig,
cout => c_clk(7),
initial => c_initial_val(7),
high => c_high_val(7),
low => c_low_val(7),
mode => c_mode_val(7),
ph_tap => c_ph_val(7));
inclk_c8 <= refclk when c8_test_source = 1 else
fbclk when c8_test_source = 0 else
c_clk(7) when c8_use_casc_in = "on" else
inclk_c_from_vco(8);
c8 : stratixiii_scale_cntr
port map (
clk => inclk_c8,
reset => areset_ena_sig,
cout => c_clk(8),
initial => c_initial_val(8),
high => c_high_val(8),
low => c_low_val(8),
mode => c_mode_val(8),
ph_tap => c_ph_val(8));
inclk_c9 <= refclk when c9_test_source = 1 else
fbclk when c9_test_source = 0 else
c_clk(8) when c9_use_casc_in = "on" else
inclk_c_from_vco(9);
c9 : stratixiii_scale_cntr
port map (
clk => inclk_c9,
reset => areset_ena_sig,
cout => c_clk(9),
initial => c_initial_val(9),
high => c_high_val(9),
low => c_low_val(9),
mode => c_mode_val(9),
ph_tap => c_ph_val(9));
process(scandone_tmp, lock)
begin
if (scandone_tmp'event and (scandone_tmp = '1')) then
pll_has_just_been_reconfigured <= true;
elsif (lock'event and (lock = '1')) then
pll_has_just_been_reconfigured <= false;
end if;
end process;
process(inclk_c0, inclk_c1, areset_ipd, sig_stop_vco)
variable c0_got_first_rising_edge : boolean := false;
variable c0_count : integer := 2;
variable c0_initial_count : integer := 1;
variable c0_tmp, c1_tmp : std_logic := '0';
variable c1_got_first_rising_edge : boolean := false;
variable c1_count : integer := 2;
variable c1_initial_count : integer := 1;
begin
if (areset_ipd = '1' or sig_stop_vco = '1') then
c0_count := 2;
c1_count := 2;
c0_initial_count := 1;
c1_initial_count := 1;
c0_got_first_rising_edge := false;
c1_got_first_rising_edge := false;
else
if (not c0_got_first_rising_edge) then
if (inclk_c0'event and inclk_c0 = '1') then
if (c0_initial_count = c_initial_val(0)) then
c0_got_first_rising_edge := true;
else
c0_initial_count := c0_initial_count + 1;
end if;
end if;
elsif (inclk_c0'event) then
c0_count := c0_count + 1;
if (c0_count = (c_high_val(0) + c_low_val(0)) * 2) then
c0_count := 1;
end if;
end if;
if (inclk_c0'event and inclk_c0 = '0') then
if (c0_count = 1) then
c0_tmp := '1';
c0_got_first_rising_edge := false;
else
c0_tmp := '0';
end if;
end if;
if (not c1_got_first_rising_edge) then
if (inclk_c1'event and inclk_c1 = '1') then
if (c1_initial_count = c_initial_val(1)) then
c1_got_first_rising_edge := true;
else
c1_initial_count := c1_initial_count + 1;
end if;
end if;
elsif (inclk_c1'event) then
c1_count := c1_count + 1;
if (c1_count = (c_high_val(1) + c_low_val(1)) * 2) then
c1_count := 1;
end if;
end if;
if (inclk_c1'event and inclk_c1 = '0') then
if (c1_count = 1) then
c1_tmp := '1';
c1_got_first_rising_edge := false;
else
c1_tmp := '0';
end if;
end if;
end if;
end process;
locked <= pfd_locked WHEN (test_bypass_lock_detect = "on") ELSE
lock;
process (scandone_tmp)
variable buf : line;
begin
if (scandone_tmp'event and scandone_tmp = '1') then
if (reconfig_err = false) then
ASSERT false REPORT "PLL Reprogramming completed with the following values (Values in parantheses indicate values before reprogramming) :" severity note;
write (buf, string'(" N modulus = "));
write (buf, n_val);
write (buf, string'(" ( "));
write (buf, n_val_old);
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M modulus = "));
write (buf, m_val);
write (buf, string'(" ( "));
write (buf, m_val_old);
write (buf, string'(" )"));
writeline (output, buf);
write (buf, string'(" M ph_tap = "));
write (buf, m_ph_val);
write (buf, string'(" ( "));
write (buf, m_ph_val_old);
write (buf, string'(" )"));
writeline (output, buf);
for i in 0 to (num_output_cntrs-1) loop
write (buf, clk_num(i));
write (buf, string'(" : "));
write (buf, cntrs(i));
write (buf, string'(" : high = "));
write (buf, c_high_val(i));
write (buf, string'(" ("));
write (buf, c_high_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , low = "));
write (buf, c_low_val(i));
write (buf, string'(" ("));
write (buf, c_low_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , mode = "));
write (buf, c_mode_val(i));
write (buf, string'(" ("));
write (buf, c_mode_val_old(i));
write (buf, string'(") "));
write (buf, string'(" , phase tap = "));
write (buf, c_ph_val(i));
write (buf, string'(" ("));
write (buf, c_ph_val_old(i));
write (buf, string'(") "));
writeline(output, buf);
end loop;
IF (pll_reconfig_display_full_setting) THEN
write (buf, string'(" Charge Pump Current (uA) = "));
write (buf, cp_curr_val);
write (buf, string'(" ( "));
write (buf, cp_curr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (pF) = "));
write (buf, lfc_val);
write (buf, string'(" ( "));
write (buf, lfc_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (Kohm) = "));
write (buf, lfr_val);
write (buf, string'(" ( "));
write (buf, lfr_old);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" VCO_Post_Scale = "));
write (buf, vco_cur);
write (buf, string'(" ( "));
write (buf, vco_old);
write (buf, string'(" ) "));
writeline (output, buf);
ELSE
write (buf, string'(" Charge Pump Current (bit setting) = "));
write (buf, alt_conv_integer(cp_curr_val_bit_setting));
write (buf, string'(" ( "));
write (buf, cp_curr_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Capacitor (bit setting) = "));
write (buf, alt_conv_integer(lfc_val_bit_setting));
write (buf, string'(" ( "));
write (buf, lfc_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" Loop Filter Resistor (bit setting) = "));
write (buf, alt_conv_integer(lfr_val_bit_setting));
write (buf, string'(" ( "));
write (buf, lfr_old_bit_setting);
write (buf, string'(" ) "));
writeline (output, buf);
write (buf, string'(" VCO_Post_Scale = "));
write (buf, vco_cur);
write (buf, string'(" ( "));
write (buf, vco_old);
write (buf, string'(" ) "));
writeline (output, buf);
END IF;
cp_curr_old_bit_setting <= alt_conv_integer(cp_curr_val_bit_setting);
lfc_old_bit_setting <= alt_conv_integer(lfc_val_bit_setting);
lfr_old_bit_setting <= alt_conv_integer(lfr_val_bit_setting);
else ASSERT false REPORT "Errors were encountered during PLL reprogramming. Please refer to error/warning messages above." severity warning;
end if;
end if;
end process;
update_conf_latches <= configupdate_ipd;
process (scandone_tmp,areset_ipd,update_conf_latches, c_clk(0), c_clk(1), c_clk(2), c_clk(3), c_clk(4), c_clk(5), c_clk(6), c_clk(7), c_clk(8), c_clk(9), vco_out, fbclk, scanclk_ipd)
variable init : boolean := true;
variable low, high : std_logic_vector(7 downto 0);
variable low_fast, high_fast : std_logic_vector(3 downto 0);
variable mode : string(1 to 6) := "bypass";
variable is_error : boolean := false;
variable m_tmp, n_tmp : std_logic_vector(8 downto 0);
variable lfr_val_tmp : string(1 to 2) := " ";
variable c_high_val_tmp,c_hval : int_array(0 to 9) := (OTHERS => 1);
variable c_low_val_tmp,c_lval : int_array(0 to 9) := (OTHERS => 1);
variable c_mode_val_tmp : str_array(0 to 9);
variable m_val_tmp : integer := 0;
variable c0_rising_edge_transfer_done : boolean := false;
variable c1_rising_edge_transfer_done : boolean := false;
variable c2_rising_edge_transfer_done : boolean := false;
variable c3_rising_edge_transfer_done : boolean := false;
variable c4_rising_edge_transfer_done : boolean := false;
variable c5_rising_edge_transfer_done : boolean := false;
variable c6_rising_edge_transfer_done : boolean := false;
variable c7_rising_edge_transfer_done : boolean := false;
variable c8_rising_edge_transfer_done : boolean := false;
variable c9_rising_edge_transfer_done : boolean := false;
-- variables for scaling of multiply_by and divide_by values
variable i_clk0_mult_by : integer := 1;
variable i_clk0_div_by : integer := 1;
variable i_clk1_mult_by : integer := 1;
variable i_clk1_div_by : integer := 1;
variable i_clk2_mult_by : integer := 1;
variable i_clk2_div_by : integer := 1;
variable i_clk3_mult_by : integer := 1;
variable i_clk3_div_by : integer := 1;
variable i_clk4_mult_by : integer := 1;
variable i_clk4_div_by : integer := 1;
variable i_clk5_mult_by : integer := 1;
variable i_clk5_div_by : integer := 1;
variable i_clk6_mult_by : integer := 1;
variable i_clk6_div_by : integer := 1;
variable i_clk7_mult_by : integer := 1;
variable i_clk7_div_by : integer := 1;
variable i_clk8_mult_by : integer := 1;
variable i_clk8_div_by : integer := 1;
variable i_clk9_mult_by : integer := 1;
variable i_clk9_div_by : integer := 1;
variable max_d_value : integer := 1;
variable new_multiplier : integer := 1;
-- internal variables for storing the phase shift number.(used in lvds mode only)
variable i_clk0_phase_shift : integer := 1;
variable i_clk1_phase_shift : integer := 1;
variable i_clk2_phase_shift : integer := 1;
-- user to advanced variables
variable max_neg_abs : integer := 0;
variable i_m_initial : integer;
variable i_m : integer := 1;
variable i_n : integer := 1;
variable i_c_high : int_array(0 to 9);
variable i_c_low : int_array(0 to 9);
variable i_c_initial : int_array(0 to 9);
variable i_c_ph : int_array(0 to 9);
variable i_c_mode : str_array(0 to 9);
variable i_m_ph : integer;
variable output_count : integer;
variable new_divisor : integer;
variable clk0_cntr : string(1 to 6) := " c0";
variable clk1_cntr : string(1 to 6) := " c1";
variable clk2_cntr : string(1 to 6) := " c2";
variable clk3_cntr : string(1 to 6) := " c3";
variable clk4_cntr : string(1 to 6) := " c4";
variable clk5_cntr : string(1 to 6) := " c5";
variable clk6_cntr : string(1 to 6) := " c6";
variable clk7_cntr : string(1 to 6) := " c7";
variable clk8_cntr : string(1 to 6) := " c8";
variable clk9_cntr : string(1 to 6) := " c9";
variable fbk_cntr : string(1 to 2);
variable fbk_cntr_index : integer;
variable start_bit : integer;
variable quiet_time : time := 0 ps;
variable slowest_clk_old : time := 0 ps;
variable slowest_clk_new : time := 0 ps;
variable i : integer := 0;
variable j : integer := 0;
variable scanread_active_edge : time := 0 ps;
variable got_first_scanclk : boolean := false;
variable scanclk_last_rising_edge : time := 0 ps;
variable current_scan_data : std_logic_vector(0 to 233) := (OTHERS => '0');
variable index : integer := 0;
variable Tviol_scandata_scanclk : std_ulogic := '0';
variable TimingData_scandata_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable Tviol_scanclkena_scanclk : std_ulogic := '0';
variable TimingData_scanclkena_scanclk : VitalTimingDataType := VitalTimingDataInit;
variable scan_chain_length : integer := GPP_SCAN_CHAIN;
variable tmp_rem : integer := 0;
variable scanclk_cycles : integer := 0;
variable lfc_tmp : std_logic_vector(1 downto 0);
variable lfr_tmp : std_logic_vector(5 downto 0);
variable lfr_int : integer := 0;
variable n_hi,n_lo,m_hi,m_lo : std_logic_vector(7 downto 0);
variable buf : line;
variable buf_scan_data : STD_LOGIC_VECTOR(0 TO 1) := (OTHERS => '0');
variable buf_scan_data_2 : STD_LOGIC_VECTOR(0 TO 2) := (OTHERS => '0');
function slowest_clk (
C0 : integer; C0_mode : string(1 to 6);
C1 : integer; C1_mode : string(1 to 6);
C2 : integer; C2_mode : string(1 to 6);
C3 : integer; C3_mode : string(1 to 6);
C4 : integer; C4_mode : string(1 to 6);
C5 : integer; C5_mode : string(1 to 6);
C6 : integer; C6_mode : string(1 to 6);
C7 : integer; C7_mode : string(1 to 6);
C8 : integer; C8_mode : string(1 to 6);
C9 : integer; C9_mode : string(1 to 6);
refclk : time; m_mod : integer) return time is
variable max_modulus : integer := 1;
variable q_period : time := 0 ps;
variable refclk_int : integer := 0;
begin
if (C0_mode /= "bypass" and C0_mode /= " off") then
max_modulus := C0;
end if;
if (C1 > max_modulus and C1_mode /= "bypass" and C1_mode /= " off") then
max_modulus := C1;
end if;
if (C2 > max_modulus and C2_mode /= "bypass" and C2_mode /= " off") then
max_modulus := C2;
end if;
if (C3 > max_modulus and C3_mode /= "bypass" and C3_mode /= " off") then
max_modulus := C3;
end if;
if (C4 > max_modulus and C4_mode /= "bypass" and C4_mode /= " off") then
max_modulus := C4;
end if;
if (C5 > max_modulus and C5_mode /= "bypass" and C5_mode /= " off") then
max_modulus := C5;
end if;
if (C6 > max_modulus and C6_mode /= "bypass" and C6_mode /= " off") then
max_modulus := C6;
end if;
if (C7 > max_modulus and C7_mode /= "bypass" and C7_mode /= " off") then
max_modulus := C7;
end if;
if (C8 > max_modulus and C8_mode /= "bypass" and C8_mode /= " off") then
max_modulus := C8;
end if;
if (C9 > max_modulus and C9_mode /= "bypass" and C9_mode /= " off") then
max_modulus := C9;
end if;
refclk_int := refclk / 1 ps;
if (m_mod /= 0) then
q_period := (refclk_int * max_modulus / m_mod) * 1 ps;
end if;
return (2*q_period);
end slowest_clk;
function int2bin (arg : integer; size : integer) return std_logic_vector is
variable int_val : integer := arg;
variable result : std_logic_vector(size-1 downto 0);
begin
for i in 0 to result'left loop
if ((int_val mod 2) = 0) then
result(i) := '0';
else
result(i) := '1';
end if;
int_val := int_val/2;
end loop;
return result;
end int2bin;
function extract_cntr_string (arg:string) return string is
variable str : string(1 to 6) := " c0";
begin
if (arg = "c0") then
str := " c0";
elsif (arg = "c1") then
str := " c1";
elsif (arg = "c2") then
str := " c2";
elsif (arg = "c3") then
str := " c3";
elsif (arg = "c4") then
str := " c4";
elsif (arg = "c5") then
str := " c5";
elsif (arg = "c6") then
str := " c6";
elsif (arg = "c7") then
str := " c7";
elsif (arg = "c8") then
str := " c8";
elsif (arg = "c9") then
str := " c9";
else str := " c0";
end if;
return str;
end extract_cntr_string;
function extract_cntr_index (arg:string) return integer is
variable index : integer := 0;
begin
if (arg(6) = '0') then
index := 0;
elsif (arg(6) = '1') then
index := 1;
elsif (arg(6) = '2') then
index := 2;
elsif (arg(6) = '3') then
index := 3;
elsif (arg(6) = '4') then
index := 4;
elsif (arg(6) = '5') then
index := 5;
elsif (arg(6) = '6') then
index := 6;
elsif (arg(6) = '7') then
index := 7;
elsif (arg(6) = '8') then
index := 8;
else index := 9;
end if;
return index;
end extract_cntr_index;
function output_cntr_num (arg:string) return string is
variable str : string(1 to 6) := "unused";
begin
if (arg = "c0") then
str := " clk0";
elsif (arg = "c1") then
str := " clk1";
elsif (arg = "c2") then
str := " clk2";
elsif (arg = "c3") then
str := " clk3";
elsif (arg = "c4") then
str := " clk4";
elsif (arg = "c5") then
str := " clk5";
elsif (arg = "c6") then
str := " clk6";
elsif (arg = "c7") then
str := " clk7";
elsif (arg = "c8") then
str := " clk8";
elsif (arg = "c9") then
str := " clk9";
else str := "unused";
end if;
return str;
end output_cntr_num;
begin
IF (areset_ipd'EVENT AND areset_ipd = '1') then
c_ph_val <= i_c_ph;
END IF;
if (init) then
if (m = 0) then
clk9_cntr := " c9";
clk8_cntr := " c8";
clk7_cntr := " c7";
clk6_cntr := " c6";
clk5_cntr := " c5";
clk4_cntr := " c4";
clk3_cntr := " c3";
clk2_cntr := " c2";
clk1_cntr := " c1";
clk0_cntr := " c0";
else
clk9_cntr := extract_cntr_string(clk9_counter);
clk8_cntr := extract_cntr_string(clk8_counter);
clk7_cntr := extract_cntr_string(clk7_counter);
clk6_cntr := extract_cntr_string(clk6_counter);
clk5_cntr := extract_cntr_string(clk5_counter);
clk4_cntr := extract_cntr_string(clk4_counter);
clk3_cntr := extract_cntr_string(clk3_counter);
clk2_cntr := extract_cntr_string(clk2_counter);
clk1_cntr := extract_cntr_string(clk1_counter);
clk0_cntr := extract_cntr_string(clk0_counter);
end if;
clk_num(9) <= output_cntr_num(clk9_counter);
clk_num(8) <= output_cntr_num(clk8_counter);
clk_num(7) <= output_cntr_num(clk7_counter);
clk_num(6) <= output_cntr_num(clk6_counter);
clk_num(5) <= output_cntr_num(clk5_counter);
clk_num(4) <= output_cntr_num(clk4_counter);
clk_num(3) <= output_cntr_num(clk3_counter);
clk_num(2) <= output_cntr_num(clk2_counter);
clk_num(1) <= output_cntr_num(clk1_counter);
clk_num(0) <= output_cntr_num(clk0_counter);
i_clk0_counter <= extract_cntr_index(clk0_cntr);
i_clk1_counter <= extract_cntr_index(clk1_cntr);
i_clk2_counter <= extract_cntr_index(clk2_cntr);
i_clk3_counter <= extract_cntr_index(clk3_cntr);
i_clk4_counter <= extract_cntr_index(clk4_cntr);
i_clk5_counter <= extract_cntr_index(clk5_cntr);
i_clk6_counter <= extract_cntr_index(clk6_cntr);
i_clk7_counter <= extract_cntr_index(clk7_cntr);
i_clk8_counter <= extract_cntr_index(clk8_cntr);
i_clk9_counter <= extract_cntr_index(clk9_cntr);
if (m = 0) then -- convert user parameters to advanced
-- set the limit of the divide_by value that can be returned by
-- the following function.
max_d_value := 500;
-- scale down the multiply_by and divide_by values provided by the design
-- before attempting to use them in the calculations below
find_simple_integer_fraction(clk0_multiply_by, clk0_divide_by,
max_d_value, i_clk0_mult_by, i_clk0_div_by);
find_simple_integer_fraction(clk1_multiply_by, clk1_divide_by,
max_d_value, i_clk1_mult_by, i_clk1_div_by);
find_simple_integer_fraction(clk2_multiply_by, clk2_divide_by,
max_d_value, i_clk2_mult_by, i_clk2_div_by);
find_simple_integer_fraction(clk3_multiply_by, clk3_divide_by,
max_d_value, i_clk3_mult_by, i_clk3_div_by);
find_simple_integer_fraction(clk4_multiply_by, clk4_divide_by,
max_d_value, i_clk4_mult_by, i_clk4_div_by);
find_simple_integer_fraction(clk5_multiply_by, clk5_divide_by,
max_d_value, i_clk5_mult_by, i_clk5_div_by);
find_simple_integer_fraction(clk6_multiply_by, clk6_divide_by,
max_d_value, i_clk6_mult_by, i_clk6_div_by);
find_simple_integer_fraction(clk7_multiply_by, clk7_divide_by,
max_d_value, i_clk7_mult_by, i_clk7_div_by);
find_simple_integer_fraction(clk8_multiply_by, clk8_divide_by,
max_d_value, i_clk8_mult_by, i_clk8_div_by);
find_simple_integer_fraction(clk9_multiply_by, clk9_divide_by,
max_d_value, i_clk9_mult_by, i_clk9_div_by);
if (vco_frequency_control = "manual_phase") then
find_m_and_n_4_manual_phase(inclk0_input_frequency, vco_phase_shift_step,
i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by,
i_clk5_mult_by,i_clk6_mult_by,
i_clk7_mult_by,i_clk8_mult_by,i_clk9_mult_by,
i_clk0_div_by, i_clk1_div_by,
i_clk2_div_by, i_clk3_div_by,
i_clk4_div_by,
i_clk5_div_by,i_clk6_div_by,
i_clk7_div_by,i_clk8_div_by,i_clk9_div_by,
clk0_counter, clk1_counter,
clk2_counter, clk3_counter,
clk4_counter,
clk5_counter,clk6_counter,
clk7_counter,clk8_counter,clk9_counter,
i_m, i_n);
elsif (((pll_type = "fast") or (pll_type = "lvds") OR (pll_type = "left_right")) and ((vco_multiply_by /= 0) and (vco_divide_by /= 0))) then
i_n := vco_divide_by;
i_m := vco_multiply_by;
else
i_n := 1;
if (((pll_type = "fast") or (pll_type = "left_right")) and (compensate_clock = "lvdsclk")) then
i_m := i_clk0_mult_by;
else
i_m := lcm (i_clk0_mult_by, i_clk1_mult_by,
i_clk2_mult_by, i_clk3_mult_by,
i_clk4_mult_by,
i_clk5_mult_by,i_clk6_mult_by,
i_clk7_mult_by,i_clk8_mult_by,i_clk9_mult_by,
inclk0_input_frequency);
end if;
end if;
if (pll_type = "flvds") then
-- Need to readjust phase shift values when the clock multiply value has been readjusted.
new_multiplier := clk0_multiply_by / i_clk0_mult_by;
i_clk0_phase_shift := str2int(clk0_phase_shift) * new_multiplier;
i_clk1_phase_shift := str2int(clk1_phase_shift) * new_multiplier;
i_clk2_phase_shift := str2int(clk2_phase_shift) * new_multiplier;
else
i_clk0_phase_shift := str2int(clk0_phase_shift);
i_clk1_phase_shift := str2int(clk1_phase_shift);
i_clk2_phase_shift := str2int(clk2_phase_shift);
end if;
max_neg_abs := maxnegabs(i_clk0_phase_shift,
i_clk1_phase_shift,
i_clk2_phase_shift,
str2int(clk3_phase_shift),
str2int(clk4_phase_shift),
str2int(clk5_phase_shift),
str2int(clk6_phase_shift),
str2int(clk7_phase_shift),
str2int(clk8_phase_shift),
str2int(clk9_phase_shift)
);
i_m_ph := counter_ph(get_phase_degree(max_neg_abs,inclk0_input_frequency), i_m, i_n);
i_c_ph(0) := counter_ph(get_phase_degree(ph_adjust(i_clk0_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(1) := counter_ph(get_phase_degree(ph_adjust(i_clk1_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(2) := counter_ph(get_phase_degree(ph_adjust(i_clk2_phase_shift,max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(3) := counter_ph(get_phase_degree(ph_adjust(str2int(clk3_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(4) := counter_ph(get_phase_degree(ph_adjust(str2int(clk4_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(5) := counter_ph(get_phase_degree(ph_adjust(str2int(clk5_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(6) := counter_ph(get_phase_degree(ph_adjust(str2int(clk6_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(7) := counter_ph(get_phase_degree(ph_adjust(str2int(clk7_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(8) := counter_ph(get_phase_degree(ph_adjust(str2int(clk8_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_ph(9) := counter_ph(get_phase_degree(ph_adjust(str2int(clk9_phase_shift),max_neg_abs),inclk0_input_frequency), i_m, i_n);
i_c_high(0) := counter_high(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_high(1) := counter_high(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_high(2) := counter_high(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_high(3) := counter_high(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_high(4) := counter_high(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_high(5) := counter_high(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_c_high(6) := counter_high(output_counter_value(i_clk6_div_by,
i_clk6_mult_by, i_m, i_n), clk6_duty_cycle);
i_c_high(7) := counter_high(output_counter_value(i_clk7_div_by,
i_clk7_mult_by, i_m, i_n), clk7_duty_cycle);
i_c_high(8) := counter_high(output_counter_value(i_clk8_div_by,
i_clk8_mult_by, i_m, i_n), clk8_duty_cycle);
i_c_high(9) := counter_high(output_counter_value(i_clk9_div_by,
i_clk9_mult_by, i_m, i_n), clk9_duty_cycle);
i_c_low(0) := counter_low(output_counter_value(i_clk0_div_by,
i_clk0_mult_by, i_m, i_n), clk0_duty_cycle);
i_c_low(1) := counter_low(output_counter_value(i_clk1_div_by,
i_clk1_mult_by, i_m, i_n), clk1_duty_cycle);
i_c_low(2) := counter_low(output_counter_value(i_clk2_div_by,
i_clk2_mult_by, i_m, i_n), clk2_duty_cycle);
i_c_low(3) := counter_low(output_counter_value(i_clk3_div_by,
i_clk3_mult_by, i_m, i_n), clk3_duty_cycle);
i_c_low(4) := counter_low(output_counter_value(i_clk4_div_by,
i_clk4_mult_by, i_m, i_n), clk4_duty_cycle);
i_c_low(5) := counter_low(output_counter_value(i_clk5_div_by,
i_clk5_mult_by, i_m, i_n), clk5_duty_cycle);
i_c_low(6) := counter_low(output_counter_value(i_clk6_div_by,
i_clk6_mult_by, i_m, i_n), clk6_duty_cycle);
i_c_low(7) := counter_low(output_counter_value(i_clk7_div_by,
i_clk7_mult_by, i_m, i_n), clk7_duty_cycle);
i_c_low(8) := counter_low(output_counter_value(i_clk8_div_by,
i_clk8_mult_by, i_m, i_n), clk8_duty_cycle);
i_c_low(9) := counter_low(output_counter_value(i_clk9_div_by,
i_clk9_mult_by, i_m, i_n), clk9_duty_cycle);
i_m_initial := counter_initial(get_phase_degree(max_neg_abs, inclk0_input_frequency), i_m,i_n);
i_c_initial(0) := counter_initial(get_phase_degree(ph_adjust(i_clk0_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(1) := counter_initial(get_phase_degree(ph_adjust(i_clk1_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(2) := counter_initial(get_phase_degree(ph_adjust(i_clk2_phase_shift, max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(3) := counter_initial(get_phase_degree(ph_adjust(str2int(clk3_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(4) := counter_initial(get_phase_degree(ph_adjust(str2int(clk4_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(5) := counter_initial(get_phase_degree(ph_adjust(str2int(clk5_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(6) := counter_initial(get_phase_degree(ph_adjust(str2int(clk6_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(7) := counter_initial(get_phase_degree(ph_adjust(str2int(clk7_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(8) := counter_initial(get_phase_degree(ph_adjust(str2int(clk8_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_initial(9) := counter_initial(get_phase_degree(ph_adjust(str2int(clk9_phase_shift), max_neg_abs), inclk0_input_frequency), i_m, i_n);
i_c_mode(0) := counter_mode(clk0_duty_cycle, output_counter_value(i_clk0_div_by, i_clk0_mult_by, i_m, i_n));
i_c_mode(1) := counter_mode(clk1_duty_cycle, output_counter_value(i_clk1_div_by, i_clk1_mult_by, i_m, i_n));
i_c_mode(2) := counter_mode(clk2_duty_cycle, output_counter_value(i_clk2_div_by, i_clk2_mult_by, i_m, i_n));
i_c_mode(3) := counter_mode(clk3_duty_cycle, output_counter_value(i_clk3_div_by, i_clk3_mult_by, i_m, i_n));
i_c_mode(4) := counter_mode(clk4_duty_cycle, output_counter_value(i_clk4_div_by, i_clk4_mult_by, i_m, i_n));
i_c_mode(5) := counter_mode(clk5_duty_cycle, output_counter_value(i_clk5_div_by, i_clk5_mult_by, i_m, i_n));
i_c_mode(6) := counter_mode(clk6_duty_cycle, output_counter_value(i_clk6_div_by, i_clk6_mult_by, i_m, i_n));
i_c_mode(7) := counter_mode(clk7_duty_cycle, output_counter_value(i_clk7_div_by, i_clk7_mult_by, i_m, i_n));
i_c_mode(8) := counter_mode(clk8_duty_cycle, output_counter_value(i_clk8_div_by, i_clk8_mult_by, i_m, i_n));
i_c_mode(9) := counter_mode(clk9_duty_cycle, output_counter_value(i_clk9_div_by, i_clk9_mult_by, i_m, i_n));
else -- m /= 0
i_n := n;
i_m := m;
i_m_initial := m_initial;
i_m_ph := m_ph;
i_c_ph(0) := c0_ph;
i_c_ph(1) := c1_ph;
i_c_ph(2) := c2_ph;
i_c_ph(3) := c3_ph;
i_c_ph(4) := c4_ph;
i_c_ph(5) := c5_ph;
i_c_ph(6) := c6_ph;
i_c_ph(7) := c7_ph;
i_c_ph(8) := c8_ph;
i_c_ph(9) := c9_ph;
i_c_high(0) := c0_high;
i_c_high(1) := c1_high;
i_c_high(2) := c2_high;
i_c_high(3) := c3_high;
i_c_high(4) := c4_high;
i_c_high(5) := c5_high;
i_c_high(6) := c6_high;
i_c_high(7) := c7_high;
i_c_high(8) := c8_high;
i_c_high(9) := c9_high;
i_c_low(0) := c0_low;
i_c_low(1) := c1_low;
i_c_low(2) := c2_low;
i_c_low(3) := c3_low;
i_c_low(4) := c4_low;
i_c_low(5) := c5_low;
i_c_low(6) := c6_low;
i_c_low(7) := c7_low;
i_c_low(8) := c8_low;
i_c_low(9) := c9_low;
i_c_initial(0) := c0_initial;
i_c_initial(1) := c1_initial;
i_c_initial(2) := c2_initial;
i_c_initial(3) := c3_initial;
i_c_initial(4) := c4_initial;
i_c_initial(5) := c5_initial;
i_c_initial(6) := c6_initial;
i_c_initial(7) := c7_initial;
i_c_initial(8) := c8_initial;
i_c_initial(9) := c9_initial;
i_c_mode(0) := translate_string(c0_mode);
i_c_mode(1) := translate_string(c1_mode);
i_c_mode(2) := translate_string(c2_mode);
i_c_mode(3) := translate_string(c3_mode);
i_c_mode(4) := translate_string(c4_mode);
i_c_mode(5) := translate_string(c5_mode);
i_c_mode(6) := translate_string(c6_mode);
i_c_mode(7) := translate_string(c7_mode);
i_c_mode(8) := translate_string(c8_mode);
i_c_mode(9) := translate_string(c9_mode);
end if; -- user to advanced conversion.
m_initial_val <= i_m_initial;
n_val <= i_n;
m_val <= i_m;
if (i_m = 1) then
m_mode_val <= "bypass";
else
m_mode_val <= " ";
end if;
if (i_n = 1) then
n_mode_val <= "bypass";
else
n_mode_val <= " ";
end if;
m_ph_val <= i_m_ph;
m_ph_initial <= i_m_ph;
m_val_tmp := i_m;
for i in 0 to 9 loop
if (i_c_mode(i) = "bypass") then
if (pll_type = "fast" or pll_type = "lvds" OR (pll_type = "left_right")) then
i_c_high(i) := 16;
i_c_low(i) := 16;
else
i_c_high(i) := 256;
i_c_low(i) := 256;
end if;
end if;
c_ph_val(i) <= i_c_ph(i);
c_initial_val(i) <= i_c_initial(i);
c_high_val(i) <= i_c_high(i);
c_low_val(i) <= i_c_low(i);
c_mode_val(i) <= i_c_mode(i);
c_high_val_tmp(i) := i_c_high(i);
c_hval(i) := i_c_high(i);
c_low_val_tmp(i) := i_c_low(i);
c_lval(i) := i_c_low(i);
c_mode_val_tmp(i) := i_c_mode(i);
c_ph_val_orig(i) <= i_c_ph(i);
c_high_val_hold(i) <= i_c_high(i);
c_low_val_hold(i) <= i_c_low(i);
c_mode_val_hold(i) <= i_c_mode(i);
end loop;
if (pll_type = "fast" OR (pll_type = "left_right")) then
scan_chain_length := FAST_SCAN_CHAIN;
else
scan_chain_length := GPP_SCAN_CHAIN;
end if;
if (pll_type = "fast" or pll_type = "lvds" OR (pll_type = "left_right")) then
num_output_cntrs <= 7;
else
num_output_cntrs <= 10;
end if;
init := false;
elsif (scandone_tmp'EVENT AND scandone_tmp = '1') then
c0_rising_edge_transfer_done := false;
c1_rising_edge_transfer_done := false;
c2_rising_edge_transfer_done := false;
c3_rising_edge_transfer_done := false;
c4_rising_edge_transfer_done := false;
c5_rising_edge_transfer_done := false;
c6_rising_edge_transfer_done := false;
c7_rising_edge_transfer_done := false;
c8_rising_edge_transfer_done := false;
c9_rising_edge_transfer_done := false;
update_conf_latches_reg <= '0';
elsif (update_conf_latches'event and update_conf_latches = '1') then
initiate_reconfig <= '1';
elsif (areset_ipd'event AND areset_ipd = '1') then
if (scandone_tmp = '0') then scandone_tmp <= '1' AFTER scanclk_period; end if;
elsif (scanclk_ipd'event and scanclk_ipd = '1') then
IF (initiate_reconfig = '1') THEN
initiate_reconfig <= '0';
ASSERT false REPORT "PLL Reprogramming Initiated" severity note;
update_conf_latches_reg <= update_conf_latches;
reconfig_err <= false;
scandone_tmp <= '0';
cp_curr_old <= cp_curr_val;
lfc_old <= lfc_val;
lfr_old <= lfr_val;
vco_old <= vco_cur;
-- LF unused : bit 0,1
-- LF Capacitance : bits 2,3 : all values are legal
buf_scan_data := scan_data(2 TO 3);
IF ((pll_type = "fast") OR (pll_type = "lvds") OR (pll_type = "left_right")) THEN
lfc_val <= fpll_loop_filter_c_arr(alt_conv_integer(buf_scan_data));
ELSE
lfc_val <= loop_filter_c_arr(alt_conv_integer(buf_scan_data));
END IF;
-- LF Resistance : bits 4-8
-- valid values - 00000,00100,10000,10100,11000,11011,11100,11110
IF (scan_data(4 TO 8) = "00000") THEN
lfr_val <= "20";
ELSIF (scan_data(4 TO 8) = "00100") THEN
lfr_val <= "16";
ELSIF (scan_data(4 TO 8) = "10000") THEN
lfr_val <= "12";
ELSIF (scan_data(4 TO 8) = "10100") THEN
lfr_val <= "08";
ELSIF (scan_data(4 TO 8) = "11000") THEN
lfr_val <= "06";
ELSIF (scan_data(4 TO 8) = "11011") THEN
lfr_val <= "04";
ELSIF (scan_data(4 TO 8) = "11100") THEN
lfr_val <= "02";
ELSE
lfr_val <= "01";
END IF;
-- VCO post scale assignment
if (scan_data(9) = '1') then -- vco_post_scale = 1
i_vco_max <= vco_max/2;
i_vco_min <= vco_min/2;
vco_cur <= 1;
else
i_vco_max <= vco_max;
i_vco_min <= vco_min;
vco_cur <= 2;
end if;
-- CP
-- Bit 9 : CRBYPASS
-- Bit 10-14 : unused
-- Bits 15-17 : all values are legal
buf_scan_data_2 := scan_data(15 TO 17);
cp_curr_val <= charge_pump_curr_arr(alt_conv_integer(buf_scan_data_2));
-- save old values for display info.
cp_curr_val_bit_setting <= scan_data(15 TO 17);
lfc_val_bit_setting <= scan_data(2 TO 3);
lfr_val_bit_setting <= scan_data(4 TO 8);
m_val_old <= m_val;
n_val_old <= n_val;
m_mode_val_old <= m_mode_val;
n_mode_val_old <= n_mode_val;
WHILE (i < num_output_cntrs) LOOP
c_high_val_old(i) <= c_high_val(i);
c_low_val_old(i) <= c_low_val(i);
c_mode_val_old(i) <= c_mode_val(i);
i := i + 1;
END LOOP;
-- M counter
-- 1. Mode - bypass (bit 18)
IF (scan_data(18) = '1') THEN
m_mode_val <= "bypass";
-- 3. Mode - odd/even (bit 27)
ELSIF (scan_data(27) = '1') THEN
m_mode_val <= " odd";
ELSE
m_mode_val <= " even";
END IF;
-- 2. High (bit 19-26)
m_hi := scan_data(19 TO 26);
-- 4. Low (bit 28-35)
m_lo := scan_data(28 TO 35);
-- N counter
-- 1. Mode - bypass (bit 36)
IF (scan_data(36) = '1') THEN
n_mode_val <= "bypass";
-- 3. Mode - odd/even (bit 45)
ELSIF (scan_data(45) = '1') THEN
n_mode_val <= " odd";
ELSE
n_mode_val <= " even";
END IF;
-- 2. High (bit 37-44)
n_hi := scan_data(37 TO 44);
-- 4. Low (bit 46-53)
n_lo := scan_data(46 TO 53);
-- C counters (start bit 54) bit 1:mode(bypass),bit 2-9:high,bit 10:mode(odd/even),bit 11-18:low
i := 0;
WHILE (i < num_output_cntrs) LOOP
-- 1. Mode - bypass
IF (scan_data(54 + i * 18 + 0) = '1') THEN
c_mode_val_tmp(i) := "bypass";
-- 3. Mode - odd/even
ELSIF (scan_data(54 + i * 18 + 9) = '1') THEN
c_mode_val_tmp(i) := " odd";
ELSE
c_mode_val_tmp(i) := " even";
END IF;
-- 2. Hi
high := scan_data(54 + i * 18 + 1 TO 54 + i * 18 + 8);
c_hval(i) := alt_conv_integer(high);
IF (c_hval(i) /= 0) THEN
c_high_val_tmp(i) := c_hval(i);
ELSE
c_high_val_tmp(i) := alt_conv_integer("000000001");
END IF;
-- 4. Low
low := scan_data(54 + i * 18 + 10 TO 54 + i * 18 + 17);
c_lval(i) := alt_conv_integer(low);
IF (c_lval(i) /= 0) THEN
c_low_val_tmp(i) := c_lval(i);
ELSE
c_low_val_tmp(i) := alt_conv_integer("000000001");
END IF;
i := i + 1;
END LOOP;
-- Legality Checks
-- M counter value
IF(scan_data(18) /= '1') THEN
IF ((m_hi /= m_lo) and (scan_data(27) /= '1')) THEN
reconfig_err <= TRUE;
WRITE(buf,string'("Warning : The M counter of the " & family_name & " Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"));
writeline(output, buf);
ELSIF (m_hi /= "00000000") THEN
m_val_tmp := alt_conv_integer(m_hi) + alt_conv_integer(m_lo);
ELSE
m_val_tmp := alt_conv_integer("000000001");
END IF;
ELSE
m_val_tmp := alt_conv_integer("10000000");
END IF;
-- N counter value
IF(scan_data(36) /= '1') THEN
IF ((n_hi /= n_lo)and (scan_data(45) /= '1')) THEN
reconfig_err <= TRUE;
WRITE(buf,string'("Warning : The N counter of the " & family_name & " Fast PLL should be configured for 50%% duty cycle only. In this case the HIGH and LOW moduli programmed will result in a duty cycle other than 50%%, which is illegal. Reconfiguration may not work"));
writeline(output, buf);
ELSIF (n_hi /= "00000000") THEN
n_val <= alt_conv_integer(n_hi) + alt_conv_integer(n_lo);
ELSE
n_val <= alt_conv_integer("000000001");
END IF;
ELSE
n_val <= alt_conv_integer("10000000");
END IF;
-- TODO : Give warnings/errors in the following cases?
-- 1. Illegal counter values (error)
-- 2. Change of mode (warning)
-- 3. Only 50% duty cycle allowed for M counter (odd mode - hi-lo=1,even - hi-lo=0)
END IF;
end if;
if (fbclk'event and fbclk = '1') then
m_val <= m_val_tmp;
end if;
if (update_conf_latches_reg = '1') then
if (scanclk_ipd'event and scanclk_ipd = '1') then
c0_rising_edge_transfer_done := true;
c_high_val(0) <= c_high_val_tmp(0);
c_mode_val(0) <= c_mode_val_tmp(0);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c1_rising_edge_transfer_done := true;
c_high_val(1) <= c_high_val_tmp(1);
c_mode_val(1) <= c_mode_val_tmp(1);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c2_rising_edge_transfer_done := true;
c_high_val(2) <= c_high_val_tmp(2);
c_mode_val(2) <= c_mode_val_tmp(2);
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(3) <= c_high_val_tmp(3);
c_mode_val(3) <= c_mode_val_tmp(3);
c3_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(4) <= c_high_val_tmp(4);
c_mode_val(4) <= c_mode_val_tmp(4);
c4_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(5) <= c_high_val_tmp(5);
c_mode_val(5) <= c_mode_val_tmp(5);
c5_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(6) <= c_high_val_tmp(6);
c_mode_val(6) <= c_mode_val_tmp(6);
c6_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(7) <= c_high_val_tmp(7);
c_mode_val(7) <= c_mode_val_tmp(7);
c7_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(8) <= c_high_val_tmp(8);
c_mode_val(8) <= c_mode_val_tmp(8);
c8_rising_edge_transfer_done := true;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1') then
c_high_val(9) <= c_high_val_tmp(9);
c_mode_val(9) <= c_mode_val_tmp(9);
c9_rising_edge_transfer_done := true;
end if;
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c0_rising_edge_transfer_done) then
c_low_val(0) <= c_low_val_tmp(0);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c1_rising_edge_transfer_done) then
c_low_val(1) <= c_low_val_tmp(1);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c2_rising_edge_transfer_done) then
c_low_val(2) <= c_low_val_tmp(2);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c3_rising_edge_transfer_done) then
c_low_val(3) <= c_low_val_tmp(3);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c4_rising_edge_transfer_done) then
c_low_val(4) <= c_low_val_tmp(4);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c5_rising_edge_transfer_done) then
c_low_val(5) <= c_low_val_tmp(5);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c6_rising_edge_transfer_done) then
c_low_val(6) <= c_low_val_tmp(6);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c7_rising_edge_transfer_done) then
c_low_val(7) <= c_low_val_tmp(7);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c8_rising_edge_transfer_done) then
c_low_val(8) <= c_low_val_tmp(8);
end if;
if (scanclk_ipd'event and scanclk_ipd = '0' and c9_rising_edge_transfer_done) then
c_low_val(9) <= c_low_val_tmp(9);
end if;
if (update_phase = '1') then
if (vco_out(0)'event and vco_out(0) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 0) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 0) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(1)'event and vco_out(1) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 1) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 1) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(2)'event and vco_out(2) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 2) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 2) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(3)'event and vco_out(3) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 3) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 3) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(4)'event and vco_out(4) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 4) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 4) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(5)'event and vco_out(5) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 5) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 5) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(6)'event and vco_out(6) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 6) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 6) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
if (vco_out(7)'event and vco_out(7) = '0') then
for i in 0 to 9 loop
if (c_ph_val(i) = 7) then
c_ph_val(i) <= c_ph_val_tmp(i);
end if;
end loop;
if (m_ph_val = 7) then
m_ph_val <= m_ph_val_tmp;
end if;
end if;
end if;
if (vco_out(0)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 0) then
inclk_c_from_vco(i) <= vco_out(0);
end if;
end loop;
if (m_ph_val = 0) then
inclk_m_from_vco <= vco_out(0);
end if;
end if;
if (vco_out(1)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 1) then
inclk_c_from_vco(i) <= vco_out(1);
end if;
end loop;
if (m_ph_val = 1) then
inclk_m_from_vco <= vco_out(1);
end if;
end if;
if (vco_out(2)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 2) then
inclk_c_from_vco(i) <= vco_out(2);
end if;
end loop;
if (m_ph_val = 2) then
inclk_m_from_vco <= vco_out(2);
end if;
end if;
if (vco_out(3)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 3) then
inclk_c_from_vco(i) <= vco_out(3);
end if;
end loop;
if (m_ph_val = 3) then
inclk_m_from_vco <= vco_out(3);
end if;
end if;
if (vco_out(4)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 4) then
inclk_c_from_vco(i) <= vco_out(4);
end if;
end loop;
if (m_ph_val = 4) then
inclk_m_from_vco <= vco_out(4);
end if;
end if;
if (vco_out(5)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 5) then
inclk_c_from_vco(i) <= vco_out(5);
end if;
end loop;
if (m_ph_val = 5) then
inclk_m_from_vco <= vco_out(5);
end if;
end if;
if (vco_out(6)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 6) then
inclk_c_from_vco(i) <= vco_out(6);
end if;
end loop;
if (m_ph_val = 6) then
inclk_m_from_vco <= vco_out(6);
end if;
end if;
if (vco_out(7)'event) then
for i in 0 to 9 loop
if (c_ph_val(i) = 7) then
inclk_c_from_vco(i) <= vco_out(7);
end if;
end loop;
if (m_ph_val = 7) then
inclk_m_from_vco <= vco_out(7);
end if;
end if;
------------------------
-- Timing Check Section
------------------------
if (TimingChecksOn) then
VitalSetupHoldCheck (
Violation => Tviol_scandata_scanclk,
TimingData => TimingData_scandata_scanclk,
TestSignal => scandata_ipd,
TestSignalName => "scandata",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scandata_scanclk_noedge_negedge,
SetupLow => tsetup_scandata_scanclk_noedge_negedge,
HoldHigh => thold_scandata_scanclk_noedge_negedge,
HoldLow => thold_scandata_scanclk_noedge_negedge,
CheckEnabled => TRUE,
RefTransition => '\',
HeaderMsg => InstancePath & "/stratixiii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
VitalSetupHoldCheck (
Violation => Tviol_scanclkena_scanclk,
TimingData => TimingData_scanclkena_scanclk,
TestSignal => scanclkena_ipd,
TestSignalName => "scanclkena",
RefSignal => scanclk_ipd,
RefSignalName => "scanclk",
SetupHigh => tsetup_scanclkena_scanclk_noedge_negedge,
SetupLow => tsetup_scanclkena_scanclk_noedge_negedge,
HoldHigh => thold_scanclkena_scanclk_noedge_negedge,
HoldLow => thold_scanclkena_scanclk_noedge_negedge,
CheckEnabled => TRUE,
RefTransition => '\',
HeaderMsg => InstancePath & "/stratixiii_pll",
XOn => XOnChecks,
MsgOn => MsgOnChecks );
end if;
if (scanclk_ipd'event AND scanclk_ipd = '0' AND now > 0 ps) then
scanclkena_reg <= scanclkena_ipd;
if (scanclkena_reg = '1') then
scandata_in <= scandata_ipd;
scandata_out <= scandataout_tmp;
end if;
end if;
if (scanclk_ipd'event and scanclk_ipd = '1' and now > 0 ps) then
if (got_first_scanclk) then
scanclk_period <= now - scanclk_last_rising_edge;
else
got_first_scanclk := true;
end if;
if (scanclkena_reg = '1') then
for j in scan_chain_length - 1 downto 1 loop
scan_data(j) <= scan_data(j-1);
end loop;
scan_data(0) <= scandata_in;
end if;
scanclk_last_rising_edge := now;
end if;
end process;
-- PLL Phase Reconfiguration
PROCESS(scanclk_ipd, areset_ipd,phasestep_ipd)
VARIABLE i : INTEGER := 0;
VARIABLE c_ph : INTEGER := 0;
VARIABLE m_ph : INTEGER := 0;
VARIABLE select_counter : INTEGER := 0;
BEGIN
IF (NOW = 0 ps) THEN
m_ph_val_tmp <= m_ph_initial;
END IF;
-- Latch phase enable (same as phasestep) on neg edge of scan clock
IF (scanclk_ipd'EVENT AND scanclk_ipd = '0') THEN
phasestep_reg <= phasestep_ipd;
END IF;
IF (phasestep_ipd'EVENT and phasestep_ipd = '1') THEN
IF (update_phase = '0') THEN
phasestep_high_count <= 0; -- phase adjustments must be 1 cycle apart
-- if not, next phasestep cycle is skipped
END IF;
END IF;
-- revert counter phase tap values to POF programmed values
-- if PLL is reset
IF (areset_ipd'EVENT AND areset_ipd = '1') then
c_ph_val_tmp <= c_ph_val_orig;
m_ph_val_tmp <= m_ph_initial;
END IF;
IF (scanclk_ipd'EVENT AND scanclk_ipd = '1') THEN
IF (phasestep_reg = '1') THEN
IF (phasestep_high_count = 1) THEN
phasecounterselect_reg <= phasecounterselect_ipd;
phaseupdown_reg <= phaseupdown_ipd;
-- start reconfiguration
IF (phasecounterselect_ipd < "1100") THEN -- no counters selected
IF (phasecounterselect_ipd = "0000") THEN
i := 0;
WHILE (i < num_output_cntrs) LOOP
c_ph := c_ph_val(i);
IF (phaseupdown_ipd = '1') THEN
c_ph := (c_ph + 1) mod num_phase_taps;
ELSIF (c_ph = 0) THEN
c_ph := num_phase_taps - 1;
ELSE
c_ph := (c_ph - 1) mod num_phase_taps;
END IF;
c_ph_val_tmp(i) <= c_ph;
i := i + 1;
END LOOP;
ELSIF (phasecounterselect_ipd = "0001") THEN
m_ph := m_ph_val;
IF (phaseupdown_ipd = '1') THEN
m_ph := (m_ph + 1) mod num_phase_taps;
ELSIF (m_ph = 0) THEN
m_ph := num_phase_taps - 1;
ELSE
m_ph := (m_ph - 1) mod num_phase_taps;
END IF;
m_ph_val_tmp <= m_ph;
ELSE
select_counter := alt_conv_integer(phasecounterselect_ipd) - 2;
c_ph := c_ph_val(select_counter);
IF (phaseupdown_ipd = '1') THEN
c_ph := (c_ph + 1) mod num_phase_taps;
ELSIF (c_ph = 0) THEN
c_ph := num_phase_taps - 1;
ELSE
c_ph := (c_ph - 1) mod num_phase_taps;
END IF;
c_ph_val_tmp(select_counter) <= c_ph;
END IF;
update_phase <= '1','0' AFTER (0.5 * scanclk_period);
END IF;
END IF;
phasestep_high_count <= phasestep_high_count + 1;
END IF;
END IF;
END PROCESS;
scandataout_tmp <= scan_data(FAST_SCAN_CHAIN-2) when (pll_type = "fast" or pll_type = "lvds" or pll_type = "left_right") else scan_data(GPP_SCAN_CHAIN-2);
process (schedule_vco, areset_ipd, pfdena_ipd, refclk, fbclk)
variable sched_time : time := 0 ps;
TYPE time_array is ARRAY (0 to 7) of time;
variable init : boolean := true;
variable refclk_period : time;
variable m_times_vco_period : time;
variable new_m_times_vco_period : time;
variable phase_shift : time_array := (OTHERS => 0 ps);
variable last_phase_shift : time_array := (OTHERS => 0 ps);
variable l_index : integer := 1;
variable cycle_to_adjust : integer := 0;
variable stop_vco : boolean := false;
variable locked_tmp : std_logic := '0';
variable pll_is_locked : boolean := false;
variable cycles_pfd_low : integer := 0;
variable cycles_pfd_high : integer := 0;
variable cycles_to_lock : integer := 0;
variable cycles_to_unlock : integer := 0;
variable got_first_refclk : boolean := false;
variable got_second_refclk : boolean := false;
variable got_first_fbclk : boolean := false;
variable refclk_time : time := 0 ps;
variable fbclk_time : time := 0 ps;
variable first_fbclk_time : time := 0 ps;
variable fbclk_period : time := 0 ps;
variable first_schedule : boolean := true;
variable vco_val : std_logic := '0';
variable vco_period_was_phase_adjusted : boolean := false;
variable phase_adjust_was_scheduled : boolean := false;
variable loop_xplier : integer;
variable loop_initial : integer := 0;
variable loop_ph : integer := 0;
variable loop_time_delay : integer := 0;
variable initial_delay : time := 0 ps;
variable vco_per : time;
variable tmp_rem : integer;
variable my_rem : integer;
variable fbk_phase : integer := 0;
variable pull_back_M : integer := 0;
variable total_pull_back : integer := 0;
variable fbk_delay : integer := 0;
variable offset : time := 0 ps;
variable tmp_vco_per : integer := 0;
variable high_time : time;
variable low_time : time;
variable got_refclk_posedge : boolean := false;
variable got_fbclk_posedge : boolean := false;
variable inclk_out_of_range : boolean := false;
variable no_warn : boolean := false;
variable ext_fbk_cntr_modulus : integer := 1;
variable init_clks : boolean := true;
variable pll_is_in_reset : boolean := false;
variable buf : line;
begin
if (init) then
-- jump-start the VCO
-- add 1 ps delay to ensure all signals are updated to initial
-- values
schedule_vco <= transport not schedule_vco after 1 ps;
init := false;
end if;
if (schedule_vco'event) then
if (init_clks) then
refclk_period := inclk0_input_frequency * n_val * 1 ps;
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
init_clks := false;
end if;
sched_time := 0 ps;
for i in 0 to 7 loop
last_phase_shift(i) := phase_shift(i);
end loop;
cycle_to_adjust := 0;
l_index := 1;
m_times_vco_period := new_m_times_vco_period;
end if;
-- areset was asserted
if (areset_ipd'event and areset_ipd = '1') then
assert false report family_name & " PLL was reset" severity note;
-- reset lock parameters
pll_is_locked := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
end if;
if (schedule_vco'event and (areset_ipd = '1' or stop_vco)) then
if (areset_ipd = '1') then
pll_is_in_reset := true;
got_first_refclk := false;
got_second_refclk := false;
end if;
-- drop VCO taps to 0
for i in 0 to 7 loop
vco_out(i) <= transport '0' after last_phase_shift(i);
phase_shift(i) := 0 ps;
last_phase_shift(i) := 0 ps;
end loop;
-- reset lock parameters
pll_is_locked := false;
cycles_to_lock := 0;
cycles_to_unlock := 0;
got_first_refclk := false;
got_second_refclk := false;
refclk_time := 0 ps;
got_first_fbclk := false;
fbclk_time := 0 ps;
first_fbclk_time := 0 ps;
fbclk_period := 0 ps;
first_schedule := true;
vco_val := '0';
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
elsif ((schedule_vco'event or areset_ipd'event) and areset_ipd = '0' and (not stop_vco) and now > 0 ps) then
-- note areset deassert time
-- note it as refclk_time to prevent false triggering
-- of stop_vco after areset
if (areset_ipd'event and areset_ipd = '0' and pll_is_in_reset) then
refclk_time := now;
pll_is_in_reset := false;
locked_tmp := '0';
end if;
-- calculate loop_xplier : this will be different from m_val
-- in external_feedback_mode
loop_xplier := m_val;
loop_initial := m_initial_val - 1;
loop_ph := m_ph_val;
-- convert initial value to delay
initial_delay := (loop_initial * m_times_vco_period)/loop_xplier;
-- convert loop ph_tap to delay
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
tmp_vco_per := (m_times_vco_period/1 ps) / loop_xplier;
if (my_rem /= 0) then
tmp_vco_per := tmp_vco_per + 1;
end if;
fbk_phase := (loop_ph * tmp_vco_per)/8;
pull_back_M := initial_delay/1 ps + fbk_phase;
total_pull_back := pull_back_M;
if (simulation_type = "timing") then
total_pull_back := total_pull_back + pll_compensation_delay;
end if;
while (total_pull_back > refclk_period/1 ps) loop
total_pull_back := total_pull_back - refclk_period/1 ps;
end loop;
if (total_pull_back > 0) then
offset := refclk_period - (total_pull_back * 1 ps);
end if;
fbk_delay := total_pull_back - fbk_phase;
if (fbk_delay < 0) then
offset := offset - (fbk_phase * 1 ps);
fbk_delay := total_pull_back;
end if;
-- assign m_delay
m_delay <= transport fbk_delay after 1 ps;
my_rem := (m_times_vco_period/1 ps) rem loop_xplier;
for i in 1 to loop_xplier loop
-- adjust cycles
tmp_vco_per := (m_times_vco_period/1 ps)/loop_xplier;
if (my_rem /= 0 and l_index <= my_rem) then
tmp_rem := (loop_xplier * l_index) rem my_rem;
cycle_to_adjust := (loop_xplier * l_index) / my_rem;
if (tmp_rem /= 0) then
cycle_to_adjust := cycle_to_adjust + 1;
end if;
end if;
if (cycle_to_adjust = i) then
tmp_vco_per := tmp_vco_per + 1;
l_index := l_index + 1;
end if;
-- calculate high and low periods
vco_per := tmp_vco_per * 1 ps;
high_time := (tmp_vco_per/2) * 1 ps;
if (tmp_vco_per rem 2 /= 0) then
high_time := high_time + 1 ps;
end if;
low_time := vco_per - high_time;
-- schedule the rising and falling edges
for j in 1 to 2 loop
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
if (first_schedule) then
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
else
vco_out(k) <= transport vco_val after (sched_time + last_phase_shift(k));
end if;
end loop;
end loop;
end loop;
-- schedule once more
if (first_schedule) then
vco_val := not vco_val;
if (vco_val = '0') then
sched_time := sched_time + high_time;
elsif (vco_val = '1') then
sched_time := sched_time + low_time;
end if;
-- schedule the phase taps
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
vco_out(k) <= transport vco_val after (sched_time + phase_shift(k));
end loop;
first_schedule := false;
end if;
schedule_vco <= transport not schedule_vco after sched_time;
if (vco_period_was_phase_adjusted) then
m_times_vco_period := refclk_period;
new_m_times_vco_period := refclk_period;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := true;
vco_per := m_times_vco_period/loop_xplier;
for k in 0 to 7 loop
phase_shift(k) := (k * vco_per)/8;
end loop;
end if;
end if;
-- Bypass lock detect
if (refclk'event and refclk = '1' and areset_ipd = '0') then
if (test_bypass_lock_detect = "on") then
if (pfdena_ipd = '1') then
cycles_pfd_low := 0;
if (pfd_locked = '0') then
if (cycles_pfd_high = lock_high) then
assert false report family_name & " PLL locked in test mode on PFD enable assertion." severity warning;
pfd_locked <= '1';
end if;
cycles_pfd_high := cycles_pfd_high + 1;
end if;
end if;
if (pfdena_ipd = '0') then
cycles_pfd_high := 0;
if (pfd_locked = '1') then
if (cycles_pfd_low = lock_low) then
assert false report family_name & " PLL lost lock in test mode on PFD enable de-assertion." severity warning;
pfd_locked <= '0';
end if;
cycles_pfd_low := cycles_pfd_low + 1;
end if;
end if;
end if;
if (refclk'event and refclk = '1' and areset_ipd = '0') then
got_refclk_posedge := true;
if (not got_first_refclk) then
got_first_refclk := true;
else
got_second_refclk := true;
refclk_period := now - refclk_time;
-- check if incoming freq. will cause VCO range to be
-- exceeded
if ( (i_vco_max /= 0 and i_vco_min /= 0 and pfdena_ipd = '1') and
(((refclk_period/1 ps)/loop_xplier > i_vco_max) or
((refclk_period/1 ps)/loop_xplier < i_vco_min)) ) then
if (pll_is_locked) then
if ((refclk_period/1 ps)/loop_xplier > i_vco_max) then
assert false report "Input clock freq. is over VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_over <= '1';
end if;
if ((refclk_period/1 ps)/loop_xplier < i_vco_min) then
assert false report "Input clock freq. is under VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_under <= '1';
end if;
if (inclk_out_of_range) then
pll_is_locked := false;
locked_tmp := '0';
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report family_name & " PLL lost lock." severity note;
end if;
elsif (not no_warn) then
if ((refclk_period/1 ps)/loop_xplier > i_vco_max) then
assert false report "Input clock freq. is over VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_over <= '1';
end if;
if ((refclk_period/1 ps)/loop_xplier < i_vco_min) then
assert false report "Input clock freq. is under VCO range. " & family_name & " PLL may lose lock" severity warning;
vco_under <= '1';
end if;
assert false report " Input clock freq. is not within VCO range : " & family_name & " PLL may not lock. Please use the correct frequency." severity warning;
no_warn := true;
end if;
inclk_out_of_range := true;
else
vco_over <= '0';
vco_under <= '0';
inclk_out_of_range := false;
no_warn := false;
end if;
end if;
end if;
if (stop_vco) then
stop_vco := false;
schedule_vco <= not schedule_vco;
end if;
refclk_time := now;
else
got_refclk_posedge := false;
end if;
-- Update M counter value on feedback clock edge
if (fbclk'event and fbclk = '1') then
got_fbclk_posedge := true;
if (not got_first_fbclk) then
got_first_fbclk := true;
else
fbclk_period := now - fbclk_time;
end if;
-- need refclk_period here, so initialized to proper value above
if ( ( (now - refclk_time > 1.5 * refclk_period) and pfdena_ipd = '1' and pll_is_locked) or
( (now - refclk_time > 5 * refclk_period) and pfdena_ipd = '1' and pll_has_just_been_reconfigured = false) or
( (now - refclk_time > 50 * refclk_period) and pfdena_ipd = '1' and pll_has_just_been_reconfigured = true) ) then
stop_vco := true;
-- reset
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
if (pll_is_locked) then
pll_is_locked := false;
locked_tmp := '0';
assert false report family_name & " PLL lost lock due to loss of input clock or the input clock is not detected within the allowed time frame." severity note;
if ((i_vco_max = 0) and (i_vco_min = 0)) then
assert false report "Please run timing simulation to check whether the input clock is operating within the supported VCO range or not." severity note;
end if;
end if;
cycles_to_lock := 0;
cycles_to_unlock := 0;
first_schedule := true;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
end if;
fbclk_time := now;
else
got_fbclk_posedge := false;
end if;
if ((got_refclk_posedge or got_fbclk_posedge) and got_second_refclk and pfdena_ipd = '1' and (not inclk_out_of_range)) then
-- now we know actual incoming period
if ( abs(fbclk_time - refclk_time) <= 5 ps or
(got_first_fbclk and abs(refclk_period - abs(fbclk_time - refclk_time)) <= 5 ps)) then
-- considered in phase
if (cycles_to_lock = real_lock_high) then
if (not pll_is_locked) then
assert false report family_name & " PLL locked to incoming clock" severity note;
end if;
pll_is_locked := true;
locked_tmp := '1';
cycles_to_unlock := 0;
end if;
-- increment lock counter only if second part of above
-- time check is NOT true
if (not(abs(refclk_period - abs(fbclk_time - refclk_time)) <= lock_window)) then
cycles_to_lock := cycles_to_lock + 1;
end if;
-- adjust m_times_vco_period
new_m_times_vco_period := refclk_period;
else
-- if locked, begin unlock
if (pll_is_locked) then
cycles_to_unlock := cycles_to_unlock + 1;
if (cycles_to_unlock = lock_low) then
pll_is_locked := false;
locked_tmp := '0';
cycles_to_lock := 0;
vco_period_was_phase_adjusted := false;
phase_adjust_was_scheduled := false;
assert false report family_name & " PLL lost lock." severity note;
got_first_refclk := false;
got_first_fbclk := false;
got_second_refclk := false;
end if;
end if;
if ( abs(refclk_period - fbclk_period) <= 2 ps ) then
-- frequency is still good
if (now = fbclk_time and (not phase_adjust_was_scheduled)) then
if ( abs(fbclk_time - refclk_time) > refclk_period/2) then
new_m_times_vco_period := m_times_vco_period + (refclk_period - abs(fbclk_time - refclk_time));
vco_period_was_phase_adjusted := true;
else
new_m_times_vco_period := m_times_vco_period - abs(fbclk_time - refclk_time);
vco_period_was_phase_adjusted := true;
end if;
end if;
else
phase_adjust_was_scheduled := false;
new_m_times_vco_period := refclk_period;
end if;
end if;
end if;
if (pfdena_ipd = '0') then
if (pll_is_locked) then
locked_tmp := 'X';
end if;
pll_is_locked := false;
cycles_to_lock := 0;
end if;
-- give message only at time of deassertion
if (pfdena_ipd'event and pfdena_ipd = '0') then
assert false report "PFDENA deasserted." severity note;
elsif (pfdena_ipd'event and pfdena_ipd = '1') then
got_first_refclk := false;
got_second_refclk := false;
refclk_time := now;
end if;
if (reconfig_err) then
lock <= '0';
else
lock <= locked_tmp;
end if;
-- signal to calculate quiet_time
sig_refclk_period <= refclk_period;
if (stop_vco = true) then
sig_stop_vco <= '1';
else
sig_stop_vco <= '0';
end if;
pll_locked <= pll_is_locked;
end process;
clk0_tmp <= c_clk(i_clk0_counter);
clk_pfd(0) <= clk0_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(0) <= clk_pfd(0) WHEN (test_bypass_lock_detect = "on") ELSE
clk0_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else
'X';
clk1_tmp <= c_clk(i_clk1_counter);
clk_pfd(1) <= clk1_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(1) <= clk_pfd(1) WHEN (test_bypass_lock_detect = "on") ELSE
clk1_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk2_tmp <= c_clk(i_clk2_counter);
clk_pfd(2) <= clk2_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(2) <= clk_pfd(2) WHEN (test_bypass_lock_detect = "on") ELSE
clk2_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk3_tmp <= c_clk(i_clk3_counter);
clk_pfd(3) <= clk3_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(3) <= clk_pfd(3) WHEN (test_bypass_lock_detect = "on") ELSE
clk3_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk4_tmp <= c_clk(i_clk4_counter);
clk_pfd(4) <= clk4_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(4) <= clk_pfd(4) WHEN (test_bypass_lock_detect = "on") ELSE
clk4_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk5_tmp <= c_clk(i_clk5_counter);
clk_pfd(5) <= clk5_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(5) <= clk_pfd(5) WHEN (test_bypass_lock_detect = "on") ELSE
clk5_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk6_tmp <= c_clk(i_clk6_counter);
clk_pfd(6) <= clk6_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(6) <= clk_pfd(6) WHEN (test_bypass_lock_detect = "on") ELSE
clk6_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk7_tmp <= c_clk(i_clk7_counter);
clk_pfd(7) <= clk7_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(7) <= clk_pfd(7) WHEN (test_bypass_lock_detect = "on") ELSE
clk7_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk8_tmp <= c_clk(i_clk8_counter);
clk_pfd(8) <= clk8_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(8) <= clk_pfd(8) WHEN (test_bypass_lock_detect = "on") ELSE
clk8_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
clk9_tmp <= c_clk(i_clk9_counter);
clk_pfd(9) <= clk9_tmp WHEN (pfd_locked = '1') ELSE 'X';
clk(9) <= clk_pfd(9) WHEN (test_bypass_lock_detect = "on") ELSE
clk9_tmp when (areset_ipd = '1' or pll_in_test_mode) or (pll_locked and (not reconfig_err)) else 'X';
scandataout <= scandata_out;
scandone <= NOT scandone_tmp;
phasedone <= NOT update_phase;
vcooverrange <= 'Z' WHEN (vco_range_detector_high_bits = -1) ELSE vco_over;
vcounderrange <= 'Z' WHEN (vco_range_detector_low_bits = -1) ELSE vco_under;
fbout <= fbclk;
end vital_pll;
-- END ARCHITECTURE VITAL_PLL
-------------------------------------------------------------------
--
-- Entity Name : stratixiii_asmiblock
--
-- Description : Stratix III ASMIBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_asmiblock is
generic (
lpm_type : string := "stratixiii_asmiblock"
);
port (
dclkin : in std_logic := '0';
scein : in std_logic := '0';
sdoin : in std_logic := '0';
data0in : in std_logic := '0';
oe : in std_logic := '0';
dclkout : out std_logic;
sceout : out std_logic;
sdoout : out std_logic;
data0out: out std_logic
);
end stratixiii_asmiblock;
architecture architecture_asmiblock of stratixiii_asmiblock is
begin
end architecture_asmiblock; -- end of stratixiii_asmiblock
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_lvds_reg
--
-- Description : Simulation model for a simple DFF.
-- This is used for registering the enable inputs.
-- No timing, powers upto 0.
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_lvds_reg is
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
TimingChecksOn : Boolean := True;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01;
tpd_prn_q_negedge : VitalDelayType01 := DefPropDelay01;
tpd_clrn_q_negedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END stratixiii_lvds_reg;
ARCHITECTURE vital_titan_lvds_reg of stratixiii_lvds_reg is
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal d_ipd : std_logic;
signal ena_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (ena_ipd, ena, tipd_ena);
VitalWireDelay (d_ipd, d, tipd_d);
end block;
process (clk_ipd, d_ipd, clrn, prn)
variable q_tmp : std_logic := '0';
variable q_VitalGlitchData : VitalGlitchDataType;
variable Tviol_d_clk : std_ulogic := '0';
variable TimingData_d_clk : VitalTimingDataType := VitalTimingDataInit;
begin
------------------------
-- Timing Check Section
------------------------
if (prn = '0') then
q_tmp := '1';
elsif (clrn = '0') then
q_tmp := '0';
elsif (clk_ipd'event and clk_ipd = '1') then
if (ena_ipd = '1') then
q_tmp := d_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => q,
OutSignalName => "Q",
OutTemp => q_tmp,
Paths => (1 => (clk_ipd'last_event, tpd_clk_q_posedge, TRUE)),
GlitchData => q_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
end vital_titan_lvds_reg;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_lvds_rx_fifo_sync_ram
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
--USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_lvds_rx_fifo_sync_ram is
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END stratixiii_lvds_rx_fifo_sync_ram;
ARCHITECTURE vital_arm_lvds_rx_fifo_sync_ram OF stratixiii_lvds_rx_fifo_sync_ram IS
-- INTERNAL SIGNALS
signal dataout_tmp : std_logic;
signal ram_d : std_logic_vector(0 TO 5);
signal ram_q : std_logic_vector(0 TO 5);
signal data_reg : std_logic_vector(0 TO 5);
begin
dataout <= dataout_tmp;
process (clk, writereset)
variable initial : boolean := true;
begin
if (initial) then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
initial := false;
end if;
if (writereset = '1') then
for i in 0 to 5 loop
ram_q(i) <= '0';
end loop;
elsif (clk'event and clk = '1') then
for i in 0 to 5 loop
ram_q(i) <= ram_d(i);
end loop;
end if;
end process;
process (we, data_reg, ram_q)
begin
if (we = '1') then
ram_d <= data_reg;
else
ram_d <= ram_q;
end if;
end process;
data_reg(0) <= datain when (waddr = "000") else ram_q(0) ;
data_reg(1) <= datain when (waddr = "001") else ram_q(1) ;
data_reg(2) <= datain when (waddr = "010") else ram_q(2) ;
data_reg(3) <= datain when (waddr = "011") else ram_q(3) ;
data_reg(4) <= datain when (waddr = "100") else ram_q(4) ;
data_reg(5) <= datain when (waddr = "101") else ram_q(5) ;
process (ram_q, we, waddr, raddr)
variable initial : boolean := true;
begin
if (initial) then
dataout_tmp <= '0';
initial := false;
end if;
case raddr is
when "000" =>
dataout_tmp <= ram_q(0);
when "001" =>
dataout_tmp <= ram_q(1);
when "010" =>
dataout_tmp <= ram_q(2);
when "011" =>
dataout_tmp <= ram_q(3);
when "100" =>
dataout_tmp <= ram_q(4);
when "101" =>
dataout_tmp <= ram_q(5);
when others =>
dataout_tmp <= '0';
end case;
end process;
END vital_arm_lvds_rx_fifo_sync_ram;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_lvds_rx_fifo
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE work.stratixiii_lvds_rx_fifo_sync_ram;
ENTITY stratixiii_lvds_rx_fifo is
GENERIC ( channel_width : integer := 10;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_wclk : VitalDelayType01 := DefpropDelay01;
tipd_rclk : VitalDelayType01 := DefpropDelay01;
tipd_dparst : VitalDelayType01 := DefpropDelay01;
tipd_fiforst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_rclk_dataout_posedge: VitalDelayType01 := DefPropDelay01;
tpd_dparst_dataout_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( wclk : IN std_logic:= '0';
rclk : IN std_logic:= '0';
dparst : IN std_logic := '0';
fiforst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END stratixiii_lvds_rx_fifo;
ARCHITECTURE vital_arm_lvds_rx_fifo of stratixiii_lvds_rx_fifo is
-- INTERNAL SIGNALS
signal datain_in : std_logic;
signal rclk_in : std_logic;
signal dparst_in : std_logic;
signal fiforst_in : std_logic;
signal wclk_in : std_logic;
signal ram_datain : std_logic;
signal ram_dataout : std_logic;
signal wrPtr : std_logic_vector(2 DOWNTO 0);
signal rdPtr : std_logic_vector(2 DOWNTO 0);
signal rdAddr : std_logic_vector(2 DOWNTO 0);
signal ram_we : std_logic;
signal write_side_sync_reset : std_logic;
signal read_side_sync_reset : std_logic;
COMPONENT stratixiii_lvds_rx_fifo_sync_ram
PORT ( clk : IN std_logic;
datain : IN std_logic := '0';
writereset : IN std_logic := '0';
waddr : IN std_logic_vector(2 DOWNTO 0) := "000";
raddr : IN std_logic_vector(2 DOWNTO 0) := "000";
we : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (wclk_in, wclk, tipd_wclk);
VitalWireDelay (rclk_in, rclk, tipd_rclk);
VitalWireDelay (dparst_in, dparst, tipd_dparst);
VitalWireDelay (fiforst_in, fiforst, tipd_fiforst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
rdAddr <= rdPtr ;
s_fifo_ram : stratixiii_lvds_rx_fifo_sync_ram
PORT MAP ( clk => wclk_in,
datain => ram_datain,
writereset => write_side_sync_reset,
waddr => wrPtr,
raddr => rdAddr,
we => ram_we,
dataout => ram_dataout
);
process (wclk_in, dparst_in)
variable initial : boolean := true;
begin
if (initial) then
wrPtr <= "000";
write_side_sync_reset <= '0';
ram_we <= '0';
ram_datain <= '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '1';
ram_datain <= '0';
wrPtr <= "000";
ram_we <= '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and wclk_in'event and wclk_in = '1')) then
write_side_sync_reset <= '0';
end if;
if (wclk_in'event and wclk_in = '1' and write_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
ram_datain <= datain_in;
ram_we <= '1';
case wrPtr is
when "000" => wrPtr <= "001";
when "001" => wrPtr <= "010";
when "010" => wrPtr <= "011";
when "011" => wrPtr <= "100";
when "100" => wrPtr <= "101";
when "101" => wrPtr <= "000";
when others => wrPtr <= "000";
end case;
end if;
end process;
process (rclk_in, dparst_in)
variable initial : boolean := true;
variable dataout_tmp : std_logic := '0';
variable dataout_VitalGlitchData : VitalGlitchDataType;
begin
if (initial) then
rdPtr <= "011";
read_side_sync_reset <= '0';
dataout_tmp := '0';
initial := false;
end if;
if (dparst_in = '1' or (fiforst_in = '1' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '1';
rdPtr <= "011";
dataout_tmp := '0';
elsif (dparst_in = '0' and (fiforst_in = '0' and rclk_in'event and rclk_in = '1')) then
read_side_sync_reset <= '0';
end if;
if (rclk_in'event and rclk_in = '1' and read_side_sync_reset = '0' and fiforst_in = '0' and dparst_in = '0') then
case rdPtr is
when "000" => rdPtr <= "001";
when "001" => rdPtr <= "010";
when "010" => rdPtr <= "011";
when "011" => rdPtr <= "100";
when "100" => rdPtr <= "101";
when "101" => rdPtr <= "000";
when others => rdPtr <= "000";
end case;
dataout_tmp := ram_dataout;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => dataout,
OutsignalName => "DATAOUT",
OutTemp => dataout_tmp,
Paths => (1 => (rclk_in'last_event, tpd_rclk_dataout_posedge, TRUE)),
GlitchData => dataout_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
END vital_arm_lvds_rx_fifo;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_lvds_rx_bitslip
--
-- Description :
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE, std;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE work.stratixiii_lvds_reg;
ENTITY stratixiii_lvds_rx_bitslip is
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END stratixiii_lvds_rx_bitslip;
ARCHITECTURE vital_arm_lvds_rx_bitslip OF stratixiii_lvds_rx_bitslip IS
-- INTERNAL SIGNALS
signal clk0_in : std_logic;
signal bslipcntl_in : std_logic;
signal bsliprst_in : std_logic;
signal datain_in : std_logic;
signal slip_count : integer := 0;
signal dataout_tmp : std_logic;
signal bitslip_arr : std_logic_vector(11 DOWNTO 0) := "000000000000";
signal bslipcntl_reg : std_logic;
signal vcc : std_logic := '1';
signal slip_data : std_logic := '0';
signal start_corrupt_bits : std_logic := '0';
signal num_corrupt_bits : integer := 0;
COMPONENT stratixiii_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk0_in, clk0, tipd_clk0);
VitalWireDelay (bslipcntl_in, bslipcntl, tipd_bslipcntl);
VitalWireDelay (bsliprst_in, bsliprst, tipd_bsliprst);
VitalWireDelay (datain_in, datain, tipd_datain);
end block;
bslipcntlreg : stratixiii_lvds_reg
PORT MAP ( d => bslipcntl_in,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => bslipcntl_reg
);
-- 4-bit slip counter and 12-bit shift register
process (bslipcntl_reg, bsliprst_in, clk0_in)
variable initial : boolean := true;
variable bslipmax_tmp : std_logic := '0';
variable bslipmax_VitalGlitchData : VitalGlitchDataType;
begin
if (bsliprst_in = '1') then
slip_count <= 0;
bslipmax_tmp := '0';
-- bitslip_arr <= (OTHERS => '0');
if (bsliprst_in'event and bsliprst_in = '1' and bsliprst_in'last_value = '0') then
ASSERT false report "Bit Slip Circuit was reset. Serial Data stream will have 0 latency" severity note;
end if;
else
if (bslipcntl_reg'event and bslipcntl_reg = '1' and bslipcntl_reg'last_value = '0') then
if (x_on_bitslip = "on") then
start_corrupt_bits <= '1';
end if;
num_corrupt_bits <= 0;
if (slip_count = bitslip_rollover) then
ASSERT false report "Rollover occurred on Bit Slip circuit. Serial data stream will have 0 latency." severity note;
slip_count <= 0;
bslipmax_tmp := '0';
else
slip_count <= slip_count + 1;
if ((slip_count + 1) = bitslip_rollover) then
ASSERT false report "The Bit Slip circuit has reached the maximum Bit Slip limit. Rollover will occur on the next slip." severity note;
bslipmax_tmp := '1';
end if;
end if;
elsif (bslipcntl_reg'event and bslipcntl_reg = '0' and bslipcntl_reg'last_value = '1') then
start_corrupt_bits <= '0';
num_corrupt_bits <= 0;
end if;
end if;
if (clk0_in'event and clk0_in = '1' and clk0_in'last_value = '0') then
bitslip_arr(0) <= datain_in;
for i in 0 to (bitslip_rollover - 1) loop
bitslip_arr(i + 1) <= bitslip_arr(i);
end loop;
if (start_corrupt_bits = '1') then
num_corrupt_bits <= num_corrupt_bits + 1;
end if;
if (num_corrupt_bits+1 = 3) then
start_corrupt_bits <= '0';
end if;
end if;
-- end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
Outsignal => bslipmax,
OutsignalName => "BSLIPMAX",
OutTemp => bslipmax_tmp,
Paths => (1 => (clk0_in'last_event, tpd_clk0_bslipmax_posedge, TRUE),
2 => (bsliprst_in'last_event, tpd_bsliprst_bslipmax_posedge, TRUE)),
GlitchData => bslipmax_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
end process;
slip_data <= bitslip_arr(slip_count);
dataoutreg : stratixiii_lvds_reg
PORT MAP ( d => slip_data,
clk => clk0_in,
ena => vcc,
clrn => vcc,
prn => vcc,
q => dataout_tmp
);
dataout <= dataout_tmp when start_corrupt_bits = '0' else
'X' when start_corrupt_bits = '1' and num_corrupt_bits < 3 else
dataout_tmp;
END vital_arm_lvds_rx_bitslip;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_lvds_rx_deser
--
-- Description : Timing simulation model for the stratixiii LVDS RECEIVER
-- DESERIALIZER. This module receives serial data and outputs
-- parallel data word of width = channel width
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_lvds_rx_deser IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixiii_lvds_rx_deser;
ARCHITECTURE vital_arm_lvds_rx_deser OF stratixiii_lvds_rx_deser IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (datain_ipd, datain, tipd_datain);
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if (devclrn = '0' or devpor = '0') then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1' and clk_ipd'last_value = '0') then
for i in channel_width - 1 DOWNTO 1 loop
dataout_tmp(i) := dataout_tmp(i - 1);
end loop;
dataout_tmp(0) := datain_ipd;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= TRANSPORT dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_deser;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_lvds_rx_parallel_reg
--
-- Description : Timing simulation model for the stratixiii LVDS RECEIVER
-- PARALLEL REGISTER. The data width equals max. channel width,
-- which is 10.
--
--////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
ENTITY stratixiii_lvds_rx_parallel_reg IS
GENERIC ( channel_width : integer := 4;
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_enable : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayArrayType01(9 downto 0) := (OTHERS => DefpropDelay01);
tpd_clk_dataout_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixiii_lvds_rx_parallel_reg;
ARCHITECTURE vital_arm_lvds_rx_parallel_reg OF stratixiii_lvds_rx_parallel_reg IS
-- INTERNAL SIGNALS
signal clk_ipd : std_logic;
signal datain_ipd : std_logic_vector(channel_width - 1 downto 0);
signal enable_ipd : std_logic;
begin
----------------------
-- INPUT PATH DELAYs
----------------------
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (enable_ipd, enable, tipd_enable);
loopbits : FOR i in datain'RANGE GENERATE
VitalWireDelay (datain_ipd(i), datain(i), tipd_datain(i));
END GENERATE;
end block;
VITAL: process (clk_ipd, devpor, devclrn)
variable dataout_tmp : std_logic_vector(channel_width - 1 downto 0) := (OTHERS => '0');
variable i : integer := 0;
variable dataout_VitalGlitchDataArray : VitalGlitchDataArrayType(9 downto 0);
variable CQDelay : TIME := 0 ns;
begin
if ((devpor = '0') or (devclrn = '0')) then
dataout_tmp := (OTHERS => '0');
else
if (clk_ipd'event and clk_ipd = '1') then
if (enable_ipd = '1') then
dataout_tmp := datain_ipd;
end if;
end if;
end if;
----------------------
-- Path Delay Section
----------------------
CQDelay := SelectDelay (
(1 => (clk_ipd'last_event, tpd_clk_dataout_posedge, TRUE))
);
dataout <= dataout_tmp AFTER CQDelay;
end process;
END vital_arm_lvds_rx_parallel_reg;
-------------------------------------------------------------------------------
--
-- Module Name : stratixiii_pclk_divider
--
-- Description : Simulation model for a clock divider
-- output clock is divided by value specified
-- in the parameter clk_divide_by
--
-------------------------------------------------------------------------------
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
ENTITY stratixiii_pclk_divider IS
GENERIC (
clk_divide_by : integer := 1);
PORT (
clkin : IN std_logic;
lloaden : OUT std_logic;
clkout : OUT std_logic);
END stratixiii_pclk_divider;
ARCHITECTURE arch OF stratixiii_pclk_divider IS
SIGNAL lloaden_tmp : std_logic := '0';
SIGNAL clkout_tmp : std_logic := '0';
SIGNAL cnt : std_logic_vector(4 DOWNTO 0):= (others => '0');
BEGIN
clkout <= clkin WHEN (clk_divide_by = 1) ELSE clkout_tmp;
lloaden <= lloaden_tmp;
PROCESS(clkin)
variable count : std_logic := '0';
variable start : std_logic := '0';
variable prev_load : std_logic := '0';
BEGIN
IF(clkin = '1') THEN
count := '1';
END IF;
if( count = '1') then
IF (cnt < clk_divide_by) THEN
clkout_tmp <= '0';
cnt <= cnt + "00001";
ELSE
IF (cnt = (2 * clk_divide_by - 1)) THEN
cnt <= "00000";
ELSE
clkout_tmp <= '1';
cnt <= cnt + "00001";
END IF;
END IF;
end if;
END PROCESS;
process( clkin, cnt )
begin
if( cnt =( 2*clk_divide_by -2) )then
lloaden_tmp <= '1';
else
if(cnt = 0)then
lloaden_tmp <= '0';
end if;
end if;
end process;
END arch;
-------------------------------------------------------------------------------
--
-- Module Name : stratixiii_select_ini_phase_dpaclk
--
-- Description : Simulation model for selecting the initial phase of the dpa clock
--
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.ALL;
ENTITY stratixiii_select_ini_phase_dpaclk IS
GENERIC(
initial_phase_select : integer := 0
);
PORT (
clkin : IN STD_LOGIC;
loaden : IN STD_LOGIC;
enable : IN STD_LOGIC;
clkout : OUT STD_LOGIC;
loadenout : OUT STD_LOGIC
);
END stratixiii_select_ini_phase_dpaclk;
ARCHITECTURE trans OF stratixiii_select_ini_phase_dpaclk IS
SIGNAL clk_period : time := 0 ps;
SIGNAL last_clk_period : time := 0 ps;
SIGNAL last_clkin_edge : time := 0 ps;
SIGNAL first_clkin_edge_detect : STD_LOGIC := '0';
SIGNAL clk0_tmp : STD_LOGIC;
SIGNAL clk1_tmp : STD_LOGIC;
SIGNAL clk2_tmp : STD_LOGIC;
SIGNAL clk3_tmp : STD_LOGIC;
SIGNAL clk4_tmp : STD_LOGIC;
SIGNAL clk5_tmp : STD_LOGIC;
SIGNAL clk6_tmp : STD_LOGIC;
SIGNAL clk7_tmp : STD_LOGIC;
SIGNAL loaden0_tmp : STD_LOGIC;
SIGNAL loaden1_tmp : STD_LOGIC;
SIGNAL loaden2_tmp : STD_LOGIC;
SIGNAL loaden3_tmp : STD_LOGIC;
SIGNAL loaden4_tmp : STD_LOGIC;
SIGNAL loaden5_tmp : STD_LOGIC;
SIGNAL loaden6_tmp : STD_LOGIC;
SIGNAL loaden7_tmp : STD_LOGIC;
SIGNAL clkout_tmp : STD_LOGIC;
SIGNAL loadenout_tmp : STD_LOGIC;
BEGIN
clkout_tmp <= clk1_tmp when (initial_phase_select = 1) else
clk2_tmp when (initial_phase_select = 2) else
clk3_tmp when (initial_phase_select = 3) else
clk4_tmp when (initial_phase_select = 4) else
clk5_tmp when (initial_phase_select = 5) else
clk6_tmp when (initial_phase_select = 6) else
clk7_tmp when (initial_phase_select = 7) else
clk0_tmp;
clkout <= clkout_tmp when enable = '1' else clkin;
loadenout_tmp <= loaden1_tmp when (initial_phase_select = 1) else
loaden2_tmp when (initial_phase_select = 2) else
loaden3_tmp when (initial_phase_select = 3) else
loaden4_tmp when (initial_phase_select = 4) else
loaden5_tmp when (initial_phase_select = 5) else
loaden6_tmp when (initial_phase_select = 6) else
loaden7_tmp when (initial_phase_select = 7) else
loaden0_tmp;
loadenout <= loadenout_tmp when enable = '1' else loaden;
-- Calculate the clock period
PROCESS
VARIABLE clk_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (clkin'EVENT AND clkin = '1');
IF (first_clkin_edge_detect = '0') THEN
first_clkin_edge_detect <= '1';
ELSE
last_clk_period <= clk_period;
clk_period_tmp := NOW - last_clkin_edge;
END IF;
last_clkin_edge <= NOW;
clk_period <= clk_period_tmp;
END PROCESS;
-- Generate the phase shifted signals
PROCESS (clkin)
BEGIN
clk0_tmp <= clkin;
clk1_tmp <= TRANSPORT clkin after (clk_period * 0.125) ;
clk2_tmp <= TRANSPORT clkin after (clk_period * 0.25) ;
clk3_tmp <= TRANSPORT clkin after (clk_period * 0.375) ;
clk4_tmp <= TRANSPORT clkin after (clk_period * 0.5) ;
clk5_tmp <= TRANSPORT clkin after (clk_period * 0.625) ;
clk6_tmp <= TRANSPORT clkin after (clk_period * 0.75) ;
clk7_tmp <= TRANSPORT clkin after (clk_period * 0.875) ;
END PROCESS;
PROCESS (loaden)
BEGIN
loaden0_tmp <= clkin;
loaden1_tmp <= TRANSPORT loaden after (clk_period * 0.125) ;
loaden2_tmp <= TRANSPORT loaden after (clk_period * 0.25) ;
loaden3_tmp <= TRANSPORT loaden after (clk_period * 0.375) ;
loaden4_tmp <= TRANSPORT loaden after (clk_period * 0.5) ;
loaden5_tmp <= TRANSPORT loaden after (clk_period * 0.625) ;
loaden6_tmp <= TRANSPORT loaden after (clk_period * 0.75) ;
loaden7_tmp <= TRANSPORT loaden after (clk_period * 0.875) ;
END PROCESS;
END trans;
-------------------------------------------------------------------------------
--
-- Module Name : stratixiii_dpa_retime_block
--
-- Description : Simulation model for generating the retimed clock,data and loaden.
-- Each of the signals has 8 different phase shifted versions.
--
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE IEEE.std_logic_arith.ALL;
ENTITY stratixiii_dpa_retime_block IS
PORT (
clkin : IN STD_LOGIC;
datain : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk0 : OUT STD_LOGIC;
clk1 : OUT STD_LOGIC;
clk2 : OUT STD_LOGIC;
clk3 : OUT STD_LOGIC;
clk4 : OUT STD_LOGIC;
clk5 : OUT STD_LOGIC;
clk6 : OUT STD_LOGIC;
clk7 : OUT STD_LOGIC;
data0 : OUT STD_LOGIC;
data1 : OUT STD_LOGIC;
data2 : OUT STD_LOGIC;
data3 : OUT STD_LOGIC;
data4 : OUT STD_LOGIC;
data5 : OUT STD_LOGIC;
data6 : OUT STD_LOGIC;
data7 : OUT STD_LOGIC;
lock : OUT STD_LOGIC
);
END stratixiii_dpa_retime_block;
ARCHITECTURE trans OF stratixiii_dpa_retime_block IS
SIGNAL clk_period : time := 0 ps;
SIGNAL last_clk_period : time := 0 ps;
SIGNAL last_clkin_edge : time := 0 ps;
SIGNAL first_clkin_edge_detect : STD_LOGIC := '0';
SIGNAL clk0_tmp : STD_LOGIC;
SIGNAL clk1_tmp : STD_LOGIC;
SIGNAL clk2_tmp : STD_LOGIC;
SIGNAL clk3_tmp : STD_LOGIC;
SIGNAL clk4_tmp : STD_LOGIC;
SIGNAL clk5_tmp : STD_LOGIC;
SIGNAL clk6_tmp : STD_LOGIC;
SIGNAL clk7_tmp : STD_LOGIC;
SIGNAL data0_tmp : STD_LOGIC;
SIGNAL data1_tmp : STD_LOGIC;
SIGNAL data2_tmp : STD_LOGIC;
SIGNAL data3_tmp : STD_LOGIC;
SIGNAL data4_tmp : STD_LOGIC;
SIGNAL data5_tmp : STD_LOGIC;
SIGNAL data6_tmp : STD_LOGIC;
SIGNAL data7_tmp : STD_LOGIC;
SIGNAL lock_tmp : STD_LOGIC := '0';
BEGIN
clk0 <= '0' WHEN reset = '1' ELSE clk0_tmp;
clk1 <= '0' WHEN reset = '1' ELSE clk1_tmp;
clk2 <= '0' WHEN reset = '1' ELSE clk2_tmp;
clk3 <= '0' WHEN reset = '1' ELSE clk3_tmp;
clk4 <= '0' WHEN reset = '1' ELSE clk4_tmp;
clk5 <= '0' WHEN reset = '1' ELSE clk5_tmp;
clk6 <= '0' WHEN reset = '1' ELSE clk6_tmp;
clk7 <= '0' WHEN reset = '1' ELSE clk7_tmp;
data0 <= '0' WHEN reset = '1' ELSE data0_tmp;
data1 <= '0' WHEN reset = '1' ELSE data1_tmp;
data2 <= '0' WHEN reset = '1' ELSE data2_tmp;
data3 <= '0' WHEN reset = '1' ELSE data3_tmp;
data4 <= '0' WHEN reset = '1' ELSE data4_tmp;
data5 <= '0' WHEN reset = '1' ELSE data5_tmp;
data6 <= '0' WHEN reset = '1' ELSE data6_tmp;
data7 <= '0' WHEN reset = '1' ELSE data7_tmp;
lock <= '0' WHEN reset = '1' ELSE lock_tmp;
-- Calculate the clock period
PROCESS
VARIABLE clk_period_tmp : time := 0 ps;
BEGIN
WAIT UNTIL (clkin'EVENT AND clkin = '1');
IF (first_clkin_edge_detect = '0') THEN
first_clkin_edge_detect <= '1';
ELSE
last_clk_period <= clk_period;
clk_period_tmp := NOW - last_clkin_edge;
END IF;
IF (((clk_period_tmp = last_clk_period) OR (clk_period_tmp = last_clk_period + 1 ps) OR (clk_period_tmp = last_clk_period - 1 ps)) AND (clk_period_tmp /= 0 ps ) AND (last_clk_period /= 0 ps)) THEN
lock_tmp <= '1';
ELSE
lock_tmp <= '0';
END IF;
last_clkin_edge <= NOW;
clk_period <= clk_period_tmp;
END PROCESS;
-- Generate the phase shifted signals
PROCESS (clkin)
BEGIN
clk0_tmp <= clkin;
clk1_tmp <= TRANSPORT clkin after (clk_period * 0.125) ;
clk2_tmp <= TRANSPORT clkin after (clk_period * 0.25) ;
clk3_tmp <= TRANSPORT clkin after (clk_period * 0.375) ;
clk4_tmp <= TRANSPORT clkin after (clk_period * 0.5) ;
clk5_tmp <= TRANSPORT clkin after (clk_period * 0.625) ;
clk6_tmp <= TRANSPORT clkin after (clk_period * 0.75) ;
clk7_tmp <= TRANSPORT clkin after (clk_period * 0.875) ;
END PROCESS;
PROCESS (datain)
BEGIN
data0_tmp <= datain;
data1_tmp <= TRANSPORT datain after (clk_period * 0.125) ;
data2_tmp <= TRANSPORT datain after (clk_period * 0.25) ;
data3_tmp <= TRANSPORT datain after (clk_period * 0.375) ;
data4_tmp <= TRANSPORT datain after (clk_period * 0.5) ;
data5_tmp <= TRANSPORT datain after (clk_period * 0.625) ;
data6_tmp <= TRANSPORT datain after (clk_period * 0.75) ;
data7_tmp <= TRANSPORT datain after (clk_period * 0.875) ;
END PROCESS;
END trans;
-------------------------------------------------------------------------------
--
-- Module Name : stratixiii_dpa_block
--
-- Description : Simulation model for selecting the retimed data, clock and loaden
-- depending on the PPM varaiation and direction of shift.
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_unsigned.all;
USE work.stratixiii_dpa_retime_block;
ENTITY stratixiii_dpa_block IS
GENERIC (
net_ppm_variation : INTEGER := 0;
is_negative_ppm_drift : STRING := "off";
enable_soft_cdr_mode: STRING := "on"
);
PORT (
clkin : IN STD_LOGIC;
dpareset : IN STD_LOGIC;
dpahold : IN STD_LOGIC;
datain : IN STD_LOGIC;
clkout : OUT STD_LOGIC;
dataout : OUT STD_LOGIC;
dpalock : OUT STD_LOGIC
);
END stratixiii_dpa_block;
ARCHITECTURE trans OF stratixiii_dpa_block IS
COMPONENT stratixiii_dpa_retime_block
PORT (
clkin : IN STD_LOGIC;
datain : IN STD_LOGIC;
reset : IN STD_LOGIC;
clk0 : OUT STD_LOGIC;
clk1 : OUT STD_LOGIC;
clk2 : OUT STD_LOGIC;
clk3 : OUT STD_LOGIC;
clk4 : OUT STD_LOGIC;
clk5 : OUT STD_LOGIC;
clk6 : OUT STD_LOGIC;
clk7 : OUT STD_LOGIC;
data0 : OUT STD_LOGIC;
data1 : OUT STD_LOGIC;
data2 : OUT STD_LOGIC;
data3 : OUT STD_LOGIC;
data4 : OUT STD_LOGIC;
data5 : OUT STD_LOGIC;
data6 : OUT STD_LOGIC;
data7 : OUT STD_LOGIC;
lock : OUT STD_LOGIC
);
END COMPONENT;
SIGNAL clk0_tmp : STD_LOGIC;
SIGNAL clk1_tmp : STD_LOGIC;
SIGNAL clk2_tmp : STD_LOGIC;
SIGNAL clk3_tmp : STD_LOGIC;
SIGNAL clk4_tmp : STD_LOGIC;
SIGNAL clk5_tmp : STD_LOGIC;
SIGNAL clk6_tmp : STD_LOGIC;
SIGNAL clk7_tmp : STD_LOGIC;
SIGNAL data0_tmp : STD_LOGIC;
SIGNAL data1_tmp : STD_LOGIC;
SIGNAL data2_tmp : STD_LOGIC;
SIGNAL data3_tmp : STD_LOGIC;
SIGNAL data4_tmp : STD_LOGIC;
SIGNAL data5_tmp : STD_LOGIC;
SIGNAL data6_tmp : STD_LOGIC;
SIGNAL data7_tmp : STD_LOGIC;
SIGNAL select_xhdl1 : STD_LOGIC_VECTOR(2 DOWNTO 0) := (others => '0');
SIGNAL clkout_tmp : STD_LOGIC;
SIGNAL dataout_tmp : STD_LOGIC;
SIGNAL counter_reset_value : INTEGER ;
SIGNAL count_value : INTEGER ;
SIGNAL i : INTEGER := 0;
SIGNAL dpalock_xhdl0 : STD_LOGIC;
BEGIN
-- Drive referenced outputs
dpalock <= dpalock_xhdl0;
dataout <= dataout_tmp when (enable_soft_cdr_mode = "on") else datain;
clkout <= clkout_tmp when (enable_soft_cdr_mode = "on") else clkin;
data_clock_retime : stratixiii_dpa_retime_block
PORT MAP (
clkin => clkin,
datain => datain,
reset => dpareset,
clk0 => clk0_tmp,
clk1 => clk1_tmp,
clk2 => clk2_tmp,
clk3 => clk3_tmp,
clk4 => clk4_tmp,
clk5 => clk5_tmp,
clk6 => clk6_tmp,
clk7 => clk7_tmp,
data0 => data0_tmp,
data1 => data1_tmp,
data2 => data2_tmp,
data3 => data3_tmp,
data4 => data4_tmp,
data5 => data5_tmp,
data6 => data6_tmp,
data7 => data7_tmp,
lock => dpalock_xhdl0
);
PROCESS (clkin, dpareset, dpahold)
variable initial : boolean := true;
variable ppm_tmp : integer;
BEGIN
if(initial) then
if(net_ppm_variation = 0) then
ppm_tmp := 1;
else
ppm_tmp := net_ppm_variation;
end if;
if(net_ppm_variation = 0) then
counter_reset_value <= 1;
count_value <= 1;
initial := false;
else
counter_reset_value <= 1000000 / (ppm_tmp * 8);
count_value <= 1000000 / (ppm_tmp * 8);
initial := false;
end if;
end if;
IF (clkin'EVENT AND clkin = '1') THEN
IF(net_ppm_variation = 0) THEN
select_xhdl1 <= "000";
ELSE
IF (dpareset = '1') THEN
i <= 0;
select_xhdl1 <= "000";
ELSE
IF (dpahold = '0') THEN
IF (i < count_value) THEN
i <= i + 1;
ELSE
select_xhdl1 <= select_xhdl1 + "001";
i <= 0;
END IF;
END IF;
END IF;
END IF;
END IF;
END PROCESS;
PROCESS (select_xhdl1, clk0_tmp, clk1_tmp, clk2_tmp, clk3_tmp, clk4_tmp, clk5_tmp, clk6_tmp, clk7_tmp,
data0_tmp, data1_tmp, data2_tmp, data3_tmp, data4_tmp, data5_tmp, data6_tmp, data7_tmp)
BEGIN
if (select_xhdl1 = "000") then
clkout_tmp <= clk0_tmp;
dataout_tmp <= data0_tmp;
elsif (select_xhdl1 = "001") then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk1_tmp;
dataout_tmp <= data1_tmp;
else
clkout_tmp <= clk7_tmp;
dataout_tmp <= data7_tmp;
end if;
elsif (select_xhdl1 = "010") then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk2_tmp;
dataout_tmp <= data2_tmp;
else
clkout_tmp <= clk6_tmp;
dataout_tmp <= data6_tmp;
end if;
elsif (select_xhdl1 = "011")then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk3_tmp;
dataout_tmp <= data3_tmp;
else
clkout_tmp <= clk5_tmp;
dataout_tmp <= data5_tmp;
end if;
elsif (select_xhdl1 = "100")then
clkout_tmp <= clk4_tmp;
dataout_tmp <= data4_tmp;
elsif (select_xhdl1 = "101")then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk5_tmp;
dataout_tmp <= data5_tmp;
else
clkout_tmp <= clk3_tmp;
dataout_tmp <= data3_tmp;
end if;
elsif (select_xhdl1 = "110") then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk6_tmp;
dataout_tmp <= data6_tmp;
else
clkout_tmp <= clk2_tmp;
dataout_tmp <= data2_tmp;
end if;
elsif (select_xhdl1 = "111")then
if( is_negative_ppm_drift = "off")then
clkout_tmp <= clk7_tmp;
dataout_tmp <= data7_tmp;
else
clkout_tmp <= clk1_tmp;
dataout_tmp <= data1_tmp;
end if;
else
clkout_tmp <= clk0_tmp;
dataout_tmp <= data0_tmp;
end if;
END PROCESS;
END trans;
--/////////////////////////////////////////////////////////////////////////////
--
-- Module Name : stratixiii_LVDS_RECEIVER
--
-- Description : Timing simulation model for the stratixiii LVDS RECEIVER
-- atom. This module instantiates the following sub-modules :
-- 1) stratixiii_lvds_rx_fifo
-- 2) stratixiii_lvds_rx_bitslip
-- 3) DFFEs for the LOADEN signals
-- 4) stratixiii_lvds_rx_parallel_reg
-- 5) stratixiii_pclk_divider
-- 6) stratixiii_select_ini_phase_dpaclk
-- 7) stratixiii_dpa_block
--
--/////////////////////////////////////////////////////////////////////////////
LIBRARY IEEE;
USE ieee.std_logic_1164.all;
USE IEEE.VITAL_Timing.all;
USE IEEE.VITAL_Primitives.all;
USE work.stratixiii_atom_pack.all;
USE work.stratixiii_lvds_rx_bitslip;
USE work.stratixiii_lvds_rx_fifo;
USE work.stratixiii_lvds_rx_deser;
USE work.stratixiii_lvds_rx_parallel_reg;
USE work.stratixiii_lvds_reg;
USE work.stratixiii_pclk_divider;
USE work.stratixiii_select_ini_phase_dpaclk;
USE work.stratixiii_dpa_block;
ENTITY stratixiii_lvds_receiver IS
GENERIC ( channel_width : integer := 10;
data_align_rollover : integer := 2;
enable_dpa : string := "off";
lose_lock_on_one_change : string := "off";
reset_fifo_at_first_lock : string := "on";
align_to_rising_edge_only : string := "on";
use_serial_feedback_input : string := "off";
dpa_debug : string := "off";
enable_soft_cdr : string := "off";
dpa_output_clock_phase_shift : INTEGER := 0 ;
enable_dpa_initial_phase_selection : string := "off";
dpa_initial_phase_value : INTEGER := 0;
enable_dpa_align_to_rising_edge_only : string := "off";
net_ppm_variation : INTEGER := 0;
is_negative_ppm_drift : string := "off";
rx_input_path_delay_engineering_bits : INTEGER := -1;
x_on_bitslip : string := "on";
lpm_type : string := "stratixiii_lvds_receiver";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tipd_enable0 : VitalDelayType01 := DefpropDelay01;
tipd_dpareset : VitalDelayType01 := DefpropDelay01;
tipd_dpahold : VitalDelayType01 := DefpropDelay01;
tipd_dpaswitch : VitalDelayType01 := DefpropDelay01;
tipd_fiforeset : VitalDelayType01 := DefpropDelay01;
tipd_bitslip : VitalDelayType01 := DefpropDelay01;
tipd_bitslipreset : VitalDelayType01 := DefpropDelay01;
tipd_serialfbk : VitalDelayType01 := DefpropDelay01;
tpd_clk0_dpalock_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic;
datain : IN std_logic := '0';
enable0 : IN std_logic := '0';
dpareset : IN std_logic := '0';
dpahold : IN std_logic := '0';
dpaswitch : IN std_logic := '0';
fiforeset : IN std_logic := '0';
bitslip : IN std_logic := '0';
bitslipreset : IN std_logic := '0';
serialfbk : IN std_logic := '0';
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
dpalock : OUT std_logic:= '0';
bitslipmax : OUT std_logic;
serialdataout : OUT std_logic;
postdpaserialdataout : OUT std_logic;
divfwdclk : OUT std_logic;
dpaclkout : OUT std_logic;
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END stratixiii_lvds_receiver;
ARCHITECTURE vital_arm_lvds_receiver OF stratixiii_lvds_receiver IS
COMPONENT stratixiii_lvds_rx_bitslip
GENERIC ( channel_width : integer := 10;
bitslip_rollover : integer := 12;
x_on_bitslip : string := "on";
MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk0 : VitalDelayType01 := DefpropDelay01;
tipd_bslipcntl : VitalDelayType01 := DefpropDelay01;
tipd_bsliprst : VitalDelayType01 := DefpropDelay01;
tipd_datain : VitalDelayType01 := DefpropDelay01;
tpd_bsliprst_bslipmax_posedge: VitalDelayType01 := DefPropDelay01;
tpd_clk0_bslipmax_posedge: VitalDelayType01 := DefPropDelay01
);
PORT ( clk0 : IN std_logic := '0';
bslipcntl : IN std_logic := '0';
bsliprst : IN std_logic := '0';
datain : IN std_logic := '0';
bslipmax : OUT std_logic;
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixiii_lvds_rx_fifo
GENERIC ( channel_width : integer := 10
);
PORT ( wclk : IN std_logic := '0';
rclk : IN std_logic := '0';
fiforst : IN std_logic := '0';
dparst : IN std_logic := '0';
datain : IN std_logic := '0';
dataout : OUT std_logic
);
END COMPONENT;
COMPONENT stratixiii_lvds_rx_deser
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
datain : IN std_logic;
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT stratixiii_lvds_rx_parallel_reg
GENERIC ( channel_width : integer := 4
);
PORT ( clk : IN std_logic;
enable : IN std_logic := '1';
datain : IN std_logic_vector(channel_width - 1 DOWNTO 0);
dataout : OUT std_logic_vector(channel_width - 1 DOWNTO 0);
devclrn : IN std_logic := '1';
devpor : IN std_logic := '1'
);
END COMPONENT;
COMPONENT stratixiii_lvds_reg
GENERIC ( MsgOn : Boolean := DefGlitchMsgOn;
XOn : Boolean := DefGlitchXOn;
MsgOnChecks : Boolean := DefMsgOnChecks;
XOnChecks : Boolean := DefXOnChecks;
InstancePath : String := "*";
tipd_clk : VitalDelayType01 := DefpropDelay01;
tipd_ena : VitalDelayType01 := DefpropDelay01;
tipd_d : VitalDelayType01 := DefpropDelay01;
tpd_clk_q_posedge : VitalDelayType01 := DefPropDelay01
);
PORT ( q : OUT std_logic;
clk : IN std_logic;
ena : IN std_logic := '1';
d : IN std_logic;
clrn : IN std_logic := '1';
prn : IN std_logic := '1'
);
END COMPONENT;
COMPONENT stratixiii_pclk_divider
GENERIC (
clk_divide_by : integer := 1);
PORT (
clkin : IN std_logic;
lloaden : OUT std_logic;
clkout : OUT std_logic);
END COMPONENT;
COMPONENT stratixiii_select_ini_phase_dpaclk
GENERIC(
initial_phase_select : integer := 0
);
PORT (
clkin : IN STD_LOGIC;
loaden : IN STD_LOGIC;
enable : IN STD_LOGIC;
loadenout : OUT STD_LOGIC;
clkout : OUT STD_LOGIC
);
END COMPONENT;
COMPONENT stratixiii_dpa_block
GENERIC (
net_ppm_variation : INTEGER := 0;
is_negative_ppm_drift : STRING := "off";
enable_soft_cdr_mode: STRING := "on"
);
PORT (
clkin : IN STD_LOGIC;
dpareset : IN STD_LOGIC;
dpahold : IN STD_LOGIC;
datain : IN STD_LOGIC;
clkout : OUT STD_LOGIC;
dataout : OUT STD_LOGIC;
dpalock : OUT STD_LOGIC
);
END COMPONENT;
-- INTERNAL SIGNALS
signal bitslip_ipd : std_logic;
signal bitslipreset_ipd : std_logic;
signal clk0_ipd : std_logic;
signal datain_ipd : std_logic;
signal dpahold_ipd : std_logic;
signal dpareset_ipd : std_logic;
signal dpaswitch_ipd : std_logic;
signal enable0_ipd : std_logic;
signal fiforeset_ipd : std_logic;
signal serialfbk_ipd : std_logic;
signal fifo_wclk : std_logic;
signal fifo_rclk : std_logic;
signal fifo_datain : std_logic;
signal fifo_dataout : std_logic;
signal fifo_reset : std_logic;
signal slip_datain : std_logic;
signal slip_dataout : std_logic;
signal bitslip_reset : std_logic;
-- wire deser_dataout;
signal dpa_clk : std_logic;
signal dpa_rst : std_logic;
signal datain_reg : std_logic;
signal datain_reg_neg : std_logic;
signal datain_reg_tmp : std_logic;
signal deser_dataout : std_logic_vector(channel_width - 1 DOWNTO 0);
signal reset_fifo : std_logic;
signal gnd : std_logic := '0';
signal vcc : std_logic := '1';
signal in_reg_data : std_logic;
signal slip_datain_tmp : std_logic;
signal s_bitslip_clk : std_logic;
signal loaden : std_logic;
signal ini_dpa_clk : std_logic;
signal ini_dpa_load : std_logic;
signal ini_phase_select_enable : std_logic;
signal dpa_clk_shift : std_logic;
signal dpa_data_shift : std_logic;
signal lloaden : std_logic;
signal lock_tmp : std_logic;
signal divfwdclk_tmp : std_logic;
signal dpa_is_locked : std_logic;
signal dpareg0_out : std_logic;
signal dpareg1_out : std_logic;
signal xhdl_12 : std_logic;
signal rxload : std_logic;
signal clk0_tmp : std_logic;
signal clk0_tmp_neg : std_logic;
begin
WireDelay : block
begin
VitalWireDelay (clk0_ipd, clk0, tipd_clk0);
VitalWireDelay (datain_ipd, datain, tipd_datain);
VitalWireDelay (enable0_ipd, enable0, tipd_enable0);
VitalWireDelay (dpareset_ipd, dpareset, tipd_dpareset);
VitalWireDelay (dpahold_ipd, dpahold, tipd_dpahold);
VitalWireDelay (dpaswitch_ipd, dpaswitch, tipd_dpaswitch);
VitalWireDelay (fiforeset_ipd, fiforeset, tipd_fiforeset);
VitalWireDelay (bitslip_ipd, bitslip, tipd_bitslip);
VitalWireDelay (bitslipreset_ipd, bitslipreset, tipd_bitslipreset);
VitalWireDelay (serialfbk_ipd, serialfbk, tipd_serialfbk);
end block;
process (clk0_ipd, dpareset_ipd,lock_tmp )
variable dpalock_VitalGlitchData : VitalGlitchDataType;
variable initial : boolean := true;
begin
if (initial) then
if (reset_fifo_at_first_lock = "on") then
reset_fifo <= '1';
else
reset_fifo <= '0';
end if;
initial := false;
end if;
----------------------
-- Path Delay Section
----------------------
VitalPathDelay01 (
OutSignal => dpalock,
OutSignalName => "DPALOCK",
OutTemp => dpa_is_locked,
Paths => (1 => (clk0_ipd'last_event, tpd_clk0_dpalock_posedge, enable_dpa = "on")),
GlitchData => dpalock_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn );
if(lock_tmp = '1') then
reset_fifo <= '0';
else
reset_fifo <= '1';
end if;
end process;
xhdl_12 <= devclrn OR devpor;
-- input register in non-DPA mode for sampling incoming data
in_reg : stratixiii_lvds_reg
PORT MAP (
d => in_reg_data,
clk => clk0_tmp,
ena => vcc,
clrn => xhdl_12,
prn => vcc,
q => datain_reg
);
in_reg_data <= serialfbk_ipd WHEN (use_serial_feedback_input = "on") ELSE datain_ipd;
clk0_tmp <= clk0_ipd;
clk0_tmp_neg <= not clk0_ipd;
neg_reg : stratixiii_lvds_reg
PORT MAP (
d => in_reg_data,
clk => clk0_tmp_neg,
ena => vcc,
clrn => xhdl_12,
prn => vcc,
q => datain_reg_neg
);
datain_reg_tmp <= datain_reg WHEN (align_to_rising_edge_only = "on") ELSE datain_reg_neg;
-- dpa initial phase select
ini_clk_phase_select: stratixiii_select_ini_phase_dpaclk
GENERIC MAP(
initial_phase_select => dpa_initial_phase_value
)
PORT MAP(
clkin => clk0_ipd,
loaden => enable0_ipd,
enable => ini_phase_select_enable,
loadenout=>ini_dpa_load,
clkout => ini_dpa_clk
);
ini_phase_select_enable <= '1' when (enable_dpa_initial_phase_selection = "on") else '0';
-- DPA circuitary
dpareg0 : stratixiii_lvds_reg
PORT MAP (
d => in_reg_data,
clk => ini_dpa_clk,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg0_out
);
dpareg1 : stratixiii_lvds_reg
PORT MAP ( d => dpareg0_out,
clk => ini_dpa_clk,
clrn => vcc,
prn => vcc,
ena => vcc,
q => dpareg1_out
);
dpa_circuit: stratixiii_dpa_block
GENERIC MAP(
net_ppm_variation => net_ppm_variation,
is_negative_ppm_drift => is_negative_ppm_drift,
enable_soft_cdr_mode => enable_soft_cdr
)
PORT MAP(
clkin => ini_dpa_clk,
dpareset => dpareset_ipd,
dpahold => dpahold_ipd,
datain => dpareg1_out,
clkout => dpa_clk_shift,
dataout => dpa_data_shift,
dpalock => lock_tmp
);
dpa_clk <= dpa_clk_shift when ((enable_soft_cdr = "on") or (enable_dpa = "on")) else '0' ;
dpa_rst <= dpareset_ipd when ((enable_soft_cdr = "on") or (enable_dpa = "on")) else '0' ;
-- PCLK and lloaden generation
clk_forward: stratixiii_pclk_divider
GENERIC MAP (
clk_divide_by => channel_width )
PORT MAP(
clkin => dpa_clk,
lloaden => lloaden,
clkout => divfwdclk_tmp
);
-- FIFO
s_fifo : stratixiii_lvds_rx_fifo
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( wclk => dpa_clk,
rclk => fifo_rclk,
fiforst => fifo_reset,
dparst => dpa_rst,
datain => fifo_datain,
dataout => fifo_dataout
);
fifo_rclk <= clk0_ipd WHEN (enable_dpa = "on") ELSE gnd ;
fifo_wclk <= dpa_clk ;
fifo_datain <= dpa_data_shift WHEN (enable_dpa = "on") ELSE gnd ;
fifo_reset <= (NOT devpor) OR (NOT devclrn) OR fiforeset_ipd OR dpa_rst OR reset_fifo ;
-- Bit Slip
s_bslip : stratixiii_lvds_rx_bitslip
GENERIC MAP ( bitslip_rollover => data_align_rollover,
channel_width => channel_width,
x_on_bitslip => x_on_bitslip
)
PORT MAP ( clk0 => s_bitslip_clk,
bslipcntl => bitslip_ipd,
bsliprst => bitslip_reset,
datain => slip_datain,
bslipmax => bitslipmax,
dataout => slip_dataout
);
bitslip_reset <= (NOT devpor) OR (NOT devclrn) OR bitslipreset_ipd ;
slip_datain_tmp <= fifo_dataout when (enable_dpa = "on" and dpaswitch_ipd = '1') else datain_reg_tmp ;
slip_datain <= dpa_data_shift when(enable_soft_cdr = "on") else slip_datain_tmp;
s_bitslip_clk <= dpa_clk when (enable_soft_cdr = "on") else clk0_ipd;
-- DESERIALISER
rxload_reg : stratixiii_lvds_reg
PORT MAP ( d => loaden,
clk => s_bitslip_clk,
ena => vcc,
clrn => vcc,
prn => vcc,
q => rxload
);
loaden <= lloaden when (enable_soft_cdr = "on") else ini_dpa_load;
s_deser : stratixiii_lvds_rx_deser
GENERIC MAP (channel_width => channel_width
)
PORT MAP (clk => s_bitslip_clk,
datain => slip_dataout,
devclrn => devclrn,
devpor => devpor,
dataout => deser_dataout
);
output_reg : stratixiii_lvds_rx_parallel_reg
GENERIC MAP ( channel_width => channel_width
)
PORT MAP ( clk => s_bitslip_clk,
enable => rxload,
datain => deser_dataout,
devpor => devpor,
devclrn => devclrn,
dataout => dataout
);
dpa_is_locked <= gnd;
dpaclkout <= dpa_clk_shift;
postdpaserialdataout <= dpa_data_shift ;
serialdataout <= datain_ipd;
divfwdclk <= divfwdclk_tmp ;
END vital_arm_lvds_receiver;
----------------------------------------------------------------------------------
--Module Name: stratixiii_pseudo_diff_out --
--Description: Simulation model for Stratix III Pseudo Differential --
-- Output Buffer --
----------------------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_pseudo_diff_out IS
GENERIC (
tipd_i : VitalDelayType01 := DefPropDelay01;
tpd_i_o : VitalDelayType01 := DefPropDelay01;
tpd_i_obar : VitalDelayType01 := DefPropDelay01;
XOn : Boolean := DefGlitchXOn;
MsgOn : Boolean := DefGlitchMsgOn;
lpm_type : string := "stratixiii_pseudo_diff_out"
);
PORT (
i : IN std_logic := '0';
o : OUT std_logic;
obar : OUT std_logic
);
END stratixiii_pseudo_diff_out;
ARCHITECTURE arch OF stratixiii_pseudo_diff_out IS
SIGNAL i_ipd : std_logic ;
SIGNAL o_tmp : std_logic ;
SIGNAL obar_tmp : std_logic;
BEGIN
WireDelay : block
begin
VitalWireDelay (i_ipd, i, tipd_i);
end block;
PROCESS( i_ipd)
BEGIN
IF (i_ipd = '0') THEN
o_tmp <= '0';
obar_tmp <= '1';
ELSE
IF (i_ipd = '1') THEN
o_tmp <= '1';
obar_tmp <= '0';
ELSE
o_tmp <= i_ipd;
obar_tmp <= i_ipd;
END IF;
END IF;
END PROCESS;
---------------------
-- Path Delay Section
----------------------
PROCESS( o_tmp,obar_tmp)
variable o_VitalGlitchData : VitalGlitchDataType;
variable obar_VitalGlitchData : VitalGlitchDataType;
BEGIN
VitalPathDelay01 (
OutSignal => o,
OutSignalName => "o",
OutTemp => o_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_o, TRUE)),
GlitchData => o_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
VitalPathDelay01 (
OutSignal => obar,
OutSignalName => "obar",
OutTemp => obar_tmp,
Paths => (0 => (i_ipd'last_event, tpd_i_obar, TRUE)),
GlitchData => obar_VitalGlitchData,
Mode => DefGlitchMode,
XOn => XOn,
MsgOn => MsgOn
);
END PROCESS;
END arch;
--------------------------------------------------------------
--
-- Entity Name : stratixiii_bias_logic
--
-- Description : STRATIXIII Bias Block's Logic Block
-- VHDL simulation model
--
--------------------------------------------------------------
LIBRARY IEEE;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use IEEE.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_bias_logic IS
GENERIC (
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_shiftnld : VitalDelayType01 := DefPropDelay01;
tipd_captnupdt : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
captnupdt : in std_logic := '0';
mainclk : out std_logic := '0';
updateclk : out std_logic := '0';
capture : out std_logic := '0';
update : out std_logic := '0'
);
attribute VITAL_LEVEL0 of stratixiii_bias_logic : ENTITY IS TRUE;
end stratixiii_bias_logic;
ARCHITECTURE vital_bias_logic of stratixiii_bias_logic IS
attribute VITAL_LEVEL0 of vital_bias_logic : ARCHITECTURE IS TRUE;
signal clk_ipd : std_logic := '0';
signal shiftnld_ipd : std_logic := '0';
signal captnupdt_ipd : std_logic := '0';
begin
WireDelay : block
begin
VitalWireDelay (clk_ipd, clk, tipd_clk);
VitalWireDelay (shiftnld_ipd, shiftnld, tipd_shiftnld);
VitalWireDelay (captnupdt_ipd, captnupdt, tipd_captnupdt);
end block;
process (clk_ipd, shiftnld_ipd, captnupdt_ipd)
variable select_tmp : std_logic_vector(1 DOWNTO 0) := (others => '0');
begin
select_tmp := captnupdt_ipd & shiftnld_ipd;
case select_tmp IS
when "10"|"11" =>
mainclk <= '0';
updateclk <= clk_ipd;
capture <= '1';
update <= '0';
when "01" =>
mainclk <= '0';
updateclk <= clk_ipd;
capture <= '0';
update <= '0';
when "00" =>
mainclk <= clk_ipd;
updateclk <= '0';
capture <= '0';
update <= '1';
when others =>
mainclk <= '0';
updateclk <= '0';
capture <= '0';
update <= '0';
end case;
end process;
end vital_bias_logic;
--------------------------------------------------------------
--
-- Entity Name : stratixiii_bias_generator
--
-- Description : STRATIXIII Bias Generator VHDL simulation model
--
--------------------------------------------------------------
LIBRARY IEEE;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use IEEE.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_bias_generator IS
GENERIC (
tipd_din : VitalDelayType01 := DefPropDelay01;
tipd_mainclk : VitalDelayType01 := DefPropDelay01;
tipd_updateclk : VitalDelayType01 := DefPropDelay01;
tipd_update : VitalDelayType01 := DefPropDelay01;
tipd_capture : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
din : in std_logic := '0';
mainclk : in std_logic := '0';
updateclk : in std_logic := '0';
capture : in std_logic := '0';
update : in std_logic := '0';
dout : out std_logic := '0'
);
attribute VITAL_LEVEL0 of stratixiii_bias_generator : ENTITY IS TRUE;
end stratixiii_bias_generator;
ARCHITECTURE vital_bias_generator of stratixiii_bias_generator IS
attribute VITAL_LEVEL0 of vital_bias_generator : ARCHITECTURE IS TRUE;
CONSTANT TOTAL_REG : integer := 252;
signal din_ipd : std_logic := '0';
signal mainclk_ipd : std_logic := '0';
signal updateclk_ipd : std_logic := '0';
signal update_ipd : std_logic := '0';
signal capture_ipd : std_logic := '0';
signal generator_reg : std_logic_vector((TOTAL_REG - 1) DOWNTO 0) := (others => '0');
signal update_reg : std_logic_vector((TOTAL_REG - 1) DOWNTO 0) := (others => '0');
signal dout_tmp : std_logic := '0';
signal i : integer := 0;
begin
WireDelay : block
begin
VitalWireDelay (din_ipd, din, tipd_din);
VitalWireDelay (mainclk_ipd, mainclk, tipd_mainclk);
VitalWireDelay (updateclk_ipd, updateclk, tipd_updateclk);
VitalWireDelay (update_ipd, update, tipd_update);
VitalWireDelay (capture_ipd, capture, tipd_capture);
end block;
process (mainclk_ipd)
begin
if (mainclk_ipd'event AND (mainclk_ipd = '1') AND (mainclk_ipd'last_value = '0')) then
if ((capture_ipd = '0') AND (update_ipd = '1')) then
for i in 0 to (TOTAL_REG - 1)
loop
generator_reg(i) <= update_reg(i);
end loop;
end if;
end if;
end process;
process (updateclk_ipd)
begin
if (updateclk_ipd'event AND (updateclk_ipd = '1') AND (updateclk_ipd'last_value = '0')) then
dout_tmp <= update_reg(TOTAL_REG - 1);
if ((capture_ipd = '0') AND (update_ipd = '0')) then
for i in 1 to (TOTAL_REG - 1)
loop
update_reg(i) <= update_reg(i - 1);
end loop;
update_reg(0) <= din_ipd;
elsif ((capture_ipd = '1') AND (update_ipd = '0')) then
for i in 1 to (TOTAL_REG - 1)
loop
update_reg(i) <= generator_reg(i);
end loop;
end if;
end if;
end process;
dout <= dout_tmp;
end vital_bias_generator;
--------------------------------------------------------------
--
-- Entity Name : stratixiii_bias_block
--
-- Description : STRATIXIII Bias Block VHDL simulation model
--
--------------------------------------------------------------
LIBRARY IEEE;
use IEEE.VITAL_Timing.all;
use IEEE.VITAL_Primitives.all;
use IEEE.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
ENTITY stratixiii_bias_block IS
GENERIC (
lpm_type : string := "stratixiii_bias_block";
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_shiftnld : VitalDelayType01 := DefPropDelay01;
tipd_captnupdt : VitalDelayType01 := DefPropDelay01;
tipd_din : VitalDelayType01 := DefPropDelay01;
tsetup_din_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_shiftnld_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tsetup_captnupdt_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_din_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_shiftnld_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
thold_captnupdt_clk_noedge_posedge : VitalDelayType := DefSetupHoldCnst;
tpd_clk_dout_posedge : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
captnupdt : in std_logic := '0';
din : in std_logic := '0';
dout : out std_logic := '0'
);
attribute VITAL_LEVEL0 of stratixiii_bias_block : ENTITY IS TRUE;
end stratixiii_bias_block;
ARCHITECTURE vital_bias_block of stratixiii_bias_block IS
COMPONENT stratixiii_bias_logic
GENERIC (
tipd_clk : VitalDelayType01 := DefPropDelay01;
tipd_shiftnld : VitalDelayType01 := DefPropDelay01;
tipd_captnupdt : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
clk : in std_logic := '0';
shiftnld : in std_logic := '0';
captnupdt : in std_logic := '0';
mainclk : out std_logic := '0';
updateclk : out std_logic := '0';
capture : out std_logic := '0';
update : out std_logic := '0'
);
end COMPONENT;
COMPONENT stratixiii_bias_generator
GENERIC (
tipd_din : VitalDelayType01 := DefPropDelay01;
tipd_mainclk : VitalDelayType01 := DefPropDelay01;
tipd_updateclk : VitalDelayType01 := DefPropDelay01;
tipd_update : VitalDelayType01 := DefPropDelay01;
tipd_capture : VitalDelayType01 := DefPropDelay01;
MsgOn: Boolean := DefGlitchMsgOn;
XOn: Boolean := DefGlitchXOn;
MsgOnChecks: Boolean := DefMsgOnChecks;
XOnChecks: Boolean := DefXOnChecks
);
PORT (
din : in std_logic := '0';
mainclk : in std_logic := '0';
updateclk : in std_logic := '0';
capture : in std_logic := '0';
update : in std_logic := '0';
dout : out std_logic := '0'
);
end COMPONENT;
signal mainclk_wire : std_logic := '0';
signal updateclk_wire : std_logic := '0';
signal capture_wire : std_logic := '0';
signal update_wire : std_logic := '0';
begin
logic_block : stratixiii_bias_logic
PORT MAP (
clk => clk,
shiftnld => shiftnld,
captnupdt => captnupdt,
mainclk => mainclk_wire,
updateclk => updateclk_wire,
capture => capture_wire,
update => update_wire
);
bias_generator : stratixiii_bias_generator
PORT MAP (
din => din,
mainclk => mainclk_wire,
updateclk => updateclk_wire,
capture => capture_wire,
update => update_wire,
dout => dout
);
end vital_bias_block;
-------------------------------------------------------------------
--
-- Entity Name : stratixiii_tsdblock
--
-- Description : Stratix III TSDBLOCK VHDL Simulation model
--
-------------------------------------------------------------------
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use work.stratixiii_atom_pack.all;
entity stratixiii_tsdblock is
generic (
poi_cal_temperature : integer := 85;
clock_divider_enable : string := "on";
clock_divider_value : integer := 40;
sim_tsdcalo : integer := 0;
user_offset_enable : string := "off";
lpm_type : string := "stratixiii_tsdblock"
);
port (
offset : in std_logic_vector(5 downto 0) := (OTHERS => '0');
clk : in std_logic := '0';
ce : in std_logic := '0';
clr : in std_logic := '0';
testin : in std_logic_vector(7 downto 0) := (OTHERS => '0');
tsdcalo : out std_logic_vector(7 downto 0);
tsdcaldone : out std_logic;
fdbkctrlfromcore : in std_logic := '0';
compouttest : in std_logic := '0';
tsdcompout : out std_logic;
offsetout : out std_logic_vector(5 downto 0)
);
end stratixiii_tsdblock;
architecture architecture_tsdblock of stratixiii_tsdblock is
begin
end architecture_tsdblock; -- end of stratixiii_tsdblock
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/srmmu/libmmu.vhd | 1 | 11294 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: leon3
-- File: leon3.vhd
-- Author: Konrad Eisele, Jiri Gaisler, Gaisler Research
-- Description: MMU component declaration
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.mmuconfig.all;
use gaisler.mmuiface.all;
package libmmu is
component mmu
generic (
tech : integer range 0 to NTECH := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
mmupgsz : integer range 0 to 5 := 0;
ramcbits : integer := 1
);
port (
rst : in std_logic;
clk : in std_logic;
mmudci : in mmudc_in_type;
mmudco : out mmudc_out_type;
mmuici : in mmuic_in_type;
mmuico : out mmuic_out_type;
mcmmo : in memory_mm_out_type;
mcmmi : out memory_mm_in_type;
ramcclk : in std_ulogic := '0';
ramcin : in std_logic_vector(2*ramcbits-1 downto 0) := (others => '0');
ramcout : out std_logic_vector(2*ramcbits-1 downto 0)
);
end component;
function TLB_CreateCamWrite( two_data : std_logic_vector(31 downto 0);
read : std_logic;
lvl : std_logic_vector(1 downto 0);
ctx : std_logic_vector(M_CTX_SZ-1 downto 0);
vaddr : std_logic_vector(31 downto 0)
) return tlbcam_reg;
procedure TLB_CheckFault( ACC : in std_logic_vector(2 downto 0);
isid : in mmu_idcache;
su : in std_logic;
read : in std_logic;
fault_pro : out std_logic;
fault_pri : out std_logic );
procedure TLB_MergeData( mmupgsz : in integer range 0 to 5;
mmctrl : in mmctrl_type1;
LVL : in std_logic_vector(1 downto 0);
PTE : in std_logic_vector(31 downto 0);
data : in std_logic_vector(31 downto 0);
transdata : out std_logic_vector(31 downto 0));
function TLB_CreateCamTrans( vaddr : std_logic_vector(31 downto 0);
read : std_logic;
ctx : std_logic_vector(M_CTX_SZ-1 downto 0)
) return tlbcam_tfp;
function TLB_CreateCamFlush( data : std_logic_vector(31 downto 0);
ctx : std_logic_vector(M_CTX_SZ-1 downto 0)
) return tlbcam_tfp;
subtype mmu_gpsz_typ is integer range 0 to 3;
function MMU_getpagesize( mmupgsz : in integer range 0 to 4;
mmctrl : in mmctrl_type1
) return mmu_gpsz_typ;
end;
package body libmmu is
procedure TLB_CheckFault( ACC : in std_logic_vector(2 downto 0);
isid : in mmu_idcache;
su : in std_logic;
read : in std_logic;
fault_pro : out std_logic;
fault_pri : out std_logic ) is
variable c_isd : std_logic;
begin
fault_pro := '0';
fault_pri := '0';
-- use '0' == icache '1' == dcache
if isid = id_icache then
c_isd := '0';
else
c_isd := '1';
end if;
--# fault, todo: should we flush on a fault?
case ACC is
when "000" => fault_pro := (not c_isd) or (not read);
when "001" => fault_pro := (not c_isd);
when "010" => fault_pro := (not read);
when "011" => null;
when "100" => fault_pro := (c_isd);
when "101" => fault_pro := (not c_isd) or ((not read) and (not su));
when "110" => fault_pri := (not su);
fault_pro := (not read);
when "111" => fault_pri := (not su);
when others => null;
end case;
end;
procedure TLB_MergeData( mmupgsz : in integer range 0 to 5;
mmctrl : in mmctrl_type1;
LVL : in std_logic_vector(1 downto 0);
PTE : in std_logic_vector(31 downto 0);
data : in std_logic_vector(31 downto 0);
transdata : out std_logic_vector(31 downto 0) ) is
variable pagesize : integer range 0 to 3;
begin
--# merge data
transdata := (others => '0');
pagesize := MMU_getpagesize(mmupgsz, mmctrl);
case pagesize is
when 1 =>
-- 8k
case LVL is
when LVL_PAGE => transdata := PTE(P8K_PTE_PPN32PAG_U downto P8K_PTE_PPN32PAG_D) & data(P8K_VA_OFFPAG_U downto P8K_VA_OFFPAG_D);
when LVL_SEGMENT => transdata := PTE(P8K_PTE_PPN32SEG_U downto P8K_PTE_PPN32SEG_D) & data(P8K_VA_OFFSEG_U downto P8K_VA_OFFSEG_D);
when LVL_REGION => transdata := PTE(P8K_PTE_PPN32REG_U downto P8K_PTE_PPN32REG_D) & data(P8K_VA_OFFREG_U downto P8K_VA_OFFREG_D);
when LVL_CTX => transdata := data(P8K_VA_OFFCTX_U downto P8K_VA_OFFCTX_D);
when others => transdata := (others => 'X');
end case;
when 2 =>
-- 16k
case LVL is
when LVL_PAGE => transdata := PTE(P16K_PTE_PPN32PAG_U downto P16K_PTE_PPN32PAG_D) & data(P16K_VA_OFFPAG_U downto P16K_VA_OFFPAG_D);
when LVL_SEGMENT => transdata := PTE(P16K_PTE_PPN32SEG_U downto P16K_PTE_PPN32SEG_D) & data(P16K_VA_OFFSEG_U downto P16K_VA_OFFSEG_D);
when LVL_REGION => transdata := PTE(P16K_PTE_PPN32REG_U downto P16K_PTE_PPN32REG_D) & data(P16K_VA_OFFREG_U downto P16K_VA_OFFREG_D);
when LVL_CTX => transdata := data(P16K_VA_OFFCTX_U downto P16K_VA_OFFCTX_D);
when others => transdata := (others => 'X');
end case;
when 3 =>
-- 32k
case LVL is
when LVL_PAGE => transdata := PTE(P32K_PTE_PPN32PAG_U downto P32K_PTE_PPN32PAG_D) & data(P32K_VA_OFFPAG_U downto P32K_VA_OFFPAG_D);
when LVL_SEGMENT => transdata := PTE(P32K_PTE_PPN32SEG_U downto P32K_PTE_PPN32SEG_D) & data(P32K_VA_OFFSEG_U downto P32K_VA_OFFSEG_D);
when LVL_REGION => transdata := PTE(P32K_PTE_PPN32REG_U downto P32K_PTE_PPN32REG_D) & data(P32K_VA_OFFREG_U downto P32K_VA_OFFREG_D);
when LVL_CTX => transdata := data(P32K_VA_OFFCTX_U downto P32K_VA_OFFCTX_D);
when others => transdata := (others => 'X');
end case;
when others =>
-- 4k
case LVL is
when LVL_PAGE => transdata := PTE(PTE_PPN32PAG_U downto PTE_PPN32PAG_D) & data(VA_OFFPAG_U downto VA_OFFPAG_D);
when LVL_SEGMENT => transdata := PTE(PTE_PPN32SEG_U downto PTE_PPN32SEG_D) & data(VA_OFFSEG_U downto VA_OFFSEG_D);
when LVL_REGION => transdata := PTE(PTE_PPN32REG_U downto PTE_PPN32REG_D) & data(VA_OFFREG_U downto VA_OFFREG_D);
when LVL_CTX => transdata := data(VA_OFFCTX_U downto VA_OFFCTX_D);
when others => transdata := (others => 'X');
end case;
end case;
end;
function TLB_CreateCamWrite( two_data : std_logic_vector(31 downto 0);
read : std_logic;
lvl : std_logic_vector(1 downto 0);
ctx : std_logic_vector(M_CTX_SZ-1 downto 0);
vaddr : std_logic_vector(31 downto 0)
) return tlbcam_reg is
variable tlbcam_tagwrite : tlbcam_reg;
begin
tlbcam_tagwrite.ET := two_data(PT_ET_U downto PT_ET_D);
tlbcam_tagwrite.ACC := two_data(PTE_ACC_U downto PTE_ACC_D);
tlbcam_tagwrite.M := two_data(PTE_M) or (not read); -- tw : p-update modified
tlbcam_tagwrite.R := '1';
case tlbcam_tagwrite.ACC is -- tw : p-su ACC >= 6
when "110" | "111" => tlbcam_tagwrite.SU := '1';
when others => tlbcam_tagwrite.SU := '0';
end case;
tlbcam_tagwrite.VALID := '1';
tlbcam_tagwrite.LVL := lvl;
tlbcam_tagwrite.I1 := vaddr(VA_I1_U downto VA_I1_D);
tlbcam_tagwrite.I2 := vaddr(VA_I2_U downto VA_I2_D);
tlbcam_tagwrite.I3 := vaddr(VA_I3_U downto VA_I3_D);
tlbcam_tagwrite.CTX := ctx;
tlbcam_tagwrite.PPN := two_data(PTE_PPN_U downto PTE_PPN_D);
tlbcam_tagwrite.C := two_data(PTE_C);
return tlbcam_tagwrite;
end;
function MMU_getpagesize( mmupgsz : in integer range 0 to 4;
mmctrl : in mmctrl_type1
) return mmu_gpsz_typ is
variable pagesize : mmu_gpsz_typ;
begin
if mmupgsz = 4 then pagesize := conv_integer(mmctrl.pagesize); -- variable
else pagesize := mmupgsz; end if;
return pagesize;
end;
function TLB_CreateCamTrans( vaddr : std_logic_vector(31 downto 0);
read : std_logic;
ctx : std_logic_vector(M_CTX_SZ-1 downto 0)
) return tlbcam_tfp is
variable mtag : tlbcam_tfp;
begin
mtag.TYP := (others => '0');
mtag.I1 := vaddr(VA_I1_U downto VA_I1_D);
mtag.I2 := vaddr(VA_I2_U downto VA_I2_D);
mtag.I3 := vaddr(VA_I3_U downto VA_I3_D);
mtag.CTX := ctx;
mtag.M := not (read);
return mtag;
end;
function TLB_CreateCamFlush( data : std_logic_vector(31 downto 0);
ctx : std_logic_vector(M_CTX_SZ-1 downto 0)
) return tlbcam_tfp is
variable ftag : tlbcam_tfp;
begin
ftag.TYP := data(FPTY_U downto FPTY_D);
ftag.I1 := data(FPA_I1_U downto FPA_I1_D);
ftag.I2 := data(FPA_I2_U downto FPA_I2_D);
ftag.I3 := data(FPA_I3_U downto FPA_I3_D);
ftag.CTX := ctx;
ftag.M := '0';
return ftag;
end;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/stratixiii/alt/actrlout.vhd | 3 | 2539 | library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library stratixiii;
use stratixiii.all;
entity actrlout is
generic(
power_up : string := "high"
);
port(
clk : in std_logic;
i : in std_logic;
o : out std_logic
);
end;
architecture rtl of actrlout is
component stratixiii_ddio_out
generic(
power_up : string := "low";
async_mode : string := "none";
sync_mode : string := "none";
half_rate_mode : string := "false";
use_new_clocking_model : string := "false";
lpm_type : string := "stratixiii_ddio_out"
);
port (
datainlo : in std_logic := '0';
datainhi : in std_logic := '0';
clk : in std_logic := '0';
clkhi : in std_logic := '0';
clklo : in std_logic := '0';
muxsel : in std_logic := '0';
ena : in std_logic := '1';
areset : in std_logic := '0';
sreset : in std_logic := '0';
dataout : out std_logic
-- dfflo : out std_logic;
-- dffhi : out std_logic-- ;
--devclrn : in std_logic := '1';
--devpor : in std_logic := '1'
);
end component;
signal vcc : std_logic;
signal gnd : std_logic_vector(13 downto 0);
signal clk_reg : std_logic;
signal clk_buf, clk_bufn : std_logic;
begin
vcc <= '1'; gnd <= (others => '0');
out_reg0 : stratixiii_ddio_out
generic map(
power_up => power_up,--"high",
async_mode => "none",
sync_mode => "none",
half_rate_mode => "false",
use_new_clocking_model => "false",
lpm_type => "stratixiii_ddio_out"
)
port map(
datainlo => i,
datainhi => i,
clk => clk,
clkhi => clk,
clklo => clk,
muxsel => clk,
ena => vcc,
areset => gnd(0),
sreset => gnd(0),
dataout => o
-- dfflo => open,
-- dffhi => open--,
--devclrn => vcc,
--devpor => vcc
);
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/maps/iopad_ds.vhd | 1 | 4961 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: iopad_ds
-- File: iopad_ds.vhd
-- Author: Nils Johan Wessman - Gaisler Research
-- Description: differential io pad with technology wrapper
------------------------------------------------------------------------------
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use techmap.allpads.all;
entity iopad_ds is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0; term : integer := 0);
port (padp, padn : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic);
end;
architecture rtl of iopad_ds is
signal oen : std_ulogic;
begin
oen <= not en when oepol /= padoen_polarity(tech) else en;
gen0 : if has_ds_pads(tech) = 0 or
tech = axcel or tech = axdsp or tech = rhlib18t or
tech = ut25 or tech = ut130 generate
padp <= transport i
-- pragma translate_off
after 2 ns
-- pragma translate_on
when oen = '0' and slew = 0 else i when oen = '0'
-- pragma translate_off
else 'X' after 2 ns when is_x(oen)
-- pragma translate_on
else 'Z'
-- pragma translate_off
after 2 ns
-- pragma translate_on
;
padn <= transport not i
-- pragma translate_off
after 2 ns
-- pragma translate_on
when oen = '0' and slew = 0 else not i when oen = '0'
-- pragma translate_off
else 'X' after 2 ns when is_x(oen)
-- pragma translate_on
else 'Z'
-- pragma translate_off
after 2 ns
-- pragma translate_on
;
o <= to_X01(padp)
-- pragma translate_off
after 1 ns
-- pragma translate_on
;
end generate;
xcv : if is_unisim(tech) = 1 generate
x0 : unisim_iopad_ds generic map (level, slew, voltage, strength)
port map (padp, padn, i, oen, o);
end generate;
pa3 : if (tech = apa3) generate
x0 : apa3_iopad_ds generic map (level)
port map (padp, padn, i, oen, o);
end generate;
pa3e : if (tech = apa3e) generate
x0 : apa3e_iopad_ds generic map (level)
port map (padp, padn, i, oen, o);
end generate;
pa3l : if (tech = apa3l) generate
x0 : apa3l_iopad_ds generic map (level)
port map (padp, padn, i, oen, o);
end generate;
fus : if (tech = actfus) generate
x0 : fusion_iopad_ds generic map (level)
port map (padp, padn, i, oen, o);
end generate;
n2x : if (tech = easic45) generate
x0 : n2x_iopad_ds generic map (level, slew, voltage, strength)
port map (padp, padn, i, oen, o);
end generate;
end;
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
entity iopad_dsv is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
padp, padn : inout std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_ulogic;
o : out std_logic_vector(width-1 downto 0));
end;
architecture rtl of iopad_dsv is
begin
v : for j in width-1 downto 0 generate
x0 : iopad_ds generic map (tech, level, slew, voltage, strength, oepol)
port map (padp(j), padn(j), i(j), en, o(j));
end generate;
end;
library techmap;
library ieee;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
entity iopad_dsvv is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
padp, padn : inout std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0));
end;
architecture rtl of iopad_dsvv is
begin
v : for j in width-1 downto 0 generate
x0 : iopad_ds generic map (tech, level, slew, voltage, strength, oepol)
port map (padp(j), padn(j), i(j), en(j), o(j));
end generate;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-nuhorizons-3s1500/leon3mp.vhd | 1 | 24422 | -----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.can.all;
use gaisler.net.all;
use gaisler.jtag.all;
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
pb_sw : in std_logic_vector (4 downto 1); -- push buttons
pll_clk : in std_ulogic; -- PLL clock
led : out std_logic_vector(8 downto 1);
flash_a : out std_logic_vector(20 downto 0);
flash_d : inout std_logic_vector(15 downto 0);
sdram_a : out std_logic_vector(11 downto 0);
sdram_d : inout std_logic_vector(31 downto 0);
sdram_ba : out std_logic_vector(3 downto 0);
sdram_dqm : out std_logic_vector(3 downto 0);
sdram_clk : inout std_ulogic;
sdram_cke : out std_ulogic; -- sdram clock enable
sdram_csn : out std_ulogic; -- sdram chip select
sdram_wen : out std_ulogic; -- sdram write enable
sdram_rasn : out std_ulogic; -- sdram ras
sdram_casn : out std_ulogic; -- sdram cas
uart1_txd : out std_ulogic;
uart1_rxd : in std_ulogic;
uart1_rts : out std_ulogic;
uart1_cts : in std_ulogic;
uart2_txd : out std_ulogic;
uart2_rxd : in std_ulogic;
uart2_rts : out std_ulogic;
uart2_cts : in std_ulogic;
flash_oen : out std_ulogic;
flash_wen : out std_ulogic;
flash_cen : out std_ulogic;
flash_byte : out std_ulogic;
flash_ready : in std_ulogic;
flash_rpn : out std_ulogic;
flash_wpn : out std_ulogic;
phy_mii_data: inout std_logic; -- ethernet PHY interface
phy_tx_clk : in std_ulogic;
phy_rx_clk : in std_ulogic;
phy_rx_data : in std_logic_vector(3 downto 0);
phy_dv : in std_ulogic;
phy_rx_er : in std_ulogic;
phy_col : in std_ulogic;
phy_crs : in std_ulogic;
phy_tx_data : out std_logic_vector(3 downto 0);
phy_tx_en : out std_ulogic;
phy_mii_clk : out std_ulogic;
phy_100 : in std_ulogic; -- 100 Mbit indicator
phy_rst_n : out std_ulogic;
gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
-- lcd_data : inout std_logic_vector(7 downto 0);
-- lcd_rs : out std_ulogic;
-- lcd_rw : out std_ulogic;
-- lcd_en : out std_ulogic;
-- lcd_backl : out std_ulogic;
can_txd : out std_ulogic;
can_rxd : in std_ulogic;
smsc_addr : out std_logic_vector(14 downto 0);
smsc_data : inout std_logic_vector(31 downto 0);
smsc_nbe : out std_logic_vector(3 downto 0);
smsc_resetn : out std_ulogic;
smsc_ardy : in std_ulogic;
-- smsc_intr : in std_ulogic;
smsc_nldev : in std_ulogic;
smsc_nrd : out std_ulogic;
smsc_nwr : out std_ulogic;
smsc_ncs : out std_ulogic;
smsc_aen : out std_ulogic;
smsc_lclk : out std_ulogic;
smsc_wnr : out std_ulogic;
smsc_rdyrtn : out std_ulogic;
smsc_cycle : out std_ulogic;
smsc_nads : out std_ulogic
);
end;
architecture rtl of leon3mp is
signal vcc, gnd : std_logic_vector(7 downto 0);
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal clkm, rstn, rstraw, pciclk, sdclkl : std_ulogic;
signal cgi : clkgen_in_type;
signal cgo : clkgen_out_type;
signal u1i, u2i, dui : uart_in_type;
signal u1o, u2o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal ethi, ethi1, ethi2 : eth_in_type;
signal etho, etho1, etho2 : eth_out_type;
signal gpti : gptimer_in_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal can_lrx, can_ltx : std_ulogic;
signal lclk, pci_lclk, sdfb : std_ulogic;
signal tck, tms, tdi, tdo : std_ulogic;
signal resetn : std_ulogic;
signal pbsw : std_logic_vector(4 downto 1);
signal ledo : std_logic_vector(8 downto 1);
signal memi : memory_in_type;
signal memo : memory_out_type;
--for smc lan chip
signal s_eth_aen : std_logic;
signal s_eth_readn : std_logic;
signal s_eth_writen: std_logic;
signal s_eth_nbe : std_logic_vector(3 downto 0);
signal s_eth_din : std_logic_vector(31 downto 0);
constant ahbmmax : integer := CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+ CFG_GRETH;
constant BOARD_FREQ : integer := 50000; -- board frequency in KHz
constant CPU_FREQ : integer := (BOARD_FREQ*CFG_CLKMUL)/CFG_CLKDIV; -- cpu frequency in KHz
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= (others => '1'); gnd <= (others => '0');
sdram_clk_pad : skew_outpad
generic map (tech => padtech, slew => 1, strength => 24, skew => -60)
port map (sdram_clk, sdclkl, rstn);
cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
resetn <= pbsw(4);
ledo(2) <= not cgo.clklock;
ledo(3) <= pbsw(3);
clk_pad : clkpad generic map (tech => padtech) port map (pll_clk, lclk);
clkgen0 : clkgen -- clock generator
generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ)
port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo);
rst0 : rstgen -- reset generator
port map (resetn, clkm, cgo.clklock, rstn, rstraw);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
nahbm => ahbmmax, nahbs => 8)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
l3 : if CFG_LEON3 = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
ledo(8) <= dbgo(0).error;
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1'; dsui.break <= pbsw(1); ledo(1) <= not dsuo.active;
end generate;
end generate;
nodcom : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
dcomgen : if CFG_AHB_UART = 1 generate
dcom0: ahbuart -- Debug UART
generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
dui.rxd <= u2i.rxd; u2o.txd <= duo.txd; u2o.rtsn <= gnd(0);
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd(0));
end generate;
----------------------------------------------------------------------
--- PROM/SDRAM Memory controller ------------------------------------
----------------------------------------------------------------------
memi.brdyn <= '1'; memi.bexcn <= '1';
memi.writen <= '1'; memi.wrn <= "1111";
memi.bwidth <= "00" when CFG_MCTRL_RAM16BIT = 0 else "01";
mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller
sr1 : entity work.smc_mctrl generic map (hindex => 0, pindex => 0, paddr => 0,
srbanks => 4+CFG_MCTRL_5CS, sden => CFG_MCTRL_SDEN,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS,
sdbits => 32 + 32*CFG_MCTRL_SD64)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0),
wpo, sdo, s_eth_aen, s_eth_readn, s_eth_writen, s_eth_nbe, s_eth_din);
addr_pad : outpadv generic map (width => 21, tech => padtech)
port map (flash_a(20 downto 0), memo.address(21 downto 1));
roms_pad : outpad generic map (tech => padtech)
port map (flash_cen, memo.romsn(0));
oen_pad : outpad generic map (tech => padtech)
port map (flash_oen, memo.oen);
wri_pad : outpad generic map (tech => padtech)
port map (flash_wen, memo.writen);
rom8 : if CFG_MCTRL_RAM16BIT = 0 generate
data_pad : iopadv generic map (tech => padtech, width => 8)
port map (flash_d(7 downto 0), memo.data(31 downto 24),
memo.bdrive(0), memi.data(31 downto 24));
data15_pad : iopad generic map (tech => padtech)
port map (flash_d(15), memo.address(0), gnd(0), open);
end generate;
rom16 : if CFG_MCTRL_RAM16BIT = 1 generate
data_pad : iopadv generic map (tech => padtech, width => 16)
port map (flash_d(15 downto 0), memo.data(31 downto 16),
memo.bdrive(0), memi.data(31 downto 16));
end generate;
sa_pad : outpadv generic map (width => 12, tech => padtech)
port map (sdram_a, memo.sa(11 downto 0));
sba1_pad : outpadv generic map (width => 2, tech => padtech)
port map (sdram_ba(1 downto 0), memo.sa(14 downto 13));
sba2_pad : outpadv generic map (width => 2, tech => padtech)
port map (sdram_ba(3 downto 2), memo.sa(14 downto 13));
bdr : for i in 0 to 3 generate
sd_pad : iopadv generic map (tech => padtech, width => 8)
port map (sdram_d(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8));
end generate;
sdcke_pad : outpad generic map (tech => padtech)
port map (sdram_cke, sdo.sdcke(0));
sdwen_pad : outpad generic map (tech => padtech)
port map (sdram_wen, sdo.sdwen);
sdcsn_pad : outpad generic map (tech => padtech)
port map (sdram_csn, sdo.sdcsn(0));
sdras_pad : outpad generic map (tech => padtech)
port map (sdram_rasn, sdo.rasn);
sdcas_pad : outpad generic map (tech => padtech)
port map (sdram_casn, sdo.casn);
sddqm_pad : outpadv generic map (width => 4, tech => padtech)
port map (sdram_dqm, sdo.dqm(3 downto 0));
end generate;
nosd0 : if (CFG_MCTRL_SDEN = 0) generate -- no SDRAM controller
sdcke_pad : outpad generic map (tech => padtech)
port map (sdram_cke, gnd(0));
sdcsn_pad : outpad generic map (tech => padtech)
port map (sdram_csn, vcc(0));
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 4, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(4));
end generate;
nobpromgen : if CFG_AHBROMEN = 0 generate
ahbso(4) <= ahbs_none;
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.extclk <= '0';
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
ua1rx_pad : inpad generic map (tech => padtech) port map (uart1_rxd, u1i.rxd);
ua1tx_pad : outpad generic map (tech => padtech) port map (uart1_txd, u1o.txd);
ua1cts_pad : inpad generic map (tech => padtech) port map (uart1_cts, u1i.ctsn);
ua1rts_pad : outpad generic map (tech => padtech) port map (uart1_rts, u1o.rtsn);
ua2 : if (CFG_UART2_ENABLE /= 0) and (CFG_AHB_UART = 0) generate
uart2 : apbuart -- UART 2
generic map (pindex => 9, paddr => 9, pirq => 3, fifosize => CFG_UART2_FIFO)
port map (rstn, clkm, apbi, apbo(9), u2i, u2o);
u2i.extclk <= '0';
end generate;
noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
ua2rx_pad : inpad generic map (tech => padtech) port map (uart2_rxd, u2i.rxd);
ua2tx_pad : outpad generic map (tech => padtech) port map (uart2_txd, u2o.txd);
ua2cts_pad : inpad generic map (tech => padtech) port map (uart2_cts, u2i.ctsn);
ua2rts_pad : outpad generic map (tech => padtech) port map (uart2_rts, u2o.rtsn);
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOG)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
gpioi => gpioi, gpioo => gpioo);
pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
pio_pad : iopad generic map (tech => padtech)
port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : greth generic map(hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 15, paddr => 15, pirq => 12, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi,
apbo => apbo(15), ethi => ethi, etho => etho);
end generate;
ethpads : if CFG_GRETH = 0 generate -- no eth
etho <= eth_out_none;
end generate;
emdio_pad : iopad generic map (tech => padtech)
port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
etxc_pad : clkpad generic map (tech => padtech, arch => 0)
port map (phy_tx_clk, ethi.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, arch => 0)
port map (phy_rx_clk, ethi.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 4)
port map (phy_rx_data, ethi.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (phy_dv, ethi.rx_dv);
erxer_pad : inpad generic map (tech => padtech)
port map (phy_rx_er, ethi.rx_er);
erxco_pad : inpad generic map (tech => padtech)
port map (phy_col, ethi.rx_col);
erxcr_pad : inpad generic map (tech => padtech)
port map (phy_crs, ethi.rx_crs);
etxd_pad : outpadv generic map (tech => padtech, width => 4)
port map (phy_tx_data, etho.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map ( phy_tx_en, etho.tx_en);
emdc_pad : outpad generic map (tech => padtech)
port map (phy_mii_clk, etho.mdc);
ereset_pad : outpad generic map (tech => padtech)
port map (phy_rst_n, rstn);
-----------------------------------------------------------------------
--- CAN --------------------------------------------------------------
-----------------------------------------------------------------------
can0 : if CFG_CAN = 1 generate
can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO,
iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech)
port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx );
end generate;
ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate;
can_loopback : if CFG_CANLOOP = 1 generate
can_lrx <= can_ltx;
end generate;
can_pads : if CFG_CANLOOP = 0 generate
can_tx_pad : outpad generic map (tech => padtech)
port map (can_txd, can_ltx);
can_rx_pad : inpad generic map (tech => padtech)
port map (can_rxd, can_lrx);
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map ( rstn, clkm, ahbsi, ahbso(7));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- I/O interface ---------------------------------------------------
-----------------------------------------------------------------------
pb_sw_pad : inpadv generic map (width => 4, tech => padtech)
port map (pb_sw, pbsw);
led_pad : outpadv generic map (width => 8, tech => padtech)
port map (led, ledo);
rom8 : if CFG_MCTRL_RAM16BIT = 0 generate
byte_pad : outpad generic map (tech => padtech) port map (flash_byte, gnd(0));
end generate;
rom16 : if CFG_MCTRL_RAM16BIT = 1 generate
byte_pad : outpad generic map (tech => padtech) port map (flash_byte, vcc(0));
end generate;
rpn_pad : outpad generic map (tech => padtech) port map (flash_rpn, rstn);
wpn_pad : outpad generic map (tech => padtech) port map (flash_wpn, vcc(0));
ready_pad : inpad generic map (tech => padtech) port map (flash_ready, open);
smsc_data_pads : for i in 0 to 3 generate
data_pad : iopadv generic map (tech => padtech, width => 8)
port map (smsc_data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), s_eth_din(31-i*8 downto 24-i*8));
end generate;
smsc_addr_pad : outpadv generic map (tech => padtech, width => 15)
port map (smsc_addr, memo.address(15 downto 1));
smsc_nbe_pad : outpadv generic map (tech => padtech, width => 4)
port map (smsc_nbe, s_eth_nbe);
smsc_reset_pad : outpad generic map (tech => padtech)
port map (smsc_resetn, rstn);
smsc_nrd_pad : outpad generic map (tech => padtech)
port map (smsc_nrd, s_eth_readn);
smsc_nwr_pad : outpad generic map (tech => padtech)
port map (smsc_nwr, s_eth_writen);
smsc_ncs_pad : outpad generic map (tech => padtech)
port map (smsc_ncs, memo.iosn);
smsc_aen_pad : outpad generic map (tech => padtech)
port map (smsc_aen, s_eth_aen);
smsc_lclk_pad : outpad generic map (tech => padtech)
port map (smsc_lclk, vcc(0));
smsc_wnr_pad : outpad generic map (tech => padtech)
port map (smsc_wnr, vcc(0));
smsc_rdyrtn_pad : outpad generic map (tech => padtech)
port map (smsc_rdyrtn, vcc(0));
smsc_cycle_pad : outpad generic map (tech => padtech)
port map (smsc_cycle, vcc(0));
smsc_nads_pad : outpad generic map (tech => padtech)
port map (smsc_nads, gnd(0));
-- lcd_data_pad : iopadv generic map (width => 8, tech => padtech)
-- port map (lcd_data, nuo.lcd_data, nuo.lcd_ben, nui.lcd_data);
-- lcd_rs_pad : outpad generic map (tech => padtech)
-- port map (lcd_rs, nuo.lcd_rs);
-- lcd_rw_pad : outpad generic map (tech => padtech)
-- port map (lcd_rw, nuo.lcd_rw );
-- lcd_en_pad : outpad generic map (tech => padtech)
-- port map (lcd_en, nuo.lcd_en);
-- lcd_backl_pad : outpad generic map (tech => padtech)
-- port map (lcd_backl, nuo.lcd_backl);
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
-- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG) to NAHBMST-1 generate
-- ahbmo(i) <= ahbm_none;
-- end generate;
-- nap0 : for i in 11 to NAPBSLV-1-CFG_GRETH generate apbo(i) <= apb_none; end generate;
apbo(6) <= apb_none;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Demonstration design for Nuhorizon SP3 board",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/pci/pcitrace/pcitrace.in.vhd | 6 | 131 | -- PCI trace buffer
constant CFG_PCITBUFEN: integer := CONFIG_PCI_TRACE;
constant CFG_PCITBUF : integer := CFG_PCI_TRACEBUF;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-digilent-xc7z020/config.vhd | 1 | 5090 |
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := zynq7000;
constant CFG_MEMTECH : integer := zynq7000;
constant CFG_PADTECH : integer := zynq7000;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := zynq7000;
constant CFG_CLKMUL : integer := (8);
constant CFG_CLKDIV : integer := (32);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 2 + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 1;
constant CFG_SVT : integer := 1;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (2);
constant CFG_NOTAG : integer := 0;
constant CFG_NWP : integer := (2);
constant CFG_PWD : integer := 1*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 2;
constant CFG_ISETSZ : integer := 4;
constant CFG_ILINE : integer := 4;
constant CFG_IREPL : integer := 2;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 2;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 2;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 1 + 1 + 4*1;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 0;
constant CFG_ITLBNUM : integer := 2;
constant CFG_DTLBNUM : integer := 2;
constant CFG_TLB_TYPE : integer := 1 + 0*2;
constant CFG_TLB_REP : integer := 1;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 1;
constant CFG_ATBSZ : integer := 1;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 0;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- Ethernet DSU
constant CFG_DSU_ETH : integer := 0 + 0 + 0;
constant CFG_ETH_BUF : integer := 1;
constant CFG_ETH_IPM : integer := 16#C0A8#;
constant CFG_ETH_IPL : integer := 16#0033#;
constant CFG_ETH_ENM : integer := 16#020000#;
constant CFG_ETH_ENL : integer := 16#000009#;
-- AHB status register
constant CFG_AHBSTAT : integer := 0;
constant CFG_AHBSTATN : integer := 1;
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 1;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 8;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 0;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#0000#;
constant CFG_GRGPIO_WIDTH : integer := (16);
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-altera-ep2sgx90-av/sram32.vhd | 1 | 2686 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sram32
-- File: sram32.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: Simulation model of generic 32-bit async SRAM
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
library gaisler;
use gaisler.sim.all;
library grlib;
use grlib.stdlib.all;
entity sram32 is
generic (
index : integer := 0; -- Byte lane (0 - 3)
abits: Positive := 10; -- Default 10 address bits (1Kx32)
echk : integer := 0; -- Generate EDAC checksum
tacc : integer := 10; -- access time (ns)
fname : string := "ram.dat"); -- File to read from
port (
a : in std_logic_vector(abits-1 downto 0);
d : inout std_logic_vector(31 downto 0);
lb : in std_logic;
ub : in std_logic;
ce : in std_logic;
we : in std_ulogic;
oe : in std_ulogic);
end;
architecture sim of sram32 is
signal cex : std_logic_vector(0 to 1);
begin
cex(0) <= ce or lb; cex(1) <= ce or ub;
sr0 : sram generic map (index+3, abits, tacc, fname)
port map (a, d(7 downto 0), cex(0), we, oe);
sr1 : sram generic map (index+2, abits, tacc, fname)
port map (a, d(15 downto 8), cex(1), we, oe);
sr2 : sram generic map (index+1, abits, tacc, fname)
port map (a, d(23 downto 16), cex(1), we, oe);
sr3 : sram generic map (index, abits, tacc, fname)
port map (a, d(31 downto 24), cex(1), we, oe);
end sim;
-- pragma translate_on
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-gr-xc6s/leon3mp.vhd | 1 | 47744 | -----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2011 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib, techmap;
use grlib.amba.all;
use grlib.amba.all;
use grlib.stdlib.all;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.spi.all;
use gaisler.i2c.all;
use gaisler.can.all;
use gaisler.net.all;
use gaisler.jtag.all;
use gaisler.spacewire.all;
-- pragma translate_off
use gaisler.sim.all;
library unisim;
use unisim.all;
-- pragma translate_on
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
resetn : in std_ulogic;
clk : in std_ulogic; -- 50 MHz main clock
clk2 : in std_ulogic; -- User clock
clk125 : in std_ulogic; -- 125 MHz clock from PHY
wdogn : out std_ulogic;
address : out std_logic_vector(24 downto 0);
data : inout std_logic_vector(31 downto 24);
oen : out std_ulogic;
writen : out std_ulogic;
romsn : out std_logic;
ddr_clk : out std_logic;
ddr_clkb : out std_logic;
ddr_cke : out std_logic;
ddr_odt : out std_logic;
ddr_we : out std_ulogic; -- ddr write enable
ddr_ras : out std_ulogic; -- ddr ras
ddr_csn : out std_ulogic; -- ddr csn
ddr_cas : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (1 downto 0); -- ddr dqs n
ddr_ad : out std_logic_vector (12 downto 0); -- ddr address
ddr_ba : out std_logic_vector (2 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (15 downto 0); -- ddr data
ddr_rzq : inout std_ulogic;
ddr_zio : inout std_ulogic;
-- dsuen : in std_ulogic; -- dip swtich 7
-- dsubre : in std_ulogic; -- switch 9
-- dsuact : out std_ulogic; -- led (0)
txd1 : out std_ulogic; -- UART1 tx data
rxd1 : in std_ulogic; -- UART1 rx data
ctsn1 : in std_ulogic; -- UART1 ctsn
rtsn1 : out std_ulogic; -- UART1 trsn
txd2 : out std_ulogic; -- UART2 tx data
rxd2 : in std_ulogic; -- UART2 rx data
ctsn2 : in std_ulogic; -- UART2 ctsn
rtsn2 : out std_ulogic; -- UART2 rtsn
pio : inout std_logic_vector(17 downto 0); -- I/O port
genio : inout std_logic_vector(59 downto 0); -- I/O port
switch : in std_logic_vector(9 downto 0); -- I/O port
led : out std_logic_vector(3 downto 0); -- I/O port
erx_clk : in std_ulogic;
emdio : inout std_logic; -- ethernet PHY interface
erxd : in std_logic_vector(3 downto 0);
erx_dv : in std_ulogic;
emdint : in std_ulogic;
etx_clk : out std_ulogic;
etxd : out std_logic_vector(3 downto 0);
etx_en : out std_ulogic;
emdc : out std_ulogic;
ps2clk : inout std_logic_vector(1 downto 0);
ps2data : inout std_logic_vector(1 downto 0);
iic_scl : inout std_ulogic;
iic_sda : inout std_ulogic;
ddc_scl : inout std_ulogic;
ddc_sda : inout std_ulogic;
dvi_iic_scl : inout std_logic;
dvi_iic_sda : inout std_logic;
tft_lcd_data : out std_logic_vector(11 downto 0);
tft_lcd_clk_p : out std_ulogic;
tft_lcd_clk_n : out std_ulogic;
tft_lcd_hsync : out std_ulogic;
tft_lcd_vsync : out std_ulogic;
tft_lcd_de : out std_ulogic;
tft_lcd_reset_b : out std_ulogic;
spw_clk : in std_ulogic;
spw_rxdp : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_rxdn : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_rxsp : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_rxsn : in std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txdp : out std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txdn : out std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txsp : out std_logic_vector(0 to CFG_SPW_NUM-1);
spw_txsn : out std_logic_vector(0 to CFG_SPW_NUM-1);
-- SPI flash
spi_sel_n : inout std_ulogic;
spi_clk : out std_ulogic;
spi_mosi : out std_ulogic
-- SD Card interface (SD SPI interface)
-- sdata : inout std_ulogic_vector(3 downto 0);
-- sd_clk : out std_ulogic;
-- spi_cmd : out std_ulogic;
-- sd_prot : in std_logic;
-- sd_detect : in std_logic
);
end;
architecture rtl of leon3mp is
component BUFG port (O : out std_logic; I : in std_logic); end component;
component IODELAY2
generic (
COUNTER_WRAPAROUND : string := "WRAPAROUND";
DATA_RATE : string := "SDR";
DELAY_SRC : string := "IO";
IDELAY2_VALUE : integer := 0;
IDELAY_MODE : string := "NORMAL";
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_VALUE : integer := 0;
SERDES_MODE : string := "NONE";
SIM_TAPDELAY_VALUE : integer := 75
);
port (
BUSY : out std_ulogic;
DATAOUT : out std_ulogic;
DATAOUT2 : out std_ulogic;
DOUT : out std_ulogic;
TOUT : out std_ulogic;
CAL : in std_ulogic;
CE : in std_ulogic;
CLK : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
IOCLK0 : in std_ulogic;
IOCLK1 : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
attribute syn_netlist_hierarchy : boolean;
attribute syn_netlist_hierarchy of rtl : architecture is false;
constant use_eth_input_delay : integer := 1;
constant use_eth_output_delay : integer := 1;
constant blength : integer := 12;
constant fifodepth : integer := 8;
constant maxahbm : integer := CFG_NCPU+CFG_AHB_UART+CFG_GRETH+
CFG_AHB_JTAG+CFG_SPW_NUM*CFG_SPW_EN;
signal vcc, gnd : std_logic;
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal leds : std_logic_vector(3 downto 0); -- I/O port
signal apbi, apbi2 : apb_slv_in_type;
signal apbo, apbo2 : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal vahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal vahbmo : ahb_mst_out_type;
signal clkm, rstn, rstraw, sdclkl : std_ulogic;
signal clk_200 : std_ulogic;
signal clk25, clk40, clk65 : std_ulogic;
signal cgi, cgi2, cgi3 : clkgen_in_type;
signal cgo, cgo2, cgo3 : clkgen_out_type;
signal u1i, u2i, dui : uart_in_type;
signal u1o, u2o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal gmiii, rgmiii, rgmiii_buf : eth_in_type;
signal gmiio, rgmiio : eth_out_type;
signal gpti : gptimer_in_type;
signal gpto : gptimer_out_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal gpioi2 : gpio_in_type;
signal gpioo2 : gpio_out_type;
signal gpioi3 : gpio_in_type;
signal gpioo3 : gpio_out_type;
signal can_lrx, can_ltx : std_logic_vector(0 to 7);
signal lock, calib_done, clkml, lclk, rst, ndsuact, wdogl : std_ulogic := '0';
signal tck, tckn, tms, tdi, tdo : std_ulogic;
signal ethclk, ddr2clk : std_ulogic;
signal kbdi : ps2_in_type;
signal kbdo : ps2_out_type;
signal moui : ps2_in_type;
signal mouo : ps2_out_type;
signal vgao : apbvga_out_type;
signal lcd_datal : std_logic_vector(11 downto 0);
signal lcd_hsyncl, lcd_vsyncl, lcd_del, lcd_reset_bl : std_ulogic;
signal i2ci, dvi_i2ci : i2c_in_type;
signal i2co, dvi_i2co : i2c_out_type;
signal spmi : spimctrl_in_type;
signal spmo : spimctrl_out_type;
signal spmi2 : spimctrl_in_type;
signal spmo2 : spimctrl_out_type;
constant BOARD_FREQ : integer := 50000; -- input frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz
constant IOAEN : integer := CFG_CAN;
constant DDR2_FREQ : integer := 200000; -- DDR2 input frequency in KHz
signal spwi : grspw_in_type_vector(0 to CFG_SPW_NUM-1);
signal spwo : grspw_out_type_vector(0 to CFG_SPW_NUM-1);
signal dtmp : std_logic_vector(CFG_SPW_NUM*CFG_SPW_PORTS-1 downto 0);
signal stmp : std_logic_vector(CFG_SPW_NUM*CFG_SPW_PORTS-1 downto 0);
signal spw_rxtxclk : std_ulogic;
signal spw_rxclkn : std_ulogic;
signal spw_rxclk : std_logic_vector(0 to CFG_SPW_NUM*CFG_SPW_PORTS);
signal spw_rstn : std_ulogic;
signal spw_rstn_sync : std_ulogic;
signal stati : ahbstat_in_type;
signal fpi : grfpu_in_vector_type;
signal fpo : grfpu_out_vector_type;
signal rstgtxn : std_logic;
signal idelay_reset_cnt : std_logic_vector(3 downto 0);
signal idelay_cal_cnt : std_logic_vector(3 downto 0);
signal idelayctrl_reset : std_logic;
signal idelayctrl_cal : std_logic;
signal rgmiii_rx_clk_n : std_logic;
signal rgmiii_rx_clk_n_buf : std_logic;
signal rgmiio_tx_clk,rgmiio_tx_en : std_logic;
signal rgmiio_txd : std_logic_vector(3 downto 0);
-- Used for connecting input/output signals to the DDR2 controller
signal core_ddr_clk : std_logic_vector(2 downto 0);
signal core_ddr_clkb : std_logic_vector(2 downto 0);
signal core_ddr_cke : std_logic_vector(1 downto 0);
signal core_ddr_csb : std_logic_vector(1 downto 0);
signal core_ddr_ad : std_logic_vector(13 downto 0);
signal core_ddr_odt : std_logic_vector(1 downto 0);
constant SPW_LOOP_BACK : integer := 0;
signal video_clk, clk50, clk100, spw100 : std_logic; -- signals to vga_clkgen.
signal clk_sel : std_logic_vector(1 downto 0);
signal clkvga, clkvga_p, clkvga_n : std_ulogic;
signal clk_125 : std_ulogic;
signal nerror : std_ulogic;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of clk50 : signal is true;
attribute syn_preserve of clk50 : signal is true;
attribute keep of clk50 : signal is true;
attribute syn_keep of video_clk : signal is true;
attribute syn_preserve of video_clk : signal is true;
attribute keep of video_clk : signal is true;
attribute syn_preserve of ddr2clk : signal is true;
attribute keep of ddr2clk : signal is true;
attribute syn_keep of ddr2clk : signal is true;
attribute syn_preserve of spw100 : signal is true;
attribute keep of spw100 : signal is true;
attribute syn_preserve of clkm : signal is true;
attribute keep of clkm : signal is true;
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= '1'; gnd <= '0';
cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk);
ddr2clk <= lclk;
ethclk <= lclk;
no_clk_mig : if CFG_MIG_DDR2 = 0 generate
clkgen0 : clkgen -- clock generator
generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,
CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ)
port map (lclk, lclk, clkm, open, open, sdclkl, open, cgi, cgo, open, clk50, clk100);
rst0 : rstgen -- reset generator
generic map(syncin => 1)
port map (rst, clkm, lock, rstn, rstraw);
end generate;
clk_mig : if CFG_MIG_DDR2 = 1 generate
clk50 <= clkm;
rstraw <= rst;
cgo.clklock <= '1';
end generate;
resetn_pad : inpad generic map (tech => padtech) port map (resetn, rst);
lock <= cgo.clklock and calib_done;
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
ioen => IOAEN, nahbm => maxahbm, nahbs => 16)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
nosh : if CFG_GRFPUSH = 0 generate
cpu : for i in 0 to CFG_NCPU-1 generate
l3ft : if CFG_LEON3FT_EN /= 0 generate
leon3ft0 : leon3ft -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ,
CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), clkm);
end generate;
l3s : if CFG_LEON3FT_EN = 0 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
end generate;
end generate;
sh : if CFG_GRFPUSH = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
l3ft : if CFG_LEON3FT_EN /= 0 generate
leon3ft0 : leon3ftsh -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ,
CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), clkm, fpi(i), fpo(i));
end generate;
l3s : if CFG_LEON3FT_EN = 0 generate
u0 : leon3sh -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i));
end generate;
end generate;
grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech)
port map (clkm, rstn, fpi, fpo);
end generate;
nerror <= dbgo(0).error;
led1_pad : odpad generic map (tech => padtech) port map (led(1), nerror);
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsuen_pad : inpad generic map (tech => padtech) port map (switch(7), dsui.enable);
dsubre_pad : inpad generic map (tech => padtech) port map (switch(8), dsui.break);
dsuact_pad : outpad generic map (tech => padtech) port map (led(0), ndsuact);
ndsuact <= not dsuo.active;
end generate;
nodsu : if CFG_DSU = 0 generate
dsuo.tstop <= '0'; dsuo.active <= '0'; ahbso(2) <= ahbs_none;
end generate;
dcomgen : if CFG_AHB_UART = 1 generate
dcom0: ahbuart -- Debug UART
generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
dsurx_pad : inpad generic map (tech => padtech) port map (rxd2, dui.rxd);
dsutx_pad : outpad generic map (tech => padtech) port map (txd2, duo.txd);
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd);
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "00";
memi.brdyn <= '0'; memi.bexcn <= '1';
mctrl0 : if CFG_MCTRL_LEON2 /= 0 generate
mctrl0 : mctrl generic map (hindex => 0, pindex => 0,
paddr => 0, srbanks => 2, ram8 => CFG_MCTRL_RAM8BIT,
ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN,
invclk => CFG_CLK_NOFB, sepbus => CFG_MCTRL_SEPBUS,
pageburst => CFG_MCTRL_PAGE, rammask => 0, iomask => 0)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
addr_pad : outpadv generic map (width => 25, tech => padtech)
port map (address, memo.address(24 downto 0));
roms_pad : outpad generic map (tech => padtech)
port map (romsn, memo.romsn(0));
oen_pad : outpad generic map (tech => padtech)
port map (oen, memo.oen);
wri_pad : outpad generic map (tech => padtech)
port map (writen, memo.writen);
bdr : for i in 0 to 0 generate
data_pad : iopadv generic map (tech => padtech, width => 8)
port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8),
memo.bdrive(i), memi.data(31-i*8 downto 24-i*8));
end generate;
end generate;
nomctrl : if CFG_MCTRL_LEON2 = 0 generate
romsn <= '1'; ahbso(0) <= ahbs_none;
end generate;
-----------------------------------------------------------------------
--- Test report module ----------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
test0 : ahbrep generic map (hindex => 6, haddr => 16#200#)
port map (rstn, clkm, ahbsi, ahbso(6));
-- pragma translate_on
----------------------------------------------------------------------
--- DDR2 memory controller ------------------------------------------
----------------------------------------------------------------------
ddr_csn <= '0';
mig_gen : if (CFG_MIG_DDR2 = 1) generate
ddrc : entity work.ahb2mig_grxc6s_2p
generic map(
hindex => 4, haddr => 16#400#, hmask => 16#F80#,
pindex => 0, paddr => 0, vgamst => CFG_SVGA_ENABLE, vgaburst => 64,
clkdiv => 10)
port map(
mcb3_dram_dq => ddr_dq,
mcb3_dram_a => ddr_ad,
mcb3_dram_ba => ddr_ba,
mcb3_dram_ras_n => ddr_ras,
mcb3_dram_cas_n => ddr_cas,
mcb3_dram_we_n => ddr_we,
mcb3_dram_odt => ddr_odt,
mcb3_dram_cke => ddr_cke,
mcb3_dram_dm => ddr_dm(0),
mcb3_dram_udqs => ddr_dqs(1),
mcb3_dram_udqs_n => ddr_dqsn(1),
mcb3_rzq => ddr_rzq,
mcb3_zio => ddr_zio,
mcb3_dram_udm => ddr_dm(1),
mcb3_dram_dqs => ddr_dqs(0),
mcb3_dram_dqs_n => ddr_dqsn(0),
mcb3_dram_ck => ddr_clk,
mcb3_dram_ck_n => ddr_clkb,
ahbsi => ahbsi,
ahbso => ahbso(4),
ahbmi => vahbmi,
ahbmo => vahbmo,
apbi => apbi2,
apbo => apbo2(0),
calib_done => calib_done,
rst_n_syn => rstn,
rst_n_async => rstraw,
clk_amba => clkm,
clk_mem_n => ddr2clk,
clk_mem_p => ddr2clk,
test_error => open,
clk_125 => clk_125,
clk_100 => clk100
);
end generate;
noddr : if (CFG_DDR2SP+CFG_MIG_DDR2) = 0 generate calib_done <= '1'; end generate;
----------------------------------------------------------------------
--- SPI Memory Controller--------------------------------------------
----------------------------------------------------------------------
spimc: if CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 1 generate
spimctrl0 : spimctrl -- SPI Memory Controller
generic map (hindex => 3, hirq => 7, faddr => 16#e00#, fmask => 16#ff8#,
ioaddr => 16#002#, iomask => 16#fff#,
spliten => CFG_SPLIT, oepol => 0,
sdcard => CFG_SPIMCTRL_SDCARD,
readcmd => CFG_SPIMCTRL_READCMD,
dummybyte => CFG_SPIMCTRL_DUMMYBYTE,
dualoutput => CFG_SPIMCTRL_DUALOUTPUT,
scaler => CFG_SPIMCTRL_SCALER,
altscaler => CFG_SPIMCTRL_ASCALER,
pwrupcnt => CFG_SPIMCTRL_PWRUPCNT)
port map (rstn, clkm, ahbsi, ahbso(3), spmi, spmo);
-- MISO is shared with Flash data 0
spmi.miso <= memi.data(24);
mosi_pad : outpad generic map (tech => padtech)
port map (spi_mosi, spmo.mosi);
sck_pad : outpad generic map (tech => padtech)
port map (spi_clk, spmo.sck);
slvsel0_pad : odpad generic map (tech => padtech)
port map (spi_sel_n, spmo.csn);
end generate;
nospimc: if ((CFG_SPICTRL_ENABLE = 0 and CFG_SPIMCTRL = 0) or
(CFG_SPICTRL_ENABLE = 1 and CFG_SPIMCTRL = 1) or
(CFG_SPICTRL_ENABLE = 1 and CFG_SPIMCTRL = 0))generate
mosi_pad : outpad generic map (tech => padtech)
port map (spi_mosi, '0');
sck_pad : outpad generic map (tech => padtech)
port map (spi_clk, '0');
end generate;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
apb1 : apbctrl -- AHB/APB bridge
generic map (hindex => 13, haddr => CFG_APBADDR+1, nslaves => 16)
port map (rstn, clkm, ahbsi, ahbso(13), apbi2, apbo2 );
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.extclk <= '0';
rxd1_pad : inpad generic map (tech => padtech) port map (rxd1, u1i.rxd);
txd1_pad : outpad generic map (tech => padtech) port map (txd1, u1o.txd);
cts1_pad : inpad generic map (tech => padtech) port map (ctsn1, u1i.ctsn);
rts1_pad : outpad generic map (tech => padtech) port map (rtsn1, u1o.rtsn);
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
rts1_pad : outpad generic map (tech => padtech) port map (rtsn2, '0');
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG)
port map (rstn, clkm, apbi, apbo(3), gpti, gpto);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
wden : if CFG_GPT_WDOGEN /= 0 generate
wdogl <= gpto.wdogn or not rstn;
wdogn_pad : odpad generic map (tech => padtech) port map (wdogn, wdogl);
end generate;
wddis : if CFG_GPT_WDOGEN = 0 generate
wdogn_pad : odpad generic map (tech => padtech) port map (wdogn, vcc);
end generate;
nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
kbd : if CFG_KBD_ENABLE /= 0 generate
ps21 : apbps2 generic map(pindex => 4, paddr => 4, pirq => 4)
port map(rstn, clkm, apbi, apbo(4), moui, mouo);
ps20 : apbps2 generic map(pindex => 5, paddr => 5, pirq => 5)
port map(rstn, clkm, apbi, apbo(5), kbdi, kbdo);
end generate;
nokbd : if CFG_KBD_ENABLE = 0 generate
apbo(4) <= apb_none; mouo <= ps2o_none;
apbo(5) <= apb_none; kbdo <= ps2o_none;
end generate;
kbdclk_pad : iopad generic map (tech => padtech)
port map (ps2clk(1),kbdo.ps2_clk_o, kbdo.ps2_clk_oe, kbdi.ps2_clk_i);
kbdata_pad : iopad generic map (tech => padtech)
port map (ps2data(1), kbdo.ps2_data_o, kbdo.ps2_data_oe, kbdi.ps2_data_i);
mouclk_pad : iopad generic map (tech => padtech)
port map (ps2clk(0),mouo.ps2_clk_o, mouo.ps2_clk_oe, moui.ps2_clk_i);
mouata_pad : iopad generic map (tech => padtech)
port map (ps2data(0), mouo.ps2_data_o, mouo.ps2_data_oe, moui.ps2_data_i);
vga : if CFG_VGA_ENABLE /= 0 generate
vga0 : apbvga generic map(memtech => memtech, pindex => 6, paddr => 6)
port map(rstn, clkm, ethclk, apbi, apbo(6), vgao);
video_clk <= not ethclk;
end generate;
svga : if CFG_SVGA_ENABLE /= 0 generate
svga0 : svgactrl generic map(memtech => memtech, pindex => 6, paddr => 6,
hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
clk0 => 20000, clk1 => 0, --1000000000/((BOARD_FREQ * CFG_CLKMUL)/CFG_CLKDIV),
clk2 => 0, clk3 => 0, burstlen => 6)
port map(rstn, clkm, video_clk, apbi, apbo(6), vgao, vahbmi,
vahbmo, clk_sel);
end generate;
--b0 : techbuf generic map (2, fabtech) port map (clk50, video_clk);
video_clk <= clk50;
vgadvi : if (CFG_VGA_ENABLE + CFG_SVGA_ENABLE) /= 0 generate
dvi0 : entity work.svga2ch7301c generic map (tech => fabtech, dynamic => 1)
port map (clkm, vgao, video_clk, clkvga_p, clkvga_n,
lcd_datal, lcd_hsyncl, lcd_vsyncl, lcd_del);
i2cdvi : i2cmst
generic map (pindex => 9, paddr => 9, pmask => 16#FFF#, pirq => 3)
port map (rstn, clkm, apbi, apbo(9), dvi_i2ci, dvi_i2co);
end generate;
novga : if (CFG_VGA_ENABLE = 0 and CFG_SVGA_ENABLE = 0) generate
apbo(6) <= apb_none; vgao <= vgao_none;
end generate;
tft_lcd_data_pad : outpadv generic map (width => 12, tech => padtech)
port map (tft_lcd_data, lcd_datal);
tft_lcd_clkp_pad : outpad generic map (tech => padtech)
port map (tft_lcd_clk_p, clkvga_p);
tft_lcd_clkn_pad : outpad generic map (tech => padtech)
port map (tft_lcd_clk_n, clkvga_n);
tft_lcd_hsync_pad : outpad generic map (tech => padtech)
port map (tft_lcd_hsync, lcd_hsyncl);
tft_lcd_vsync_pad : outpad generic map (tech => padtech)
port map (tft_lcd_vsync, lcd_vsyncl);
tft_lcd_de_pad : outpad generic map (tech => padtech)
port map (tft_lcd_de, lcd_del);
tft_lcd_reset_pad : outpad generic map (tech => padtech)
port map (tft_lcd_reset_b, rstn);
dvi_i2c_scl_pad : iopad generic map (tech => padtech)
port map (dvi_iic_scl, dvi_i2co.scl, dvi_i2co.scloen, dvi_i2ci.scl);
dvi_i2c_sda_pad : iopad generic map (tech => padtech)
port map (dvi_iic_sda, dvi_i2co.sda, dvi_i2co.sdaoen, dvi_i2ci.sda);
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 10, paddr => 10, imask => CFG_GRGPIO_IMASK, nbits => 16)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(10),
gpioi => gpioi, gpioo => gpioo);
p0 : if (CFG_CAN = 0) or (CFG_CAN_NUM = 1) generate
pio_pads : for i in 1 to 2 generate
pio_pad : iopad generic map (tech => padtech)
port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
p1 : if (CFG_CAN = 0) generate
pio_pads : for i in 4 to 5 generate
pio_pad : iopad generic map (tech => padtech)
port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
pio_pad0 : iopad generic map (tech => padtech)
port map (pio(0), gpioo.dout(0), gpioo.oen(0), gpioi.din(0));
pio_pad1 : iopad generic map (tech => padtech)
port map (pio(3), gpioo.dout(3), gpioo.oen(3), gpioi.din(3));
pio_pads : for i in 6 to 15 generate
pio_pad : iopad generic map (tech => padtech)
port map (pio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
-- make an additonal 32 bit GPIO port for genio(31..0)
gpio1 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio1: grgpio
generic map(pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 32)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(11),
gpioi => gpioi2, gpioo => gpioo2);
pio_pads : for i in 0 to 31 generate
pio_pad : iopad generic map (tech => padtech)
port map (genio(i), gpioo2.dout(i), gpioo2.oen(i), gpioi2.din(i));
end generate;
end generate;
gpio2 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio2: grgpio
generic map(pindex => 12, paddr => 12, imask => CFG_GRGPIO_IMASK, nbits => 28)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(12),
gpioi => gpioi3, gpioo => gpioo3);
pio_pads : for i in 0 to 27 generate
pio_pad : iopad generic map (tech => padtech)
port map (genio(i+32), gpioo3.dout(i), gpioo3.oen(i), gpioi3.din(i));
end generate;
end generate;
ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register
ahbstat0 : ahbstat generic map (pindex => 13, paddr => 13, pirq => 1,
nftslv => CFG_AHBSTATN)
port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(13));
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm generic map(
hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 14, paddr => 14, pirq => 6, memtech => memtech,
mdcscaler => CPU_FREQ/1000, rmii => 0, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, phyrstadr => 1,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, enable_mdint => 1,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL,
giga => CFG_GRETH1G)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
apbi => apbi, apbo => apbo(14), ethi => gmiii, etho => gmiio);
end generate;
led(3 downto 2) <= not (gmiio.gbit & gmiio.speed);
noethindelay0 : if (use_eth_input_delay = 0) generate
rgmiii.rx_dv <= rgmiii_buf.rx_dv;
rgmiii.rxd <= rgmiii_buf.rxd;
end generate;
noethoutdelay0 : if (use_eth_output_delay = 0) generate
rgmiio_tx_clk <= rgmiio.tx_clk;
rgmiio_tx_en <= rgmiio.tx_en;
rgmiio_txd <= rgmiio.txd(3 downto 0);
end generate;
ethindelay0 : if (use_eth_input_delay /= 0) generate
delay_rgmii_rx_ctl0 : IODELAY2 generic map(
DELAY_SRC => "IDATAIN",
IDELAY_TYPE => "FIXED",
IDELAY_VALUE => 16 -- Delay (256/8)*424ps*30% + n/8*424ps + Ttap(See table 39 in Xilinx ds162.pdf)
)
port map(
IDATAIN => rgmiii_buf.rx_dv,
T => '1',
ODATAIN => '0',
CAL => '0',
IOCLK0 => '0',
IOCLK1 => '0',
CLK => '0',
INC => '0',
CE => '0',
RST => '0',
BUSY => OPEN,
DATAOUT => rgmiii.rx_dv,
DATAOUT2 => OPEN,
TOUT => OPEN,
DOUT => OPEN
);
rgmii_rxd : for i in 0 to 3 generate
delay_rgmii_rxd0 : IODELAY2 generic map(
DELAY_SRC => "IDATAIN",
IDELAY_TYPE => "FIXED",
IDELAY_VALUE => 16 -- Delay (256/8)*424ps*30% + n/8*424ps + Ttap(See table 39 in Xilinx ds162.pdf)
)
port map(
IDATAIN => rgmiii_buf.rxd(i),
T => '1',
ODATAIN => '0',
CAL => '0',
IOCLK0 => '0',
IOCLK1 => '0',
CLK => '0',
INC => '0',
CE => '0',
RST => '0',
BUSY => OPEN,
DATAOUT => rgmiii.rxd(i),
DATAOUT2 => OPEN,
TOUT => OPEN,
DOUT => OPEN
);
end generate;
end generate;
ethoutdelay0 : if (use_eth_output_delay /= 0) generate
delay_rgmii_tx_clk0 : IODELAY2 generic map(
DELAY_SRC => "ODATAIN",
IDELAY_TYPE => "FIXED",
ODELAY_VALUE => 16 -- Delay (256/8)*424ps*30% + n/8*424ps + Ttap(See table 39 in Xilinx ds162.pdf)
)
port map(
IDATAIN => '0',
T => '1',
ODATAIN => rgmiio.tx_clk,
CAL => '0',
IOCLK0 => '0',
IOCLK1 => '0',
CLK => '0',
INC => '0',
CE => '0',
RST => '0',
BUSY => OPEN,
DATAOUT => OPEN,
DATAOUT2 => OPEN,
TOUT => OPEN,
DOUT => rgmiio_tx_clk
);
delay_rgmii_tx_en0 : IODELAY2 generic map(
DELAY_SRC => "ODATAIN",
IDELAY_TYPE => "FIXED",
ODELAY_VALUE => 0
)
port map(
IDATAIN => '0',
T => '1',
ODATAIN => rgmiio.tx_en,
CAL => '0',
IOCLK0 => '0',
IOCLK1 => '0',
CLK => '0',
INC => '0',
CE => '0',
RST => '0',
BUSY => OPEN,
DATAOUT => OPEN,
DATAOUT2 => OPEN,
TOUT => OPEN,
DOUT => rgmiio_tx_en
);
rgmii_txd : for i in 0 to 3 generate
delay_rgmii_txd0 : IODELAY2 generic map(
DELAY_SRC => "ODATAIN",
IDELAY_TYPE => "FIXED",
ODELAY_VALUE => 0
)
port map(
IDATAIN => '0',
T => '1',
ODATAIN => rgmiio.txd(i),
CAL => '0',
IOCLK0 => '0',
IOCLK1 => '0',
CLK => '0',
INC => '0',
CE => '0',
RST => '0',
BUSY => OPEN,
DATAOUT => OPEN,
DATAOUT2 => OPEN,
TOUT => OPEN,
DOUT => rgmiio_txd(i)
);
end generate;
end generate;
rgmii0 : rgmii generic map (15, 16#010# , 16#ff0#, fabtech, CFG_GRETH1G, 1, 0, 1)
port map (rstn, rgmiii.gtx_clk, gmiii, gmiio, rgmiii, rgmiio, clkm, rstn, apbi, apbo(15));
ethpads : if (CFG_GRETH = 1) generate -- eth pads
etxc_pad : outpad generic map (tech => padtech)
port map (etx_clk, rgmiio_tx_clk);
erxc_pad : clkpad generic map (tech => padtech, arch => 2)
port map (erx_clk, rgmiii.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 4)
port map (erxd, rgmiii_buf.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (erx_dv, rgmiii_buf.rx_dv);
etxd_pad : outpadv generic map (tech => padtech, width => 4)
port map (etxd, rgmiio_txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map ( etx_en, rgmiio_tx_en);
emdio_pad : iopad generic map (tech => padtech)
port map (emdio, rgmiio.mdio_o, rgmiio.mdio_oe, rgmiii.mdio_i);
emdc_pad : outpad generic map (tech => padtech)
port map (emdc, rgmiio.mdc);
emdint_pad : inpad generic map (tech => padtech)
port map (emdint, rgmiii.mdint);
-- Incoming 125Mhz ref clock
clk125_pad : clkpad generic map (tech => padtech, arch => 2)
port map (clk125, rgmiii.gtx_clk);
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE)
port map ( rstn, clkm, ahbsi, ahbso(7));
end generate;
-----------------------------------------------------------------------
--- Multi-core CAN ---------------------------------------------------
-----------------------------------------------------------------------
can0 : if CFG_CAN = 1 generate
can0 : can_mc generic map (slvndx => 4, ioaddr => CFG_CANIO,
iomask => 16#FF0#, irq => CFG_CANIRQ, memtech => memtech,
ncores => CFG_CAN_NUM, sepirq => CFG_CANSEPIRQ)
port map (rstn, clkm, ahbsi, ahbso(4), can_lrx, can_ltx );
can_tx_pad1 : iopad generic map (tech => padtech)
port map (pio(5), can_ltx(0), gnd, gpioi.din(5));
can_rx_pad1 : iopad generic map (tech => padtech)
port map (pio(4), gnd, vcc, can_lrx(0));
canpas : if CFG_CAN_NUM = 2 generate
can_tx_pad2 : iopad generic map (tech => padtech)
port map (pio(2), can_ltx(1), gnd, gpioi.din(2));
can_rx_pad2 : iopad generic map (tech => padtech)
port map (pio(1), gnd, vcc, can_lrx(1));
end generate;
end generate;
-- standby controlled by pio(3) and pio(0)
-----------------------------------------------------------------------
--- SPACEWIRE -------------------------------------------------------
-----------------------------------------------------------------------
-- temporary, just to make sure the SPW pins are instantiated correctly
no_spw : if CFG_SPW_EN = 0 generate
pad_gen: for i in 0 to CFG_SPW_NUM-1 generate
spw_rxd_pad : inpad_ds generic map (padtech, lvds, x33v)
port map (spw_rxdp(i), spw_rxdn(i), dtmp(i));
spw_rxs_pad : inpad_ds generic map (padtech, lvds, x33v)
port map (spw_rxsp(i), spw_rxsn(i), stmp(i));
spw_txd_pad : outpad_ds generic map (padtech, lvds, x33v)
port map (spw_txdp(i), spw_txdn(i), dtmp(i), gnd);
spw_txs_pad : outpad_ds generic map (padtech, lvds, x33v)
port map (spw_txsp(i), spw_txsn(i), stmp(i), gnd);
end generate;
end generate;
spw : if CFG_SPW_EN > 0 generate
core0: if CFG_SPW_GRSPW = 1 generate
spw_rxtxclk <= clkm;
spw_rstn <= rstn;
end generate;
core1 : if CFG_SPW_GRSPW = 2 generate
spw_rxtxclk <= clk100;
spw_rstn_sync_proc : process(rstn,spw_rxtxclk)
begin
if rstn = '0' then
spw_rstn_sync <= '0';
spw_rstn <= '0';
elsif rising_edge(spw_rxtxclk) then
spw_rstn_sync <= '1';
spw_rstn <= spw_rstn_sync;
end if;
end process spw_rstn_sync_proc;
end generate;
spw_rxclkn <= not spw_rxtxclk;
swloop : for i in 0 to CFG_SPW_NUM-1 generate
-- GRSPW2 PHY
spw2_input : if CFG_SPW_GRSPW = 2 generate
spw_inputloop: for j in 0 to CFG_SPW_PORTS-1 generate
spw_phy0 : grspw2_phy
generic map(
scantest => 0,
tech => fabtech,
input_type => CFG_SPW_INPUT)
port map(
rstn => spw_rstn,
rxclki => spw_rxtxclk,
rxclkin => spw_rxclkn,
nrxclki => spw_rxtxclk,
di => dtmp(i*CFG_SPW_PORTS+j),
si => stmp(i*CFG_SPW_PORTS+j),
do => spwi(i).d(j*2+1 downto j*2),
dov => spwi(i).dv(j*2+1 downto j*2),
dconnect => spwi(i).dconnect(j*2+1 downto j*2),
rxclko => spw_rxclk(i*CFG_SPW_PORTS+j));
end generate;
oneport : if CFG_SPW_PORTS = 1 generate
spwi(i).d(3 downto 2) <= "00"; -- For second port
spwi(i).dv(3 downto 2) <= "00"; -- For second port
spwi(i).dconnect(3 downto 2) <= "00"; -- For second port
end generate;
spwi(i).nd <= (others => '0'); -- Only used in GRSPW
end generate;
spw1_input: if CFG_SPW_GRSPW = 1 generate
spw_inputloop: for j in 0 to CFG_SPW_PORTS-1 generate
spw_phy0 : grspw_phy
generic map(
tech => fabtech,
rxclkbuftype => 2,
scantest => 0)
port map(
rxrst => spwo(i).rxrst,
di => dtmp(i*CFG_SPW_PORTS+j),
si => stmp(i*CFG_SPW_PORTS+j),
rxclko => spw_rxclk(i*CFG_SPW_PORTS+j),
do => spwi(i).d(j),
ndo => spwi(i).nd(j*5+4 downto j*5),
dconnect => spwi(i).dconnect(j*2+1 downto j*2));
end generate spw_inputloop;
oneport : if CFG_SPW_PORTS = 1 generate
spwi(i).d(1) <= '0'; -- For second port
spwi(i).d(3 downto 2) <= "00"; -- For GRSPW2 second port
spwi(i).nd(9 downto 5) <= "00000"; -- For second port
spwi(i).dconnect(3 downto 2) <= "00"; -- For second port
end generate;
spwi(i).dv <= (others => '0'); -- Only used in GRSPW2
end generate spw1_input;
sw0 : grspwm generic map(tech => memtech,
hindex => CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+i,
sysfreq => CPU_FREQ, usegen => 1,
pindex => 10+i, paddr => 10+i, pirq => 10+i,
nsync => 1, rmap => CFG_SPW_RMAP, rxunaligned => CFG_SPW_RXUNAL,
rmapcrc => CFG_SPW_RMAPCRC, fifosize1 => CFG_SPW_AHBFIFO,
fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 2, dmachan => CFG_SPW_DMACHAN,
rmapbufs => CFG_SPW_RMAPBUF, ft => CFG_SPW_FT, ports => CFG_SPW_PORTS,
spwcore => CFG_SPW_GRSPW, netlist => CFG_SPW_NETLIST,
rxtx_sameclk => CFG_SPW_RTSAME, input_type => CFG_SPW_INPUT,
output_type => CFG_SPW_OUTPUT)
port map(rstn, clkm, spw_rxclk(i*CFG_SPW_PORTS), spw_rxclk(i*CFG_SPW_PORTS+1),
spw_rxtxclk, spw_rxtxclk, ahbmi,
ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG+i),
apbi2, apbo2(10+i), spwi(i), spwo(i));
spwi(i).tickin <= '0'; spwi(i).rmapen <= '1';
spwi(i).clkdiv10 <= conv_std_logic_vector(CPU_FREQ/10000-1, 8) when CFG_SPW_GRSPW = 1
else conv_std_logic_vector(10-1, 8);
spwi(i).tickinraw <= '0';
spwi(i).timein <= (others => '0');
spwi(i).dcrstval <= (others => '0');
spwi(i).timerrstval <= (others => '0');
swportloop1: for j in 0 to CFG_SPW_PORTS-1 generate
spwlb0 : if SPW_LOOP_BACK = 1 generate
dtmp(i*CFG_SPW_PORTS+j) <= spwo(i).d(j); stmp(i*CFG_SPW_PORTS+j) <= spwo(i).s(j);
end generate;
nospwlb0 : if SPW_LOOP_BACK = 0 generate
spw_rxd_pad : inpad_ds generic map (padtech, lvds, x33v, 1)
port map (spw_rxdp(i*CFG_SPW_PORTS+j), spw_rxdn(i*CFG_SPW_PORTS+j), dtmp(i*CFG_SPW_PORTS+j));
spw_rxs_pad : inpad_ds generic map (padtech, lvds, x33v, 1)
port map (spw_rxsp(i*CFG_SPW_PORTS+j), spw_rxsn(i*CFG_SPW_PORTS+j), stmp(i*CFG_SPW_PORTS+j));
spw_txd_pad : outpad_ds generic map (padtech, lvds, x33v)
port map (spw_txdp(i*CFG_SPW_PORTS+j), spw_txdn(i*CFG_SPW_PORTS+j), spwo(i).d(j), gnd);
spw_txs_pad : outpad_ds generic map (padtech, lvds, x33v)
port map (spw_txsp(i*CFG_SPW_PORTS+j), spw_txsn(i*CFG_SPW_PORTS+j), spwo(i).s(j), gnd);
end generate;
end generate;
end generate;
end generate;
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
-- nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_GRETH+CFG_AHB_JTAG) to NAHBMST-1 generate
-- ahbmo(i) <= ahbm_none;
-- end generate;
-- nap0 : for i in 11 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
-- nah0 : for i in 8 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 GR-XC6S-LX75 Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-altera-ep2sgx90-av/leon3mp.vhd | 2 | 22087 | -----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee;
use ieee.std_logic_1164.all;
library grlib, techmap;
use grlib.amba.all;
use grlib.stdlib.all;
use techmap.gencomp.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.ddrpkg.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.net.all;
use gaisler.jtag.all;
library esa;
use esa.memoryctrl.all;
use work.ft245.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW
);
port (
-- RESET, CLK, ERROR
resetn : in std_ulogic;
clk : in std_ulogic;
errorn : out std_ulogic;
-- combined flash/SSRAM/IO bus (fs_...)
fs_addr : out std_logic_vector(24 downto 0);
fs_data : inout std_logic_vector(31 downto 0);
-- IO chip enable
io_cen : out std_logic;
io_wen : out std_logic;
-- separate flash signals (flash_...)
flash_cen : out std_ulogic;
flash_oen : out std_logic;
flash_wen : out std_logic;
-- separate SSRAM signals (ssram_...)
ssram_cen : out std_logic;
ssram_wen : out std_logic;
ssram_bw : out std_logic_vector (3 downto 0);
ssram_oen : out std_ulogic;
ssram_clk : out std_ulogic;
ssram_adscn : out std_ulogic;
ssram_adspn : out std_ulogic;
ssram_advn : out std_ulogic;
-- DDR2
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_odt : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (7 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (7 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (63 downto 0); -- ddr data
-- ETHERNET PHY
phy_gtx_clk : out std_logic;
phy_mii_data: inout std_logic; -- ethernet PHY interface
phy_tx_clk : in std_ulogic;
phy_rx_clk : in std_ulogic;
phy_rx_data : in std_logic_vector(7 downto 0);
phy_dv : in std_ulogic;
phy_rx_er : in std_ulogic;
phy_col : in std_ulogic;
phy_crs : in std_ulogic;
phy_tx_data : out std_logic_vector(7 downto 0);
phy_tx_en : out std_ulogic;
phy_tx_er : out std_ulogic;
phy_mii_clk : out std_ulogic;
-- debug support unit
dsuact : out std_ulogic;
-- console/debug UART
rxd1 : in std_logic;
txd1 : out std_logic;
-- FT245 UART
ft245_data : inout std_logic_vector (7 downto 0);
ft245_rdn : out std_logic;
ft245_wr : out std_logic;
ft245_rxfn : in std_logic;
ft245_txen : in std_logic;
ft245_pwrenn : in std_logic;
-- GPIO
gpio : inout std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0)
);
end;
architecture rtl of leon3mp is
constant maxahbm : integer := NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH;
signal vcc, gnd : std_logic_vector(7 downto 0);
signal memi, smemi : memory_in_type;
signal memo, smemo : memory_out_type;
signal wpo : wprot_out_type;
signal ddrclkfb, ssrclkfb, ddr_clkl, ddr_clk90l, ddr_clknl, ddr_clk270l : std_ulogic;
signal ddr_clkv : std_logic_vector(2 downto 0);
signal ddr_clkbv : std_logic_vector(2 downto 0);
signal ddr_ckev : std_logic_vector(1 downto 0);
signal ddr_csbv : std_logic_vector(1 downto 0);
signal ddr_adl : std_logic_vector (13 downto 0);
signal clklock, lock, clkml, rst, ndsuact : std_ulogic;
signal tck, tckn, tms, tdi, tdo : std_ulogic;
signal ddrclk, ddrrst : std_ulogic;
-- attribute syn_keep : boolean;
-- attribute syn_preserve : boolean;
-- attribute syn_keep of clkml : signal is true;
-- attribute syn_preserve of clkml : signal is true;
signal extd : std_logic_vector(31 downto 0);
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector;
signal clkm, rstn, ssram_clkl : std_ulogic;
signal cgi : clkgen_in_type;
signal cgo : clkgen_out_type;
signal u1i, dui : uart_in_type;
signal u1o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to NCPU-1);
signal irqo : irq_out_vector(0 to NCPU-1);
signal dbgi : l3_debug_in_vector(0 to NCPU-1);
signal dbgo : l3_debug_out_vector(0 to NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal ethi : eth_in_type;
signal etho : eth_out_type;
signal gpti : gptimer_in_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal ft245i : ft245_in_type;
signal ft245o : ft245_out_type;
signal ft245_vbdrive : std_logic_vector(7 downto 0);
constant IOAEN : integer := 1;
constant BOARD_FREQ : integer := 100000; -- input frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= (others => '1'); gnd <= (others => '0');
cgi.pllctrl <= "00"; cgi.pllrst <= not resetn; cgi.pllref <= '0';
clklock <= cgo.clklock and lock;
clkgen0 : clkgen -- clock generator for main clock
generic map (tech => CFG_CLKTECH, clk_mul => CFG_CLKMUL, clk_div => CFG_CLKDIV,
sdramen => CFG_MCTRL_SDEN, pcien => 0, pcidll => 0,
freq => BOARD_FREQ, clk2xen => 0, clksel => 0, clk_odiv => 0)
port map (clkin => clk, pciclkin => gnd(0), clk => clkm, clkn => ssram_clkl,
clk2x => open, sdclk => open, pciclk => open,
cgi => cgi, cgo => cgo);
-- ssram_clkl <= not clkm;
ssrclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24)
port map (ssram_clk, ssram_clkl);
rst0 : rstgen -- reset generator
port map (resetn, clkm, clklock, rstn);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO,
ioen => IOAEN, nahbm => maxahbm, nahbs => 8)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
l3 : if CFG_LEON3 = 1 generate
cpu : for i in 0 to NCPU-1 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error);
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1';
dsui.break <= '0';
dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active);
end generate;
end generate;
nodsu : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
dcomgen : if CFG_AHB_UART = 1 generate
dcom0 : ahbuart -- Debug UART
generic map (hindex => NCPU, pindex => 4, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(4), ahbmi, ahbmo(NCPU));
dsurx_pad : inpad generic map (tech => padtech) port map (rxd1, dui.rxd);
dsutx_pad : outpad generic map (tech => padtech) port map (txd1, duo.txd);
end generate;
nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART),
open, open, open, open, open, open, open, gnd(0));
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
mctrl0 : if CFG_MCTRL_LEON2 = 1 generate
mctrl0 : mctrl generic map (hindex => 0, pindex => 0,
romaddr => 16#000#, rommask => 16#E00#,
ioaddr => 16#200#, iomask => 16#E00#,
ramaddr => 16#C00#, rammask => 16#F00#,
paddr => 0, pmask => 16#FFF#,
srbanks => 1, wprot => 0,
ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT,
sden => CFG_MCTRL_SDEN,
invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, open);
end generate;
memi.brdyn <= '1'; memi.bexcn <= '1';
memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
mg0 : if CFG_MCTRL_LEON2 = 0 generate -- no prom/sram pads
apbo(0) <= apb_none; ahbso(0) <= ahbs_none;
rom_sel_pad : outpad generic map (tech => padtech)
port map (flash_cen, vcc(0));
ssram_sel_pad : outpad generic map (tech => padtech)
port map (ssram_cen, vcc(0));
io_sel_pad : outpad generic map (tech => padtech)
port map (io_cen, vcc(0));
end generate;
mgpads : if CFG_MCTRL_LEON2 = 1 generate
-- flash/ssram data/address pads
fsaddr_pad : outpadv generic map (width => 25, tech => padtech)
port map (fs_addr, memo.address(25 downto 1));
fsdata_pad : iopadvv generic map (width => 32, tech => padtech)
port map (fs_data, memo.data, memo.vbdrive, memi.data);
-- flash only pads
rom_sel_pad : outpad generic map (tech => padtech)
port map (flash_cen, memo.romsn(0));
rom_oen_pad : outpad generic map (tech => padtech)
port map (flash_oen, memo.oen);
rom_wri_pad : outpad generic map (tech => padtech)
port map (flash_wen, memo.writen);
-- ssram only pads
ssram_adv_n_pad : outpad generic map (tech => padtech)
port map (ssram_advn, vcc(0));
ssram_adsp_n_pad : outpad generic map (tech => padtech)
port map (ssram_adspn, vcc(0));
ssram_adscn_pad : outpad generic map (tech => padtech)
port map (ssram_adscn, gnd(0));
ssram_sel_pad : outpad generic map ( tech => padtech)
port map (ssram_cen, memo.ramsn(0));
ssram_oen_pad : outpad generic map (tech => padtech)
port map (ssram_oen, memo.ramoen(0));
ssram_wen_pad : outpad generic map (tech => padtech)
port map (ssram_wen, memo.wrn(0));
ssram_bw_pad : outpadv generic map (width => 4, tech => padtech)
port map (ssram_bw, memo.mben);
-- io data
io_sel_pad : outpad generic map (tech => padtech)
port map (io_cen, memo.iosn);
io_wri_pad : outpad generic map (tech => padtech)
port map (io_wen, memo.writen);
end generate;
ddrsp0 : if (CFG_DDR2SP /= 0) generate
ddrc0 : ddr2spa generic map ( fabtech => fabtech, memtech => memtech,
hindex => 3, haddr => 16#400#, hmask => 16#C00#, ioaddr => 1,
pwron => CFG_DDR2SP_INIT, MHz => BOARD_FREQ/1000,
clkmul => CFG_DDR2SP_FREQ/10, clkdiv => BOARD_FREQ/10000,
ahbfreq => CPU_FREQ/1000, col => CFG_DDR2SP_COL,
Mbyte => CFG_DDR2SP_SIZE, ddrbits => 64, readdly => 1,
ddelayb0 => CFG_DDR2SP_DELAY0, ddelayb1 => CFG_DDR2SP_DELAY1,
ddelayb2 => CFG_DDR2SP_DELAY2, ddelayb3 => CFG_DDR2SP_DELAY3,
ddelayb4 => CFG_DDR2SP_DELAY4, ddelayb5 => CFG_DDR2SP_DELAY5,
ddelayb6 => CFG_DDR2SP_DELAY6, ddelayb7 => CFG_DDR2SP_DELAY7,
numidelctrl => 3, norefclk => 1, odten => 1, dqsse => 1)
port map ( rst_ddr => resetn, rst_ahb => rstn, clk_ddr => clk, clk_ahb => clkm, clkref200 => gnd(0),
lock => lock, clkddro => clkml, clkddri => clkml, ahbsi => ahbsi, ahbso => ahbso(3),
ddr_clk => ddr_clkv, ddr_clkb => ddr_clkbv, ddr_clk_fb => gnd(0), ddr_cke => ddr_ckev,
ddr_csb => ddr_csbv, ddr_web => ddr_web, ddr_rasb => ddr_rasb, ddr_casb => ddr_casb,
ddr_dm => ddr_dm, ddr_dqs => ddr_dqs, ddr_dqsn => open, ddr_ad => ddr_ad, ddr_ba => ddr_ba,
ddr_dq => ddr_dq, ddr_odt => ddr_odt);
ddr_clk <= ddr_clkv(2 downto 0); ddr_clkb <= ddr_clkbv(2 downto 0);
ddr_cke <= ddr_ckev(1 downto 0); ddr_csb <= ddr_csbv(1 downto 0);
end generate;
noddr : if (CFG_DDR2SP = 0) generate
ddr_cke <= (others => '0'); ddr_csb <= (others => '1'); lock <= '1';
end generate;
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth1 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm generic map(hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 11, paddr => 11, pirq => 12, memtech => memtech,
mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, phyrstadr => 18,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL, giga => CFG_GRETH1G)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
apbi => apbi, apbo => apbo(11), ethi => ethi, etho => etho);
emdio_pad : iopad generic map (tech => padtech)
port map (phy_mii_data, etho.mdio_o, etho.mdio_oe, ethi.mdio_i);
etxc_pad : inpad generic map (tech => padtech)
port map (phy_tx_clk, ethi.tx_clk);
erxc_pad : inpad generic map (tech => padtech)
port map (phy_rx_clk, ethi.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, width => 8)
port map (phy_rx_data, ethi.rxd(7 downto 0));
erxdv_pad : inpad generic map (tech => padtech)
port map (phy_dv, ethi.rx_dv);
erxer_pad : inpad generic map (tech => padtech)
port map (phy_rx_er, ethi.rx_er);
erxco_pad : inpad generic map (tech => padtech)
port map (phy_col, ethi.rx_col);
erxcr_pad : inpad generic map (tech => padtech)
port map (phy_crs, ethi.rx_crs);
etxd_pad : outpadv generic map (tech => padtech, width => 8)
port map (phy_tx_data, etho.txd(7 downto 0));
etxen_pad : outpad generic map (tech => padtech)
port map ( phy_tx_en, etho.tx_en);
etxer_pad : outpad generic map (tech => padtech)
port map (phy_tx_er, etho.tx_er);
emdc_pad : outpad generic map (tech => padtech)
port map (phy_mii_clk, etho.mdc);
end generate;
----------------------------------------------------------------------
--- APB Bridge and various peripherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
ua1 : if CFG_UART1_ENABLE = 1 generate
uart1 : ft245uart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart)
port map (rstn, clkm, apbi, apbo(1), ft245i, ft245o);
ft245_vbdrive <= (others => ft245o.oen);
ft245_data_pad : iopadvv generic map (width => 8, tech => padtech)
port map (ft245_data, ft245o.wrdata, ft245_vbdrive, ft245i.rddata);
ft245_rdn_pad : outpad generic map (tech => padtech)
port map (ft245_rdn, ft245o.rdn);
ft245_wr_pad : outpad generic map (tech => padtech)
port map (ft245_wr, ft245o.wr);
ft245_rxfn_pad : inpad generic map (tech => padtech)
port map (ft245_rxfn, ft245i.rxfn);
ft245_txen_pad : inpad generic map (tech => padtech)
port map (ft245_txen, ft245i.txen);
ft245_pwrenn_pad : inpad generic map (tech => padtech)
port map (ft245_pwrenn, ft245i.pwrenn);
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 5, paddr => 5, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(5),
gpioi => gpioi, gpioo => gpioo);
pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
pio_pad : iopad generic map (tech => padtech)
port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
end generate;
-----------------------------------------------------------------------
--- AHB ROM ----------------------------------------------------------
-----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 6, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(6));
end generate;
nobpromgen : if CFG_AHBROMEN = 0 generate
ahbso(6) <= ahbs_none;
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ahbramgen : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ,
pipe => CFG_AHBRPIPE)
port map (rstn, clkm, ahbsi, ahbso(7));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
nam1 : for i in (NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH) to NAHBMST-1 generate
ahbmo(i) <= ahbm_none;
end generate;
-- nap0 : for i in 6 to NAPBSLV-1 generate apbo(i) <= apb_none; end generate;
-- nah0 : for i in 7 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Altera EP2SGX90 SSRAM/DDR Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-gr-xc3s-1500/config.vhd | 1 | 8833 |
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := spartan3;
constant CFG_MEMTECH : integer := spartan3;
constant CFG_PADTECH : integer := spartan3;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := spartan3;
constant CFG_CLKMUL : integer := (4);
constant CFG_CLKDIV : integer := (5);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 16#32# + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 1;
constant CFG_SVT : integer := 1;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 0;
constant CFG_NWP : integer := (4);
constant CFG_PWD : integer := 0*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 2;
constant CFG_ISETSZ : integer := 4;
constant CFG_ILINE : integer := 8;
constant CFG_IREPL : integer := 0;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 1;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 0;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 1 + 0 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 1;
constant CFG_ITLBNUM : integer := 8;
constant CFG_DTLBNUM : integer := 8;
constant CFG_TLB_TYPE : integer := 0 + 1*2;
constant CFG_TLB_REP : integer := 0;
constant CFG_MMU_PAGE : integer := 4;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 2;
constant CFG_ATBSZ : integer := 2;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 1;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- USB DSU
constant CFG_GRUSB_DCL : integer := 0;
constant CFG_GRUSB_DCL_UIFACE : integer := 1;
constant CFG_GRUSB_DCL_DW : integer := 8;
-- Ethernet DSU
constant CFG_DSU_ETH : integer := 1 + 0 + 0;
constant CFG_ETH_BUF : integer := 2;
constant CFG_ETH_IPM : integer := 16#C0A8#;
constant CFG_ETH_IPL : integer := 16#0033#;
constant CFG_ETH_ENM : integer := 16#020000#;
constant CFG_ETH_ENL : integer := 16#000008#;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 1;
constant CFG_MCTRL_RAM16BIT : integer := 0;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 1;
constant CFG_MCTRL_SEPBUS : integer := 0;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 1 + 0;
-- AHB status register
constant CFG_AHBSTAT : integer := 0;
constant CFG_AHBSTATN : integer := 1;
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- Gaisler Ethernet core
constant CFG_GRETH : integer := 1;
constant CFG_GRETH1G : integer := 0;
constant CFG_ETH_FIFO : integer := 16;
-- CAN 2.0 interface
constant CFG_CAN : integer := 0;
constant CFG_CAN_NUM : integer := 1;
constant CFG_CANIO : integer := 16#0#;
constant CFG_CANIRQ : integer := 0;
constant CFG_CANSEPIRQ: integer := 0;
constant CFG_CAN_SYNCRST : integer := 0;
constant CFG_CANFT : integer := 0;
-- GR USB 2.0 Device Controller
constant CFG_GRUSBDC : integer := 0;
constant CFG_GRUSBDC_AIFACE : integer := 0;
constant CFG_GRUSBDC_UIFACE : integer := 1;
constant CFG_GRUSBDC_DW : integer := 8;
constant CFG_GRUSBDC_NEPI : integer := 1;
constant CFG_GRUSBDC_NEPO : integer := 1;
constant CFG_GRUSBDC_I0 : integer := 1024;
constant CFG_GRUSBDC_I1 : integer := 1024;
constant CFG_GRUSBDC_I2 : integer := 1024;
constant CFG_GRUSBDC_I3 : integer := 1024;
constant CFG_GRUSBDC_I4 : integer := 1024;
constant CFG_GRUSBDC_I5 : integer := 1024;
constant CFG_GRUSBDC_I6 : integer := 1024;
constant CFG_GRUSBDC_I7 : integer := 1024;
constant CFG_GRUSBDC_I8 : integer := 1024;
constant CFG_GRUSBDC_I9 : integer := 1024;
constant CFG_GRUSBDC_I10 : integer := 1024;
constant CFG_GRUSBDC_I11 : integer := 1024;
constant CFG_GRUSBDC_I12 : integer := 1024;
constant CFG_GRUSBDC_I13 : integer := 1024;
constant CFG_GRUSBDC_I14 : integer := 1024;
constant CFG_GRUSBDC_I15 : integer := 1024;
constant CFG_GRUSBDC_O0 : integer := 1024;
constant CFG_GRUSBDC_O1 : integer := 1024;
constant CFG_GRUSBDC_O2 : integer := 1024;
constant CFG_GRUSBDC_O3 : integer := 1024;
constant CFG_GRUSBDC_O4 : integer := 1024;
constant CFG_GRUSBDC_O5 : integer := 1024;
constant CFG_GRUSBDC_O6 : integer := 1024;
constant CFG_GRUSBDC_O7 : integer := 1024;
constant CFG_GRUSBDC_O8 : integer := 1024;
constant CFG_GRUSBDC_O9 : integer := 1024;
constant CFG_GRUSBDC_O10 : integer := 1024;
constant CFG_GRUSBDC_O11 : integer := 1024;
constant CFG_GRUSBDC_O12 : integer := 1024;
constant CFG_GRUSBDC_O13 : integer := 1024;
constant CFG_GRUSBDC_O14 : integer := 1024;
constant CFG_GRUSBDC_O15 : integer := 1024;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 4;
-- UART 2
constant CFG_UART2_ENABLE : integer := 0;
constant CFG_UART2_FIFO : integer := 1;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#0000#;
constant CFG_GRGPIO_WIDTH : integer := (8);
-- Spacewire interface
constant CFG_SPW_EN : integer := 0;
constant CFG_SPW_NUM : integer := 1;
constant CFG_SPW_AHBFIFO : integer := 4;
constant CFG_SPW_RXFIFO : integer := 16;
constant CFG_SPW_RMAP : integer := 0;
constant CFG_SPW_RMAPBUF : integer := 4;
constant CFG_SPW_RMAPCRC : integer := 0;
constant CFG_SPW_NETLIST : integer := 0;
constant CFG_SPW_FT : integer := 0;
constant CFG_SPW_GRSPW : integer := 2;
constant CFG_SPW_RXUNAL : integer := 0;
constant CFG_SPW_DMACHAN : integer := 1;
constant CFG_SPW_PORTS : integer := 1;
constant CFG_SPW_INPUT : integer := 2;
constant CFG_SPW_OUTPUT : integer := 0;
constant CFG_SPW_RTSAME : integer := 0;
-- VGA and PS2/ interface
constant CFG_KBD_ENABLE : integer := 1;
constant CFG_VGA_ENABLE : integer := 0;
constant CFG_SVGA_ENABLE : integer := 1;
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-xilinx-vc707/testbench.vhd | 1 | 21601 | -----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library micron;
use micron.all;
library techmap;
use techmap.gencomp.all;
use work.debug.all;
use work.config.all;
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
testahb : boolean := true;
USE_MIG_INTERFACE_MODEL : boolean := false
);
end;
architecture behav of testbench is
-- DDR3 Simulation parameters
constant SIM_BYPASS_INIT_CAL : string := "FAST";
-- # = "OFF" - Complete memory init &
-- calibration sequence
-- # = "SKIP" - Not supported
-- # = "FAST" - Complete memory init & use
-- abbreviated calib sequence
constant SIMULATION : string := "TRUE";
-- Should be TRUE during design simulations and
-- FALSE during implementations
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal clk : std_logic := '0';
signal Rst : std_logic := '0';
signal address : std_logic_vector(25 downto 0);
signal data : std_logic_vector(15 downto 0);
signal button : std_logic_vector(3 downto 0) := "0000";
signal genio : std_logic_vector(59 downto 0);
signal romsn : std_logic;
signal oen : std_ulogic;
signal writen : std_ulogic;
signal adv : std_logic;
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal txd1 , rxd1 , dsurx : std_logic;
signal txd2 , rxd2 , dsutx : std_logic;
signal ctsn1 , rtsn1 , dsuctsn : std_ulogic;
signal ctsn2 , rtsn2 , dsurtsn : std_ulogic;
signal phy_mii_data : std_logic;
signal phy_tx_clk : std_ulogic;
signal phy_rx_clk : std_ulogic;
signal phy_rx_data : std_logic_vector(7 downto 0);
signal phy_dv : std_ulogic;
signal phy_rx_er : std_ulogic;
signal phy_col : std_ulogic;
signal phy_crs : std_ulogic;
signal phy_tx_data : std_logic_vector(7 downto 0);
signal phy_tx_en : std_ulogic;
signal phy_tx_er : std_ulogic;
signal phy_mii_clk : std_ulogic;
signal phy_rst_n : std_ulogic;
signal phy_gtx_clk : std_ulogic;
signal phy_mii_int_n : std_ulogic;
signal clk27 : std_ulogic := '0';
signal clk200p : std_ulogic := '0';
signal clk200n : std_ulogic := '1';
signal clk33 : std_ulogic := '0';
signal clkethp : std_ulogic := '0';
signal clkethn : std_ulogic := '1';
signal txp1 : std_logic;
signal txn : std_logic;
signal rxp : std_logic := '1';
signal rxn : std_logic := '0';
signal iic_scl : std_ulogic;
signal iic_sda : std_ulogic;
signal ddc_scl : std_ulogic;
signal ddc_sda : std_ulogic;
signal dvi_iic_scl : std_logic;
signal dvi_iic_sda : std_logic;
signal tft_lcd_data : std_logic_vector(11 downto 0);
signal tft_lcd_clk_p : std_ulogic;
signal tft_lcd_clk_n : std_ulogic;
signal tft_lcd_hsync : std_ulogic;
signal tft_lcd_vsync : std_ulogic;
signal tft_lcd_de : std_ulogic;
signal tft_lcd_reset_b : std_ulogic;
-- DDR3 memory
signal ddr3_dq : std_logic_vector(63 downto 0);
signal ddr3_dqs_p : std_logic_vector(7 downto 0);
signal ddr3_dqs_n : std_logic_vector(7 downto 0);
signal ddr3_addr : std_logic_vector(13 downto 0);
signal ddr3_ba : std_logic_vector(2 downto 0);
signal ddr3_ras_n : std_logic;
signal ddr3_cas_n : std_logic;
signal ddr3_we_n : std_logic;
signal ddr3_reset_n : std_logic;
signal ddr3_ck_p : std_logic_vector(0 downto 0);
signal ddr3_ck_n : std_logic_vector(0 downto 0);
signal ddr3_cke : std_logic_vector(0 downto 0);
signal ddr3_cs_n : std_logic_vector(0 downto 0);
signal ddr3_dm : std_logic_vector(7 downto 0);
signal ddr3_odt : std_logic_vector(0 downto 0);
-- SPI flash
signal spi_sel_n : std_ulogic;
signal spi_clk : std_ulogic;
signal spi_mosi : std_ulogic;
signal dsurst : std_ulogic;
signal errorn : std_logic;
signal switch : std_logic_vector(4 downto 0); -- I/O port
signal led : std_logic_vector(6 downto 0); -- I/O port
constant lresp : boolean := false;
signal tdqs_n : std_logic;
signal gmii_tx_clk : std_logic;
signal gmii_rx_clk : std_logic;
signal gmii_txd : std_logic_vector(7 downto 0);
signal gmii_tx_en : std_logic;
signal gmii_tx_er : std_logic;
signal gmii_rxd : std_logic_vector(7 downto 0);
signal gmii_rx_dv : std_logic;
signal gmii_rx_er : std_logic;
signal configuration_finished : boolean;
signal speed_is_10_100 : std_logic;
signal speed_is_100 : std_logic;
signal usb_clkout : std_logic := '0';
signal usb_d : std_logic_vector(7 downto 0);
signal usb_resetn : std_ulogic;
signal usb_nxt : std_ulogic;
signal usb_stp : std_ulogic;
signal usb_dir : std_ulogic;
-- GRUSB_DCL test signals
signal ddelay : std_ulogic := '0';
signal dstart : std_ulogic := '0';
signal drw : std_ulogic;
signal daddr : std_logic_vector(31 downto 0);
signal dlen : std_logic_vector(14 downto 0);
signal ddi : grusb_dcl_debug_data;
signal ddone : std_ulogic;
signal ddo : grusb_dcl_debug_data;
signal phy_mdio : std_logic;
signal phy_mdc : std_ulogic;
component leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
testahb : boolean := false;
SIM_BYPASS_INIT_CAL : string := "OFF";
SIMULATION : string := "FALSE";
USE_MIG_INTERFACE_MODEL : boolean := false;
autonegotiation : integer := 1
);
port (
reset : in std_ulogic;
clk200p : in std_ulogic; -- 200 MHz clock
clk200n : in std_ulogic; -- 200 MHz clock
address : out std_logic_vector(25 downto 0);
data : inout std_logic_vector(15 downto 0);
oen : out std_ulogic;
writen : out std_ulogic;
romsn : out std_logic;
adv : out std_logic;
ddr3_dq : inout std_logic_vector(63 downto 0);
ddr3_dqs_p : inout std_logic_vector(7 downto 0);
ddr3_dqs_n : inout std_logic_vector(7 downto 0);
ddr3_addr : out std_logic_vector(13 downto 0);
ddr3_ba : out std_logic_vector(2 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(0 downto 0);
ddr3_ck_n : out std_logic_vector(0 downto 0);
ddr3_cke : out std_logic_vector(0 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(7 downto 0);
ddr3_odt : out std_logic_vector(0 downto 0);
dsurx : in std_ulogic;
dsutx : out std_ulogic;
dsuctsn : in std_ulogic;
dsurtsn : out std_ulogic;
button : in std_logic_vector(3 downto 0);
switch : inout std_logic_vector(4 downto 0);
led : out std_logic_vector(6 downto 0);
iic_scl : inout std_ulogic;
iic_sda : inout std_ulogic;
usb_refclk_opt : in std_logic;
usb_clkout : in std_logic;
usb_d : inout std_logic_vector(7 downto 0);
usb_nxt : in std_logic;
usb_stp : out std_logic;
usb_dir : in std_logic;
usb_resetn : out std_ulogic;
gtrefclk_p : in std_logic;
gtrefclk_n : in std_logic;
txp : out std_logic;
txn : out std_logic;
rxp : in std_logic;
rxn : in std_logic;
emdio : inout std_logic;
emdc : out std_ulogic;
eint : in std_ulogic;
erst : out std_ulogic;
can_txd : out std_logic_vector(0 to CFG_CAN_NUM-1);
can_rxd : in std_logic_vector(0 to CFG_CAN_NUM-1);
spi_data_out : in std_logic;
spi_data_in : out std_ulogic;
spi_data_cs_b : out std_ulogic;
spi_clk : out std_ulogic
);
end component;
component ddr3_model
generic(
ADDR_BITS : integer := 14;
BA_BITS : integer := 3;
DM_BITS : integer := 1;
DQ_BITS : integer := 8;
DQS_BITS : integer := 1
);
port(
rst_n : in std_logic;
ck : in std_logic;
ck_n : in std_logic;
cke : in std_logic;
cs_n : in std_logic;
ras_n : in std_logic;
cas_n : in std_logic;
we_n : in std_logic;
dm_tdqs : inout std_logic;
ba : in std_logic_vector(2 downto 0);
addr : in std_logic_vector(13 downto 0);
dq : inout std_logic_vector(7 downto 0);
dqs : inout std_logic;
dqs_n : inout std_logic;
tdqs_n : out std_logic_vector(0 to 0);
odt : in std_logic
);
end component;
begin
-- clock and reset
clk200p <= not clk200p after 2.5 ns;
clk200n <= not clk200n after 2.5 ns;
clkethp <= not clkethp after 4 ns;
clkethn <= not clkethp after 4 ns;
rst <= not dsurst;
rxd1 <= 'H'; ctsn1 <= '0';
rxd2 <= 'H'; ctsn2 <= '0';
button <= "0000";
switch(3 downto 0) <= "0000";
cpu : leon3mp
generic map (
fabtech => fabtech,
memtech => memtech,
padtech => padtech,
clktech => clktech,
disas => disas,
dbguart => dbguart,
pclow => pclow,
testahb => testahb,
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
SIMULATION => SIMULATION,
USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL,
autonegotiation => 0
)
port map (
reset => rst,
clk200p => clk200p,
clk200n => clk200n,
address => address,
data => data,
oen => oen,
writen => writen,
romsn => romsn,
adv => adv,
ddr3_dq => ddr3_dq,
ddr3_dqs_p => ddr3_dqs_p,
ddr3_dqs_n => ddr3_dqs_n,
ddr3_addr => ddr3_addr,
ddr3_ba => ddr3_ba,
ddr3_ras_n => ddr3_ras_n,
ddr3_cas_n => ddr3_cas_n,
ddr3_we_n => ddr3_we_n,
ddr3_reset_n => ddr3_reset_n,
ddr3_ck_p => ddr3_ck_p,
ddr3_ck_n => ddr3_ck_n,
ddr3_cke => ddr3_cke,
ddr3_cs_n => ddr3_cs_n,
ddr3_dm => ddr3_dm,
ddr3_odt => ddr3_odt,
dsurx => dsurx,
dsutx => dsutx,
dsuctsn => dsuctsn,
dsurtsn => dsurtsn,
button => button,
switch => switch,
led => led,
iic_scl => iic_scl,
iic_sda => iic_sda,
usb_refclk_opt => '0',
usb_clkout => usb_clkout,
usb_d => usb_d,
usb_nxt => usb_nxt,
usb_stp => usb_stp,
usb_dir => usb_dir,
usb_resetn => usb_resetn,
gtrefclk_p => clkethp,
gtrefclk_n => clkethn,
txp => OPEN,
txn => OPEN,
rxp => '1',
rxn => '1',
emdio => phy_mdio,
emdc => phy_mdc,
eint => '0',
erst => OPEN,
can_txd => OPEN,
can_rxd => "0",
spi_data_out => '0',
spi_data_in => OPEN,
spi_data_cs_b => OPEN,
spi_clk => OPEN
);
phy0 : if (CFG_GRETH = 1) generate
phy_mdio <= 'H';
p0: phy
generic map (address => 7)
port map(dsurst, phy_mdio, OPEN , OPEN , OPEN ,
OPEN , OPEN , OPEN , OPEN , "00000000",
'0', '0', phy_mdc, clkethp);
end generate;
prom0 : for i in 0 to 1 generate
sr0 : sram generic map (index => i+4, abits => 26, fname => promfile)
port map (address(25 downto 0), data(15-i*8 downto 8-i*8), romsn,
writen, oen);
end generate;
-- Memory Models instantiations
gen_mem_model : if (USE_MIG_INTERFACE_MODEL /= true) generate
ddr3mem : if (CFG_MIG_SERIES7 = 1) generate
gen_mem: for i in 0 to 7 generate
u1: ddr3_model
generic map(
ADDR_BITS => 14,
BA_BITS => 3,
DM_BITS => 1,
DQ_BITS => 8,
DQS_BITS => 1
)
port map (
rst_n => ddr3_reset_n,
ck => ddr3_ck_p(0),
ck_n => ddr3_ck_n(0),
cke => ddr3_cke(0),
cs_n => ddr3_cs_n(0),
ras_n => ddr3_ras_n,
cas_n => ddr3_cas_n,
we_n => ddr3_we_n,
dm_tdqs => ddr3_dm(i),
ba => ddr3_ba,
addr => ddr3_addr,
dq => ddr3_dq((8*i+7) downto (8*i)),
dqs => ddr3_dqs_p(i),
dqs_n => ddr3_dqs_n(i),
tdqs_n => open,
odt => ddr3_odt(0)
);
end generate gen_mem;
end generate ddr3mem;
end generate gen_mem_model;
mig_mem_model : if (USE_MIG_INTERFACE_MODEL = true) generate
ddr3_dq <= (others => 'Z');
ddr3_dqs_p <= (others => 'Z');
ddr3_dqs_n <= (others => 'Z');
end generate mig_mem_model;
errorn <= led(1);
errorn <= 'H'; -- ERROR pull-up
usbtr: if (CFG_GRUSBHC = 1) generate
u0: ulpi
port map (usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir, usb_resetn);
end generate usbtr;
usbdevsim: if (CFG_GRUSBDC = 1) generate
u0: grusbdcsim
generic map (functm => 0, keepclk => 1)
port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir);
end generate usbdevsim;
usb_dclsim: if (CFG_GRUSB_DCL = 1) generate
u0: grusb_dclsim
generic map (functm => 0, keepclk => 1)
port map (usb_resetn, usb_clkout, usb_d, usb_nxt, usb_stp, usb_dir,
ddelay, dstart, drw, daddr, dlen, ddi, ddone, ddo);
usb_dcl_proc : process
begin
wait for 10 ns;
Print("GRUSB_DCL test started");
wait until rising_edge(ddone);
-- Write 128 bytes to memory
daddr <= X"40000000";
dlen <= conv_std_logic_vector(32,15);
for i in 0 to 127 loop
ddi(i) <= conv_std_logic_vector(i+8,8);
end loop; -- i
grusb_dcl_write(usb_clkout, drw, dstart, ddone);
-- Read back written data
grusb_dcl_read(usb_clkout, drw, dstart, ddone);
-- Compare data
for i in 0 to 127 loop
if ddo(i) /= ddi(i) then
Print("ERROR: Data mismatch using GRUSB_DCL");
end if;
end loop;
Print("GRUSB_DCL test finished");
wait;
end process;
end generate usb_dclsim;
iuerr : process
begin
wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation
wait on led(3); -- DDR3 Memory Init ready
wait for 5000 ns;
if to_x01(errorn) = '1' then wait on errorn; end if;
assert (to_x01(errorn) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ; -- this should be a failure
end process;
data <= buskeep(data) after 5 ns;
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 320 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
switch(4) <= '0';
wait for 2500 ns;
wait for 210 us; -- This is for proper DDR3 behaviour durign init phase not needed durin simulation
dsurst <= '1';
switch(4) <= '1';
if (USE_MIG_INTERFACE_MODEL /= true) then
wait on led(3); -- Wait for DDR3 Memory Init ready
end if;
report "Start DSU transfer";
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- Reads from memory and DSU register to mimic GRMON during simulation
l1 : loop
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp);
rxi(dsurx, w32, txp, lresp);
--report "DSU read memory " & tost(w32);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
rxi(dsurx, w32, txp, lresp);
--report "DSU Break and Single Step register" & tost(w32);
end loop l1;
wait;
-- ** This is only kept for reference --
-- do test read and writes to DDR3 to check status
-- Write
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#01#, 16#23#, 16#45#, 16#67#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp);
txa(dsutx, 16#89#, 16#AB#, 16#CD#, 16#EF#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp);
txa(dsutx, 16#08#, 16#19#, 16#2A#, 16#3B#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp);
txa(dsutx, 16#4C#, 16#5D#, 16#6E#, 16#7F#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#04#, txp);
rxi(dsurx, w32, txp, lresp);
report "* Read " & tost(w32);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#08#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#0C#, txp);
rxi(dsurx, w32, txp, lresp);
wait;
-- Register 0x90000000 (DSU Control Register)
-- Data 0x0000202e (b0010 0000 0010 1110)
-- [0] - Trace Enable
-- [1] - Break On Error
-- [2] - Break on IU watchpoint
-- [3] - Break on s/w break points
--
-- [4] - (Break on trap)
-- [5] - Break on error traps
-- [6] - Debug mode (Read mode only)
-- [7] - DSUEN (read mode)
--
-- [8] - DSUBRE (read mode)
-- [9] - Processor mode error (clears error)
-- [10] - processor halt (returns 1 if processor halted)
-- [11] - power down mode (return 1 if processor in power down mode)
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#80#, 16#02#, txp);
wait;
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#20#, 16#2e#, txp);
wait for 25000 ns;
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0D#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#70#, 16#11#, 16#78#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#0D#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp);
txa(dsutx, 16#00#, 16#00#, 16#20#, 16#00#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#44#, txp);
wait;
end;
begin
dsuctsn <= '0';
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/grlib/modgen/multlib.vhd | 6 | 1614 | -----------------------------------------------------------------------------
-- Package: multlib
-- File: multlib.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: A set of multipliers generated from the Arithmetic Module
-- Generator at Norwegian University of Science and Technology.
------------------------------------------------------------------------------
LIBRARY ieee;
use IEEE.std_logic_1164.all;
package multlib is
component mul_17_17
generic (mulpipe : integer := 0);
port (
clk : in std_ulogic;
holdn: in std_ulogic;
x : in std_logic_vector(16 downto 0);
y : in std_logic_vector(16 downto 0);
p : out std_logic_vector(33 downto 0)
);
end component;
component mul_33_9
port (
x : in std_logic_vector(32 downto 0);
y : in std_logic_vector(8 downto 0);
p : out std_logic_vector(41 downto 0)
);
end component;
component mul_33_17
port (
x : in std_logic_vector(32 downto 0);
y : in std_logic_vector(16 downto 0);
p : out std_logic_vector(49 downto 0)
);
end component;
component mul_33_33
generic (mulpipe : integer := 0);
port (
clk : in std_ulogic;
holdn: in std_ulogic;
x : in std_logic_vector(32 downto 0);
y : in std_logic_vector(32 downto 0);
p : out std_logic_vector(65 downto 0)
);
end component;
component add32
port(
x : in std_logic_vector(31 downto 0);
y : in std_logic_vector(31 downto 0);
ci : in std_ulogic;
s : out std_logic_vector(31 downto 0);
co : out std_ulogic
);
end component;
end multlib;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/misc/ahbram.vhd | 1 | 9173 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ahbram
-- File: ahbram.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Modified: Jan Andersson - Aeroflex Gaisler
-- Description: AHB ram. 0-waitstate read, 0/1-waitstate write.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
entity ahbram is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1;
pipe : integer := 0;
maccsz : integer := AHBDW;
scantest: integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end;
architecture rtl of ahbram is
constant abits : integer := log2ext(kbytes) + 8 - maccsz/64;
constant dw : integer := maccsz;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBRAM, 0, abits+2+maccsz/64, 0),
4 => ahb_membar(haddr, '1', '1', hmask),
others => zero32);
type reg_type is record
hwrite : std_ulogic;
hready : std_ulogic;
hsel : std_ulogic;
addr : std_logic_vector(abits-1+log2(dw/8) downto 0);
size : std_logic_vector(2 downto 0);
prdata : std_logic_vector((dw-1)*pipe downto 0);
pwrite : std_ulogic;
pready : std_ulogic;
end record;
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
constant RES : reg_type :=
(hwrite => '0', hready => '1', hsel => '0', addr => (others => '0'),
size => (others => '0'), prdata => (others => '0'), pwrite => '0',
pready => '1');
signal r, c : reg_type;
signal ramsel : std_logic_vector(dw/8-1 downto 0);
signal write : std_logic_vector(dw/8-1 downto 0);
signal ramaddr : std_logic_vector(abits-1 downto 0);
signal ramdata : std_logic_vector(dw-1 downto 0);
signal hwdata : std_logic_vector(dw-1 downto 0);
begin
comb : process (ahbsi, r, rst, ramdata)
variable bs : std_logic_vector(dw/8-1 downto 0);
variable v : reg_type;
variable haddr : std_logic_vector(abits-1 downto 0);
variable hrdata : std_logic_vector(dw-1 downto 0);
variable seldata : std_logic_vector(dw-1 downto 0);
variable raddr : std_logic_vector(3 downto 2);
variable adsel : std_logic;
begin
v := r; v.hready := '1'; bs := (others => '0');
v.pready := r.hready;
if pipe=0 then
adsel := r.hwrite or not r.hready;
else
adsel := r.hwrite or r.pwrite;
v.hready := r.hready or not r.pwrite;
end if;
if adsel = '1' then
haddr := r.addr(abits-1+log2(dw/8) downto log2(dw/8));
else
haddr := ahbsi.haddr(abits-1+log2(dw/8) downto log2(dw/8));
bs := (others => '0');
end if;
raddr := (others => '0');
v.pwrite := '0';
if pipe/=0 and (r.hready='1' or r.pwrite='0') then
v.addr := ahbsi.haddr(abits-1+log2(dw/8) downto 0);
end if;
if ahbsi.hready = '1' then
if pipe=0 then
v.addr := ahbsi.haddr(abits-1+log2(dw/8) downto 0);
end if;
v.hsel := ahbsi.hsel(hindex) and ahbsi.htrans(1);
v.size := ahbsi.hsize(2 downto 0);
v.hwrite := ahbsi.hwrite and v.hsel;
if pipe = 1 and v.hsel = '1' and ahbsi.hwrite = '0' and (r.pready='1' or ahbsi.htrans(0)='0') then
v.hready := '0';
v.pwrite := r.hwrite;
end if;
end if;
if r.hwrite = '1' then
case r.size is
when HSIZE_BYTE =>
bs(bs'left-conv_integer(r.addr(log2(dw/16) downto 0))) := '1';
when HSIZE_HWORD =>
for i in 0 to dw/16-1 loop
if i = conv_integer(r.addr(log2(dw/16) downto 1)) then
bs(bs'left-i*2 downto bs'left-i*2-1) := (others => '1');
end if;
end loop; -- i
when HSIZE_WORD =>
if dw = 32 then bs := (others => '1');
else
for i in 0 to dw/32-1 loop
if i = conv_integer(r.addr(log2(dw/8)-1 downto 2)) then
bs(bs'left-i*4 downto bs'left-i*4-3) := (others => '1');
end if;
end loop; -- i
end if;
when HSIZE_DWORD =>
if dw = 32 then null;
elsif dw = 64 then bs := (others => '1');
else
for i in 0 to dw/64-1 loop
if i = conv_integer(r.addr(3)) then
bs(bs'left-i*8 downto bs'left-i*8-7) := (others => '1');
end if;
end loop; -- i
end if;
when HSIZE_4WORD =>
if dw < 128 then null;
elsif dw = 128 then bs := (others => '1');
else
for i in 0 to dw/64-1 loop
if i = conv_integer(r.addr(3)) then
bs(bs'left-i*8 downto bs'left-i*8-7) := (others => '1');
end if;
end loop; -- i
end if;
when others => --HSIZE_8WORD
if dw < 256 then null;
else bs := (others => '1'); end if;
end case;
v.hready := not (v.hsel and not ahbsi.hwrite);
v.hwrite := v.hwrite and v.hready;
end if;
-- Duplicate read data on word basis, unless CORE_ACDM is enabled
if CORE_ACDM = 0 then
if dw = 32 then
seldata := ramdata;
elsif dw = 64 then
if r.size = HSIZE_DWORD then seldata := ramdata; else
if r.addr(2) = '0' then
seldata(dw/2-1 downto 0) := ramdata(dw-1 downto dw/2);
else
seldata(dw/2-1 downto 0) := ramdata(dw/2-1 downto 0);
end if;
seldata(dw-1 downto dw/2) := seldata(dw/2-1 downto 0);
end if;
elsif dw = 128 then
if r.size = HSIZE_4WORD then
seldata := ramdata;
elsif r.size = HSIZE_DWORD then
if r.addr(3) = '0' then seldata(dw/2-1 downto 0) := ramdata(dw-1 downto dw/2);
else seldata(dw/2-1 downto 0) := ramdata(dw/2-1 downto 0); end if;
seldata(dw-1 downto dw/2) := seldata(dw/2-1 downto 0);
else
raddr := r.addr(3 downto 2);
case raddr is
when "00" => seldata(dw/4-1 downto 0) := ramdata(4*dw/4-1 downto 3*dw/4);
when "01" => seldata(dw/4-1 downto 0) := ramdata(3*dw/4-1 downto 2*dw/4);
when "10" => seldata(dw/4-1 downto 0) := ramdata(2*dw/4-1 downto 1*dw/4);
when others => seldata(dw/4-1 downto 0) := ramdata(dw/4-1 downto 0);
end case;
seldata(dw-1 downto dw/4) := seldata(dw/4-1 downto 0) &
seldata(dw/4-1 downto 0) &
seldata(dw/4-1 downto 0);
end if;
else
seldata := ahbselectdata(ramdata, r.addr(4 downto 2), r.size);
end if;
else
seldata := ramdata;
end if;
if pipe = 0 then
v.prdata := (others => '0');
hrdata := seldata;
else
v.prdata := seldata;
hrdata := r.prdata;
end if;
if (not RESET_ALL) and (rst = '0') then
v.hwrite := RES.hwrite; v.hready := RES.hready;
end if;
write <= bs; for i in 0 to dw/8-1 loop ramsel(i) <= v.hsel or r.hwrite; end loop;
ramaddr <= haddr; c <= v;
ahbso.hrdata <= ahbdrivedata(hrdata);
ahbso.hready <= r.hready;
end process;
ahbso.hresp <= "00";
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
ahbso.hconfig <= hconfig;
ahbso.hindex <= hindex;
-- Select correct write data
hwdata <= ahbreaddata(ahbsi.hwdata, r.addr(4 downto 2),
conv_std_logic_vector(log2(dw/8), 3));
aram : syncrambw generic map (tech, abits, dw, scantest) port map (
clk, ramaddr, hwdata, ramdata, ramsel, write, ahbsi.testin);
reg : process (clk)
begin
if rising_edge(clk) then
r <= c;
if RESET_ALL and rst = '0' then
r <= RES;
end if;
end if;
end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbram" & tost(hindex) &
": AHB SRAM Module rev 1, " & tost(kbytes) & " kbytes");
-- pragma translate_on
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/stratixiii/ddr_phy_stratixiii.vhd | 4 | 22934 | library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
------------------------------------------------------------------
-- STRATIXIII DDR2 PHY ----------------------------------------------
------------------------------------------------------------------
entity stratixiii_ddr2_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2;
ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0;
ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0;
ddelayb6 : integer := 0; ddelayb7 : integer := 0;
numidelctrl : integer := 4; norefclk : integer := 0;
tech : integer := stratix3; odten : integer := 0; rskew : integer := 0;
eightbanks : integer range 0 to 1 := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkref200 : in std_logic; -- input 200MHz clock
clkout : out std_ulogic; -- system clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(1 downto 0);
addr : in std_logic_vector (13 downto 0); -- ddr address
ba : in std_logic_vector ( 2 downto 0); -- ddr bank address
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_pll : in std_logic_vector(1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(1 downto 0);
oct : in std_logic
);
end;
architecture rtl of stratixiii_ddr2_phy is
component apll is
generic (
freq : integer := 200;
mult : integer := 8;
div : integer := 5;
rskew : integer := 0
);
port(
areset : in std_logic := '0';
inclk0 : in std_logic := '0';
phasestep : in std_logic := '0';
phaseupdown : in std_logic := '0';
scanclk : in std_logic := '1';
c0 : out std_logic ;
c1 : out std_logic ;
c2 : out std_logic ;
c3 : out std_logic ;
c4 : out std_logic ;
locked : out std_logic;
phasedone : out std_logic
);
end component;
component aclkout is
port(
clk : in std_logic;
ddr_clk : out std_logic;
ddr_clkn: out std_logic
);
end component;
component actrlout is
generic(
power_up : string := "high"
);
port(
clk : in std_logic;
i : in std_logic;
o : out std_logic
);
end component;
--component adqsout is
--port(
-- clk : in std_logic; -- clk90
-- dqs : in std_logic;
-- dqs_oe : in std_logic;
-- dqs_oct : in std_logic; -- gnd = disable
-- dqs_pad : out std_logic; -- DQS pad
-- dqsn_pad : out std_logic -- DQSN pad
--);
--end component;
--component adqsin is
--port(
-- dqs_pad : in std_logic; -- DQS pad
-- dqsn_pad : in std_logic; -- DQSN pad
-- dqs : out std_logic
--);
--end component;
component admout is
port(
clk : in std_logic; -- clk0
dm_h : in std_logic;
dm_l : in std_logic;
dm_pad : out std_logic -- DQ pad
);
end component;
--component adqin is
--port(
-- clk : in std_logic;
-- dq_pad : in std_logic; -- DQ pad
-- dq_h : out std_logic;
-- dq_l : out std_logic;
-- config_clk : in std_logic;
-- config_clken : in std_logic;
-- config_datain : in std_logic;
-- config_update : in std_logic
--);
--end component;
--component adqout is
--port(
-- clk : in std_logic; -- clk0
-- clk_oct : in std_logic; -- clk90
-- dq_h : in std_logic;
-- dq_l : in std_logic;
-- dq_oe : in std_logic;
-- dq_oct : in std_logic; -- gnd = disable
-- dq_pad : out std_logic -- DQ pad
--);
--end component;
component dq_dqs_inst is
port(
bidir_dq_input_data_in : in std_logic_vector (7 downto 0);
bidir_dq_input_data_out_high : out std_logic_vector (7 downto 0);
bidir_dq_input_data_out_low : out std_logic_vector (7 downto 0);
bidir_dq_io_config_ena : in std_logic_vector (7 downto 0);
bidir_dq_oct_in : in std_logic_vector (7 downto 0);
bidir_dq_oct_out : out std_logic_vector (7 downto 0);
bidir_dq_oe_in : in std_logic_vector (7 downto 0);
bidir_dq_oe_out : out std_logic_vector (7 downto 0);
bidir_dq_output_data_in_high : in std_logic_vector (7 downto 0);
bidir_dq_output_data_in_low : in std_logic_vector (7 downto 0);
bidir_dq_output_data_out : out std_logic_vector (7 downto 0);
bidir_dq_sreset : in std_logic_vector (7 downto 0);
config_clk : in std_logic;
config_datain : in std_logic;
config_update : in std_logic;
dq_input_reg_clk : in std_logic;
dq_output_reg_clk : in std_logic;
dqs_areset : in std_logic;
dqs_oct_in : in std_logic;
dqs_oct_out : out std_logic;
dqs_oe_in : in std_logic;
dqs_oe_out : out std_logic;
dqs_output_data_in_high : in std_logic;
dqs_output_data_in_low : in std_logic;
dqs_output_data_out : out std_logic;
dqs_output_reg_clk : in std_logic;
dqsn_oct_in : in std_logic;
dqsn_oct_out : out std_logic;
dqsn_oe_in : in std_logic;
dqsn_oe_out : out std_logic;
oct_reg_clk : in std_logic
);
end component;
component bidir_dq_iobuf_inst is
port(
datain : in std_logic_vector (7 downto 0);
dyn_term_ctrl : in std_logic_vector (7 downto 0);
oe : in std_logic_vector (7 downto 0);
dataio : inout std_logic_vector (7 downto 0);
dataout : out std_logic_vector (7 downto 0)
);
end component;
component bidir_dqs_iobuf_inst is
port(
datain : in std_logic_vector (0 downto 0);
dyn_term_ctrl : in std_logic_vector (0 downto 0);
dyn_term_ctrl_b : in std_logic_vector (0 downto 0);
oe : in std_logic_vector (0 downto 0);
oe_b : in std_logic_vector (0 downto 0);
dataio : inout std_logic_vector (0 downto 0);
dataio_b : inout std_logic_vector (0 downto 0);
dataout : out std_logic_vector (0 downto 0)
);
end component;
signal reset : std_logic;
signal vcc, gnd, oe : std_ulogic;
signal locked, vlockl, lockl : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r, rclk : std_ulogic;
signal ckel, ckel2 : std_logic_vector(1 downto 0);
signal odtl : std_logic_vector(1 downto 0);
signal dqsin, dqsin_reg : std_logic_vector (7 downto 0); -- ddr dqs
signal dqsn : std_logic_vector(dbits/8-1 downto 0);
signal dqsoenr : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal delayrst : std_logic_vector(3 downto 0);
signal phasedone : std_logic;
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
-- altdq_dqs
signal bidir_dq_input_data_in : std_logic_vector (dbits-1 downto 0) := (others => '0');
signal bidir_dq_io_config_ena : std_logic_vector (dbits-1 downto 0) := (others => '1');
signal bidir_dq_oct_in : std_logic_vector (dbits-1 downto 0) := (others => '0');
signal bidir_dq_oct_out : std_logic_vector (dbits-1 downto 0);
signal bidir_dq_oe_in : std_logic_vector (dbits-1 downto 0) := (others => '0');
signal bidir_dq_oe_out : std_logic_vector (dbits-1 downto 0);
signal bidir_dq_output_data_out : std_logic_vector (dbits-1 downto 0);
signal bidir_dq_sreset : std_logic_vector (dbits-1 downto 0) := (others => '0');
signal dqs_areset : std_logic_vector (dbits/8-1 downto 0);
signal dqs_oct_out : std_logic_vector (dbits/8-1 downto 0);
signal dqs_oe_out : std_logic_vector (dbits/8-1 downto 0);
signal dqs_output_data_out : std_logic_vector (dbits/8-1 downto 0);
signal dqsn_oct_out : std_logic_vector (dbits/8-1 downto 0);
signal dqsn_oe_out : std_logic_vector (dbits/8-1 downto 0);
type phy_r_type is record
delay : std_logic_vector(3 downto 0);
count : std_logic_vector(3 downto 0);
update : std_logic;
sdata : std_logic;
enable : std_logic;
update_delay : std_logic;
end record;
type phy_r_type_arr is array (7 downto 0) of phy_r_type;
signal r,rin : phy_r_type_arr;
signal rp : std_logic_vector(8 downto 0);
signal rlockl : std_logic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of dqsn : signal is true;
attribute syn_preserve of dqsn : signal is true;
attribute syn_keep of dqsoenr : signal is true;
attribute syn_preserve of dqsoenr : signal is true;
attribute syn_keep of dqsin_reg : signal is true;
attribute syn_preserve of dqsin_reg : signal is true;
begin
-----------------------------------------------------------------------------------
-- Clock generation
-----------------------------------------------------------------------------------
oe <= not oen;
vcc <= '1'; gnd <= '0';
reset <= not rst;
-- Optional DDR clock multiplication
pll0 : apll
generic map(
freq => MHz,
mult => clk_mul,
div => clk_div,
rskew => rskew
)
port map(
areset => reset,
inclk0 => clk,
phasestep => rp(3),--rp(1),
phaseupdown => rp(8),--rp(3),
scanclk => clk0r,
c0 => clk0r,
c1 => clk90r,
c2 => open, --clk180r,
c3 => open, --clk270r,
c4 => rclk,
locked => lockl,
phasedone => phasedone
);
clk180r <= not clk0r;
clk270r <= not clk90r;
clkout <= clk0r;
-----------------------------------------------------------------------------------
-- Lock delay
-----------------------------------------------------------------------------------
rdel : if rstdelay /= 0 generate
rcnt : process (clk0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk0r) then
co := cnt(15);
vlockl <= vlock;
if rlockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
cnt(0) := dqsin_reg(7) or dqsin_reg(6) or dqsin_reg(5) or dqsin_reg(4) or -- dummy use of dqsin
dqsin_reg(3) or dqsin_reg(2) or dqsin_reg(1) or dqsin_reg(0);
-- pragma translate_off
cnt(0) := '0';
-- pragma translate_on
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if rlockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-----------------------------------------------------------------------------------
-- Generate external DDR clock
-----------------------------------------------------------------------------------
ddrclocks : for i in 0 to 2 generate
ddrclk_pad : aclkout port map(clk => clk90r, ddr_clk => ddr_clk(i), ddr_clkn => ddr_clkb(i));
end generate;
-----------------------------------------------------------------------------------
-- DDR single-edge control signals
-----------------------------------------------------------------------------------
-- ODT pads
odtgen : for i in 0 to 1 generate
odtl(i) <= locked and odt(i);
ddr_odt_pad : actrlout generic map(power_up => "low")
port map(clk =>clk180r , i => odtl(i), o => ddr_odt(i));
end generate;
-- CSN and CKE
ddrbanks : for i in 0 to 1 generate
ddr_csn_pad : actrlout port map(clk =>clk180r , i => csn(i), o => ddr_csb(i));
ckel(i) <= cke(i) and locked;
ddr_cke_pad : actrlout generic map(power_up => "low")
port map(clk =>clk0r , i => ckel(i), o => ddr_cke(i));
end generate;
-- RAS
ddr_rasn_pad : actrlout port map(clk =>clk180r , i => rasn, o => ddr_rasb);
-- CAS
ddr_casn_pad : actrlout port map(clk =>clk180r , i => casn, o => ddr_casb);
-- WEN
ddr_wen_pad : actrlout port map(clk =>clk180r , i => wen, o => ddr_web);
-- BA
bagen : for i in 0 to 1+eightbanks generate
ddr_ba_pad : actrlout port map(clk =>clk180r , i => ba(i), o => ddr_ba(i));
end generate;
-- ADDRESS
dagen : for i in 0 to 13 generate
ddr_ad_pad : actrlout port map(clk =>clk180r , i => addr(i), o => ddr_ad(i));
end generate;
-----------------------------------------------------------------------------------
-- DQM generation
-----------------------------------------------------------------------------------
dmgen : for i in 0 to dbits/8-1 generate
ddr_dm_pad : admout port map(
clk => clk0r, -- clk0
dm_h => dm(i+dbits/8),
dm_l => dm(i),
dm_pad => ddr_dm(i) -- DQ pad
);
end generate;
-----------------------------------------------------------------------------------
-- DQS generation (and DQ)
-----------------------------------------------------------------------------------
dqsgen : for i in 0 to dbits/8-1 generate
doen : process(clk180r)
begin
if reset = '1' then dqsoenr(i) <= '1';
elsif rising_edge(clk180r) then dqsoenr(i) <= dqsoen; end if;
end process;
dsqreg : process(clk180r)
begin if rising_edge(clk180r) then dqsn(i) <= oe; end if; end process;
-- dqs_out_pad : adqsout port map(
-- clk => clk90r, -- clk90
-- dqs => dqsn(i),
-- dqs_oe => dqsoenr(i),
-- dqs_oct => odt(0), --oct_reg(i),--gnd, -- gnd = disable
-- dqs_pad => ddr_dqs(i), -- DQS pad
-- dqsn_pad => ddr_dqsn(i) -- DQSN pad
-- );
--
-- dqs_in_pad : adqsin port map(
-- dqs_pad => ddr_dqs(i),
-- dqsn_pad => ddr_dqsn(i),
-- dqs => dqsin(i)
-- );
-- -- Dummy procces to sample dqsin
-- process(clk0r)
-- begin
-- if rising_edge(clk0r) then
-- dqsin_reg(i) <= dqsin(i);
-- end if;
-- end process;
-- altdq_dqs
bidir_dq_io_config_ena((i)*8+7 downto 0+(i)*8) <= (others => r(i).enable);
bidir_dq_oct_in((i)*8+7 downto 0+(i)*8) <= (others => oct);
bidir_dq_oe_in((i)*8+7 downto 0+(i)*8) <= (others => oen);
bidir_dq_sreset((i)*8+7 downto 0+(i)*8) <= (others => reset);
dqs_areset(i) <= reset;
dq_dqs : dq_dqs_inst port map(
bidir_dq_input_data_in => bidir_dq_input_data_in((i)*8+7 downto 0+(i)*8),
bidir_dq_input_data_out_high => dqin((i)*8+7 downto 0+(i)*8),
bidir_dq_input_data_out_low => dqin((i)*8+7+dbits downto 0+(i)*8+dbits),
bidir_dq_io_config_ena => bidir_dq_io_config_ena((i)*8+7 downto 0+(i)*8),
bidir_dq_oct_in => bidir_dq_oct_in((i)*8+7 downto 0+(i)*8),
bidir_dq_oct_out => bidir_dq_oct_out((i)*8+7 downto 0+(i)*8),
bidir_dq_oe_in => bidir_dq_oe_in((i)*8+7 downto 0+(i)*8),
bidir_dq_oe_out => bidir_dq_oe_out((i)*8+7 downto 0+(i)*8),
bidir_dq_output_data_in_high => dqout((i)*8+7+dbits downto 0+(i)*8+dbits),
bidir_dq_output_data_in_low => dqout((i)*8+7 downto 0+(i)*8),
bidir_dq_output_data_out => bidir_dq_output_data_out((i)*8+7 downto 0+(i)*8),
bidir_dq_sreset => bidir_dq_sreset((i)*8+7 downto 0+(i)*8),
config_clk => clk0r,
config_datain => r(i).sdata,
config_update => r(i).update_delay,
dq_input_reg_clk => rclk,
dq_output_reg_clk => clk0r,
dqs_areset => dqs_areset(i),
dqs_oct_in => oct,
dqs_oct_out => dqs_oct_out(i),
dqs_oe_in => dqsoenr(i),
dqs_oe_out => dqs_oe_out(i),
dqs_output_data_in_high => dqsn(i),
dqs_output_data_in_low => gnd,
dqs_output_data_out => dqs_output_data_out(i),
dqs_output_reg_clk => clk90r,
dqsn_oct_in => oct,
dqsn_oct_out => dqsn_oct_out(i),
dqsn_oe_in => dqsoenr(i),
dqsn_oe_out => dqsn_oe_out(i),
oct_reg_clk => clk90r
);
dq_pad : bidir_dq_iobuf_inst PORT map(
datain => bidir_dq_output_data_out((i)*8+7 downto 0+(i)*8),
dyn_term_ctrl => bidir_dq_oct_out((i)*8+7 downto 0+(i)*8),
oe => bidir_dq_oe_out((i)*8+7 downto 0+(i)*8),
dataio => ddr_dq((i)*8+7 downto (i)*8+0),
dataout => bidir_dq_input_data_in((i)*8+7 downto 0+(i)*8)
);
dqs_pad : bidir_dqs_iobuf_inst PORT map(
datain(0) => dqs_output_data_out(i),
dyn_term_ctrl(0) => dqs_oct_out(i),
dyn_term_ctrl_b(0) => dqsn_oct_out(i),
oe(0) => dqs_oe_out(i),
oe_b(0) => dqsn_oe_out(i),
dataio(0) => ddr_dqs(i),
dataio_b(0) => ddr_dqsn(i),
dataout(0) => dqsin(i)
);
-- Dummy procces to sample dqsin
process(clk0r)
begin
if rising_edge(clk0r) then
dqsin_reg(i) <= dqsin(i);
end if;
end process;
end generate;
-----------------------------------------------------------------------------------
-- Data bus
-----------------------------------------------------------------------------------
-- ddgen : for i in 0 to dbits-1 generate
-- -- DQ Input
-- dq_in_pad : adqin port map(
-- clk => rclk,--clk0r,
-- dq_pad => ddr_dq(i), -- DQ pad
-- dq_h => dqin(i), --dqinl(i),
-- dq_l => dqin(i+dbits),--dqin(i),
-- config_clk => clk0r,
-- config_clken => r(i/8).enable,--io_config_clkena,
-- config_datain => r(i/8).sdata,--io_config_datain,
-- config_update => r(i/8).update_delay--io_config_update
-- );
-- --dinq1 : process (clk0r)
-- --begin if rising_edge(clk0r) then dqin(i+dbits) <= dqinl(i); end if; end process;
--
-- -- DQ Output
-- dq_out_pad : adqout port map(
-- clk => clk0r, -- clk0
-- clk_oct => clk90r, -- clk90
-- dq_h => dqout(i+dbits),
-- dq_l => dqout(i),
-- dq_oe => oen,
-- dq_oct => odt(0),--gnd, -- gnd = disable
-- dq_pad => ddr_dq(i) -- DQ pad
-- );
-- end generate;
-----------------------------------------------------------------------------------
-- Delay control
-----------------------------------------------------------------------------------
delay_control : for i in 0 to dbits/8-1 generate
process(r(i),cal_en(i), cal_inc(i), delayrst(3))
variable v : phy_r_type;
variable data : std_logic_vector(0 to 3);
begin
v := r(i);
data := r(i).delay;
v.update_delay := '0';
if cal_en(i) = '1' then
if cal_inc(i) = '1' then
v.delay := r(i).delay + 1;
else
v.delay := r(i).delay - 1;
end if;
v.update := '1';
v.count := (others => '0');
end if;
if r(i).update = '1' then
v.enable := '1';
v.sdata := '0';
if r(i).count <= "1011" then
v.count := r(i).count + 1;
end if;
if r(i).count <= "0011" then
v.sdata := data(conv_integer(r(i).count));
end if;
if r(i).count = "1011" then
v.update_delay := '1';
v.enable := '0';
v.update := '0';
end if;
end if;
if delayrst(3) = '0' then
v.delay := (others => '0');
v.count := (others => '0');
v.update := '0';
v.enable := '0';
end if;
rin(i) <= v;
end process;
end generate;
process(clk0r)
begin
if locked = '0' then
delayrst <= (others => '0');
elsif rising_edge(clk0r) then
delayrst <= delayrst(2 downto 0) & '1';
r <= rin;
-- PLL phase config
-- Active puls is extended to be sampled vith scanclk = (ddr clock / 2)
--rp(0) <= cal_pll(0); rp(1) <= cal_pll(0) or rp(0);
rp(0) <= cal_pll(0); rp(1) <= rp(0); rp(2) <= rp(1); rp(3) <= cal_pll(0) or rp(0) or rp(1) or rp(2);
--rp(2) <= cal_pll(1); rp(3) <= cal_pll(1) or rp(2);
--rp(2) <= cal_pll(1); rp(4) <= cal_pll(1) or rp(2); rp(3) <= rp(4);
rp(4) <= cal_pll(1); rp(5) <= rp(4); rp(6) <= rp(5); rp(7) <= rp(6); rp(8) <= cal_pll(1) or rp(4) or rp(5) or rp(6) or rp(7);
end if;
end process;
process(lockl,clk0r)
begin
if lockl = '0' then
rlockl <= '0';
elsif rising_edge(clk0r) then
rlockl <= lockl;
end if;
end process;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/grlib/stdlib/config_types.vhd | 1 | 2411 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: config_types
-- File: config_types.vhd
-- Description: GRLIB Global configuration types package.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package config_types is
-----------------------------------------------------------------------------
-- Configuration constants part of GRLIB configuration array
-----------------------------------------------------------------------------
-- debug level and debug mask controls debug output from tech map
constant grlib_debug_level : integer := 0;
constant grlib_debug_mask : integer := 1;
-- Defines if strict RAM techmap should be used. Otherwise small (shallow)
-- RAMs may be mapped to inferred technology.
constant grlib_techmap_strict_ram : integer := 2;
-- Expand testin vector to syncrams with additional bits
constant grlib_techmap_testin_extra : integer := 3;
-- Add synchronous resets to all registers (requires support in IP cores)
constant grlib_sync_reset_enable_all : integer := 4;
-- Use asynchronous reset, with this option enabled all registers will be
-- reset using asynchronous reset (within IP cores that support this).
constant grlib_async_reset_enable : integer := 5;
type grlib_config_array_type is array (0 to 6) of integer;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gsi/ssram/functions.vhd | 6 | 97832 | -----------------------------------------------------------
-- VHDL file for FUNCTIONs used in verilog2vhdl files
-- DO NOT MODIFY THIS FILE
-- Author : S.O
-- Date : March 14, 1995
-- Modification History --
-- 3/31/95 Added shift operations (S.O)
-- 4/6/95 Added arithmetic operations for std_logic_vectors (S.O)
-- 4/11/95 Added conversion functions
-- 10/5/95 added to_boolean conversions
-- 1/31/96 added funcs. for std_logic and std_logic
-- 2/28/96 added funcs. for TERNARY combinations
-- 4/18/96 added logical operations bet. std_logic_vector and integer/boolean
-- 7/9/96 modified all TERNARY functions with *ulogic* conditional
-----------------------------------------------------------
library ieee;
library GSI;
use ieee.std_logic_1164.all;
--use ieee.numeric_std.all;
library grlib;
use grlib.stdlib.all;
package FUNCTIONS is
-- TYPE used in conversion function
TYPE direction is (LITTLE_ENDIAN, BIG_ENDIAN);
TYPE hex_digit IS ('0', '1', '2', '3', '4', '5', '6', '7', '8', '9', 'A',
'B', 'C', 'D', 'E', 'F', 'a', 'b', 'c', 'd', 'e', 'f');
TYPE hex_number IS array (POSITIVE range <>) OF hex_digit;
TYPE hexstdlogic IS ARRAY (hex_digit'LOW TO hex_digit'HIGH) of std_logic_vector(3 DOWNTO 0);
-- This conversion table would not accept X or Z.
-- To convert a hex number with X or Z use to_stdlogicvector(hex : STRING).
--CONSTANT hex_to_stdlogic : hexstdlogic := (x"0", x"1", x"2", x"3", x"4", x"5",
-- x"6", x"7", x"8", x"9", x"A", x"B", x"C", x"D", x"E", x"F", x"A", x"B",
-- x"C", x"D", x"E", x"F");
-- Signals used for v2v
--SIGNAL v2v_std_logic : std_logic;
--SIGNAL v2v_sig_integer : integer;
--SIGNAL v2v_boolean : boolean;
--SIGNAL v2v_real : real;
-- FUNCTIONs for unary operations
FUNCTION U_AND(a : std_ulogic_vector) return std_ulogic;
FUNCTION U_AND(a : std_logic_vector) return std_logic;
FUNCTION U_NAND(a : std_ulogic_vector) return std_ulogic;
FUNCTION U_NAND(a : std_logic_vector) return std_logic;
FUNCTION U_OR(a : std_ulogic_vector) return std_ulogic;
FUNCTION U_OR(a : std_logic_vector) return std_logic;
FUNCTION U_NOR(a : std_ulogic_vector) return std_ulogic;
FUNCTION U_NOR(a : std_logic_vector) return std_logic;
FUNCTION U_XOR(a : std_ulogic_vector) return std_ulogic;
FUNCTION U_XOR(a : std_logic_vector) return std_logic;
FUNCTION U_XNOR(a : std_ulogic_vector) return std_ulogic;
FUNCTION U_XNOR(a : std_logic_vector) return std_logic;
-- FUNCTIONs for ternary operations
FUNCTION TERNARY(a,b,c : boolean) return boolean;
FUNCTION TERNARY(a : boolean; b,c : std_ulogic) return std_ulogic;
FUNCTION TERNARY(a : boolean; b,c : std_ulogic_vector) return std_ulogic_vector;
FUNCTION TERNARY(a : boolean; b,c : std_logic_vector) return std_logic_vector;
--pragma synthesis_off
FUNCTION TERNARY(a : boolean; b,c : real) return real;
FUNCTION TERNARY(a : boolean; b,c : time) return time;
--pragma synthesis_on
FUNCTION TERNARY(a,b,c : integer) return integer;
FUNCTION TERNARY(a : integer; b,c : std_ulogic) return std_ulogic;
FUNCTION TERNARY(a : integer; b,c : std_ulogic_vector) return std_ulogic_vector;
FUNCTION TERNARY(a : integer; b,c : std_logic_vector) return std_logic_vector;
--pragma synthesis_off
FUNCTION TERNARY(a : integer; b,c : real) return real;
FUNCTION TERNARY(a : integer; b,c : time) return time;
--pragma synthesis_on
FUNCTION TERNARY(a,b,c : std_ulogic) return std_ulogic;
FUNCTION TERNARY(a : std_ulogic; b,c : integer) return integer;
FUNCTION TERNARY(a : std_ulogic; b,c : std_ulogic_vector) return std_ulogic_vector;
FUNCTION TERNARY(a : std_ulogic; b,c : std_logic_vector) return std_logic_vector;
--pragma synthesis_off
FUNCTION TERNARY(a : std_ulogic; b,c : real) return real;
FUNCTION TERNARY(a : std_ulogic; b,c : time) return time;
--pragma synthesis_on
FUNCTION TERNARY(a,b,c : std_ulogic_vector) return std_ulogic_vector;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : integer) return integer;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : std_ulogic) return std_ulogic;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : std_logic_vector) return std_logic_vector;
--pragma synthesis_off
FUNCTION TERNARY(a : std_ulogic_vector; b,c : real) return real;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : time) return time;
--pragma synthesis_on
FUNCTION TERNARY(a,b,c : std_logic_vector) return std_logic_vector;
FUNCTION TERNARY(a : std_logic_vector; b,c : integer) return integer;
FUNCTION TERNARY(a : std_logic_vector; b,c : std_ulogic) return std_ulogic;
FUNCTION TERNARY(a : std_logic_vector; b,c : std_ulogic_vector) return std_ulogic_vector;
--pragma synthesis_off
FUNCTION TERNARY(a : std_logic_vector; b,c : real) return real;
FUNCTION TERNARY(a : std_logic_vector; b,c : time) return time;
FUNCTION TERNARY(a,b,c : real) return real;
FUNCTION TERNARY(a : real; b,c : std_ulogic) return std_ulogic;
FUNCTION TERNARY(a : real; b,c : std_ulogic_vector) return std_ulogic_vector;
FUNCTION TERNARY(a : real; b,c : std_logic_vector) return std_logic_vector;
FUNCTION TERNARY(a : real; b,c : integer) return integer;
FUNCTION TERNARY(a : real; b,c : time) return time;
--pragma synthesis_on
-- functions for TERNARY combination
FUNCTION TERNARY(a : std_ulogic; b : std_logic_vector; c: std_ulogic) return
std_logic_vector;
FUNCTION TERNARY(a : std_ulogic; b : std_ulogic; c: std_logic_vector) return
std_logic_vector;
FUNCTION TERNARY(a : std_ulogic; b : integer; c: std_ulogic) return
integer;
FUNCTION TERNARY(a : std_ulogic; b : std_ulogic; c: integer) return
integer;
FUNCTION TERNARY(a : integer; b : integer; c: std_ulogic) return
integer;
FUNCTION TERNARY(a : integer; b : std_ulogic; c: integer) return
integer;
FUNCTION TERNARY(a : integer; b : std_logic_vector; c: std_ulogic) return
std_logic_vector;
FUNCTION TERNARY(a : integer; b : std_ulogic; c: std_logic_vector) return
std_logic_vector;
--end functions for TERNARY combination
-- FUNCTIONS for shift operations
FUNCTION "sll" ( l : std_logic_vector; r : integer) RETURN std_logic_vector;
FUNCTION "sll" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector;
FUNCTION "srl" ( l : std_logic_vector; r : integer) RETURN std_logic_vector;
FUNCTION "srl" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector;
FUNCTION "sla" ( l : std_logic_vector; r : integer) RETURN std_logic_vector;
FUNCTION "sla" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector;
FUNCTION "sra" ( l : std_logic_vector; r : integer) RETURN std_logic_vector;
FUNCTION "sra" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector;
FUNCTION "rol" ( l : std_logic_vector; r : integer) RETURN std_logic_vector;
FUNCTION "rol" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector;
FUNCTION "ror" ( l : std_logic_vector; r : integer) RETURN std_logic_vector;
FUNCTION "ror" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector;
-- FUNCTIONs for integer operations
FUNCTION "not" (l: integer) return integer;
FUNCTION "and" (l,r: integer) return integer;
FUNCTION "nand" (l,r: integer) return integer;
FUNCTION "or" (l,r: integer) return integer;
FUNCTION "nor" (l,r: integer) return integer;
FUNCTION "xor" (l,r: integer) return integer;
FUNCTION "xnor" (l,r: integer) return integer;
FUNCTION "sll" (l,r: integer) return integer;
FUNCTION "srl" (l,r: integer) return integer;
-- FUNCTIONs for std_logic/std_ulogic_vector/std_logic_vector operations
-- FUNCTIONs for combination of Boolean and ints
FUNCTION "=" ( l : Boolean; r : natural ) RETURN boolean;
FUNCTION "/=" ( l : Boolean; r : natural ) RETURN boolean;
FUNCTION "=" ( l : integer; r : std_logic_vector ) RETURN boolean;
FUNCTION "/=" ( l : integer; r : std_logic_vector ) RETURN boolean;
FUNCTION "<" ( l : integer; r : std_logic_vector ) RETURN boolean;
FUNCTION ">" ( l : integer; r : std_logic_vector ) RETURN boolean;
FUNCTION "<=" ( l : integer; r : std_logic_vector ) RETURN boolean;
FUNCTION ">=" ( l : integer; r : std_logic_vector ) RETURN boolean;
FUNCTION "=" ( l : std_logic_vector; r : integer ) RETURN boolean;
FUNCTION "/=" ( l : std_logic_vector; r : integer ) RETURN boolean;
FUNCTION "<" ( l : std_logic_vector; r : integer ) RETURN boolean;
FUNCTION ">" ( l : std_logic_vector; r : integer ) RETURN boolean;
FUNCTION "<=" ( l : std_logic_vector; r : integer ) RETURN boolean;
FUNCTION ">=" ( l : std_logic_vector; r : integer ) RETURN boolean;
--logical functions between std_logic_vector and integer, std_logic_vector and boolean
FUNCTION "and" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "nand" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "or" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "nor" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "xor" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "and" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "nand" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "or" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "nor" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "xor" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "and" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector;
FUNCTION "nand" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector;
FUNCTION "or" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector;
FUNCTION "nor" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector;
FUNCTION "xor" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector;
FUNCTION "and" ( l : boolean; r : std_logic_vector ) RETURN boolean;
FUNCTION "nand" ( l : boolean; r : std_logic_vector ) RETURN boolean;
FUNCTION "or" ( l : boolean; r : std_logic_vector ) RETURN boolean;
FUNCTION "nor" ( l : boolean; r : std_logic_vector ) RETURN boolean;
FUNCTION "xor" ( l : boolean; r : std_logic_vector ) RETURN boolean;
--logical functions between std_logic_vector and integer, std_logic_vector and boolean
-- Added functions for std_logic, integer
FUNCTION "=" ( l : std_logic; r : integer ) RETURN boolean;
FUNCTION "/=" ( l : std_logic; r : integer ) RETURN boolean;
FUNCTION "<" ( l : std_logic; r : integer ) RETURN boolean;
FUNCTION ">" ( l : std_logic; r : integer ) RETURN boolean;
FUNCTION "<=" ( l : std_logic; r : integer ) RETURN boolean;
FUNCTION ">=" ( l : std_logic; r : integer ) RETURN boolean;
-- Functions for std_logic, integer
--pragma synthesis_off
-- arithmetic operations for real and int and int and real
FUNCTION "+" ( l : real; r : integer ) RETURN real;
FUNCTION "-" ( l : real; r : integer ) RETURN real;
FUNCTION "/" ( l : real; r : integer ) RETURN real;
FUNCTION "*" ( l : real; r : integer ) RETURN real;
FUNCTION "+" ( l : integer; r : real ) RETURN real;
FUNCTION "-" ( l : integer; r : real ) RETURN real;
FUNCTION "/" ( l : integer; r : real ) RETURN real;
FUNCTION "*" ( l : integer; r : real ) RETURN real;
-- end arithmetic operations for real and int and int and real
FUNCTION "=" ( l : real; r : integer ) RETURN boolean;
FUNCTION "/=" ( l : real; r : integer ) RETURN boolean;
FUNCTION "<" ( l : real; r : integer ) RETURN boolean;
FUNCTION ">" ( l : real; r : integer ) RETURN boolean;
FUNCTION "<=" ( l : real; r : integer ) RETURN boolean;
FUNCTION ">=" ( l : real; r : integer ) RETURN boolean;
FUNCTION "=" ( l : integer; r : real ) RETURN boolean;
FUNCTION "/=" ( l : integer; r : real ) RETURN boolean;
FUNCTION "<" ( l : integer; r : real ) RETURN boolean;
FUNCTION ">" ( l : integer; r : real ) RETURN boolean;
FUNCTION "<=" ( l : integer; r : real ) RETURN boolean;
FUNCTION ">=" ( l : integer; r : real ) RETURN boolean;
--pragma synthesis_on
FUNCTION "+" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "-" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "*" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "/" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "REM" ( l, r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "+" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "-" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "*" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "/" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "REM" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "&" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector;
FUNCTION "&" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector;
-- need logical functions bet. std_logic_vector and std_logic
FUNCTION "and" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "nand" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "or" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "nor" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "xor" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
--FUNCTION "xnor" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "and" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "nand" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "or" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "nor" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "xor" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
--FUNCTION "xnor" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
-- end logical functions for std_logic_vector and std_logic
-- need arith functions bet std_logic and std_logic
-- used only when the int can be 0 or 1
-- need arithmetic functions bet. std_logic_vector and std_logic
FUNCTION "+" ( l : std_logic; r : std_logic ) RETURN std_logic;
FUNCTION "-" ( l : std_logic; r : std_logic ) RETURN std_logic;
FUNCTION "*" ( l : std_logic; r : std_logic ) RETURN std_logic;
FUNCTION "/" ( l : std_logic; r : std_logic ) RETURN std_logic;
FUNCTION "REM" ( l : std_logic; r : std_logic ) RETURN std_logic;
-- need arithmetic functions bet. std_logic_vector and std_logic
FUNCTION "+" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "-" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "*" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "/" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
FUNCTION "REM" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector;
-- need arithmetic func. between std_logic and std_logic_vector, caveat, returns type of 'r'
FUNCTION "+" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "-" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "*" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "/" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "REM" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector;
FUNCTION "+" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "-" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "*" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "/" ( l : integer; r : std_logic_vector ) RETURN integer;
FUNCTION "REM" ( l : integer; r : std_logic_vector ) RETURN integer;
-- need arith. functions bet std_logic and integer
FUNCTION "+" ( l : std_logic; r : integer ) RETURN integer;
FUNCTION "-" ( l : std_logic; r : integer ) RETURN integer;
FUNCTION "*" ( l : std_logic; r : integer ) RETURN integer;
FUNCTION "/" ( l : std_logic; r : integer ) RETURN integer;
FUNCTION "REM" ( l : std_logic; r : integer ) RETURN integer;
FUNCTION "and" ( l : std_logic; r : integer ) RETURN std_logic;
FUNCTION "nand" ( l : std_logic; r : integer ) RETURN std_logic;
FUNCTION "or" ( l : std_logic; r : integer ) RETURN std_logic;
FUNCTION "nor" ( l : std_logic; r : integer ) RETURN std_logic;
FUNCTION "xor" ( l : std_logic; r : integer ) RETURN std_logic;
FUNCTION "&" ( l : std_logic; r : integer ) RETURN std_logic_vector;
FUNCTION "xnor" ( l : std_logic; r : integer ) RETURN std_logic;
FUNCTION "and" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "nand" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "or" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "nor" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "xor" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "&" ( l : integer; r : std_logic ) RETURN std_logic_vector;
FUNCTION "xnor" ( l : integer; r : std_logic ) RETURN integer;
-- need functions for operations between std_logic and integer
FUNCTION "+" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "-" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "*" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "/" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "REM" ( l : integer; r : std_logic ) RETURN integer;
FUNCTION "and" ( l : std_logic; r : boolean ) RETURN std_logic;
FUNCTION "nand" ( l : std_logic; r : boolean ) RETURN std_logic;
FUNCTION "or" ( l : std_logic; r : boolean ) RETURN std_logic;
FUNCTION "nor" ( l : std_logic; r : boolean ) RETURN std_logic;
FUNCTION "xor" ( l : std_logic; r : boolean ) RETURN std_logic;
FUNCTION "&" ( l : std_logic; r : boolean ) RETURN std_logic_vector;
FUNCTION "xnor" ( l : std_logic; r : boolean ) RETURN std_logic;
FUNCTION "and" ( l : boolean; r : std_logic ) RETURN boolean;
FUNCTION "nand" ( l : boolean; r : std_logic ) RETURN boolean;
FUNCTION "or" ( l : boolean; r : std_logic ) RETURN boolean;
FUNCTION "nor" ( l : boolean; r : std_logic ) RETURN boolean;
FUNCTION "xor" ( l : boolean; r : std_logic ) RETURN boolean;
FUNCTION "&" ( l : boolean; r : std_logic ) RETURN std_logic_vector;
FUNCTION "xnor" ( l : boolean; r : std_logic ) RETURN boolean;
FUNCTION "and" ( l : integer; r : boolean ) RETURN integer;
FUNCTION "nand" ( l : integer; r : boolean ) RETURN integer;
FUNCTION "or" ( l : integer; r : boolean ) RETURN integer;
FUNCTION "nor" ( l : integer; r : boolean ) RETURN integer;
FUNCTION "xor" ( l : integer; r : boolean ) RETURN integer;
FUNCTION "&" ( l : integer; r : boolean ) RETURN std_logic_vector;
FUNCTION "xnor" ( l : integer; r : boolean ) RETURN integer;
FUNCTION "and" ( l : boolean; r : integer ) RETURN boolean;
FUNCTION "nand" ( l : boolean; r : integer ) RETURN boolean;
FUNCTION "or" ( l : boolean; r : integer ) RETURN boolean;
FUNCTION "nor" ( l : boolean; r : integer ) RETURN boolean;
FUNCTION "xor" ( l : boolean; r : integer ) RETURN boolean;
FUNCTION "&" ( l : boolean; r : integer ) RETURN std_logic_vector;
FUNCTION "xnor" ( l : boolean; r : integer ) RETURN boolean;
-- Overloaded function for text output
FUNCTION to_bitvector ( a : bit ) RETURN bit_vector;
FUNCTION to_bitvector ( a : std_ulogic ) RETURN bit_vector;
FUNCTION to_bitvector ( a : integer ) RETURN bit_vector;
--Conversion functions
FUNCTION to_stdlogicvector(l : integer; size : natural; dir : direction := LITTLE_ENDIAN) RETURN std_logic_vector;
FUNCTION to_stdlogicvector(l : std_logic_vector) RETURN std_logic_vector;
FUNCTION to_stdlogicvector(l : std_logic_vector; size : natural;dir : direction := little_endian ) RETURN std_logic_vector;
FUNCTION to_stdlogicvector ( hex : STRING ) RETURN std_logic_vector;
FUNCTION to_stdlogicvector(l : std_logic; size : natural) RETURN std_logic_vector;
FUNCTION to_stdlogicvector(l : boolean; size : natural) RETURN std_logic_vector;
FUNCTION to_integer(l : std_logic_vector; dir : direction := little_endian) RETURN integer;
FUNCTION to_integer(l : integer) RETURN integer;
FUNCTION to_integer(l : std_logic) RETURN integer;
FUNCTION to_integer(l : boolean) RETURN integer;
-- functions for resolving ambiguity
FUNCTION v2v_to_integer(l : std_logic_vector; dir : direction := little_endian) RETURN integer;
FUNCTION v2v_to_integer(l : integer) RETURN integer;
FUNCTION v2v_to_integer(l : std_logic) RETURN integer;
FUNCTION v2v_to_integer(l : boolean) RETURN integer;
FUNCTION to_stdlogic(l : integer) RETURN std_logic;
FUNCTION to_stdlogic(l : Boolean) RETURN std_logic;
FUNCTION to_stdlogic(l : std_logic) RETURN std_logic;
FUNCTION to_stdlogic(l : std_logic_vector) RETURN std_logic;
--pragma synthesis_off
FUNCTION to_real(l : integer) RETURN real;
FUNCTION to_real (l : real) RETURN real;
--pragma synthesis_on
FUNCTION to_boolean(l : std_logic) RETURN boolean;
FUNCTION to_boolean(l : integer) RETURN boolean;
FUNCTION to_boolean(l : std_logic_vector) RETURN boolean;
FUNCTION to_boolean(l : boolean) RETURN boolean;
end FUNCTIONS;
library ieee;
library GSI;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
--library grlib;
--use grlib.stdlib.all;
Package body FUNCTIONS is
--============= Local Subprograms (from numeric_std.vhd)=====================
function MAX (LEFT, RIGHT: INTEGER) return INTEGER is
begin
if LEFT > RIGHT then return LEFT;
else return RIGHT;
end if;
end MAX;
function MIN (LEFT, RIGHT: INTEGER) return INTEGER is
begin
if LEFT < RIGHT then return LEFT;
else return RIGHT;
end if;
end MIN;
-- unary operations
TYPE stdlogic_boolean_table is array(std_ulogic, std_ulogic) of boolean;
TYPE stdlogic_1d IS ARRAY (std_ulogic) OF std_ulogic;
TYPE stdlogic_table IS ARRAY(std_ulogic, std_ulogic) OF std_ulogic;
FUNCTION U_AND(a : std_ulogic_vector) return std_ulogic is
VARIABLE result : std_ulogic := '1';
begin
FOR i in a'RANGE LOOP
result := result and a(i);
END LOOP;
return result;
end U_AND;
FUNCTION U_AND(a : std_logic_vector) return std_logic is
VARIABLE result : std_logic := '1';
begin
FOR i in a'RANGE LOOP
result := result and a(i);
END LOOP;
return result;
end U_AND;
FUNCTION U_NAND(a : std_ulogic_vector) return std_ulogic is
VARIABLE result : std_ulogic := '1';
begin
FOR i in a'RANGE LOOP
result := result and a(i);
END LOOP;
return not(result);
end U_NAND;
FUNCTION U_NAND(a : std_logic_vector) return std_logic is
VARIABLE result : std_logic := '1';
begin
FOR i in a'RANGE LOOP
result := result and a(i);
END LOOP;
return not(result);
end U_NAND;
FUNCTION U_OR(a : std_ulogic_vector) return std_ulogic is
VARIABLE result : std_ulogic := '0';
begin
FOR i in a'RANGE LOOP
result := result or a(i);
END LOOP;
return result;
end U_OR;
FUNCTION U_OR(a : std_logic_vector) return std_logic is
VARIABLE result : std_logic := '0';
begin
FOR i in a'RANGE LOOP
result := result or a(i);
END LOOP;
return result;
end U_OR;
FUNCTION U_NOR(a : std_ulogic_vector) return std_ulogic is
VARIABLE result : std_ulogic := '0';
begin
FOR i in a'RANGE LOOP
result := result or a(i);
END LOOP;
return not(result);
end U_NOR;
FUNCTION U_NOR(a : std_logic_vector) return std_logic is
VARIABLE result : std_logic := '0';
begin
FOR i in a'RANGE LOOP
result := result or a(i);
END LOOP;
return not(result);
end U_NOR;
FUNCTION U_XOR(a : std_ulogic_vector) return std_ulogic is
VARIABLE result : std_ulogic := '0';
begin
FOR i in a'RANGE LOOP
result := result xor a(i);
END LOOP;
return result;
end U_XOR;
FUNCTION U_XOR(a : std_logic_vector) return std_logic is
VARIABLE result : std_logic := '0';
begin
FOR i in a'RANGE LOOP
result := result xor a(i);
END LOOP;
return result;
end U_XOR;
FUNCTION U_XNOR(a : std_ulogic_vector) return std_ulogic is
VARIABLE result : std_ulogic := '0';
begin
FOR i in a'RANGE LOOP
result := result xor a(i);
END LOOP;
return not(result);
end U_XNOR;
FUNCTION U_XNOR(a : std_logic_vector) return std_logic is
VARIABLE result : std_logic := '0';
begin
FOR i in a'RANGE LOOP
result := result xor a(i);
END LOOP;
return not(result);
end U_XNOR;
-- ternary operations
FUNCTION TERNARY(a,b,c : boolean) return boolean IS
begin
IF a = TRUE THEN
RETURN b;
ELSE
RETURN c;
END IF;
end TERNARY;
---------------------------------------------------
FUNCTION TERNARY(a : boolean; b,c : std_ulogic) return std_ulogic IS
begin
IF a = TRUE THEN
RETURN b;
ELSE
RETURN c;
END IF;
end TERNARY;
---------------------------------------------------
FUNCTION TERNARY(a : boolean; b,c : std_ulogic_vector) return std_ulogic_vector IS
begin
IF a = TRUE THEN
RETURN b;
ELSE
RETURN c;
END IF;
end TERNARY;
---------------------------------------------------
FUNCTION TERNARY(a : boolean; b,c : std_logic_vector) return std_logic_vector IS
begin
IF a = TRUE THEN
RETURN b;
ELSE
RETURN c;
END IF;
end TERNARY;
--pragma synthesis_off
---------------------------------------------------
FUNCTION TERNARY(a : boolean; b,c : real) return real IS
begin
IF a = TRUE THEN
RETURN b;
ELSE
RETURN c;
END IF;
end TERNARY;
---------------------------------------------------
FUNCTION TERNARY(a : boolean; b,c : time) return time IS
begin
IF a = TRUE THEN
RETURN b;
ELSE
RETURN c;
END IF;
end TERNARY;
--pragma synthesis_on
---------------------------------------------------
FUNCTION TERNARY(a,b,c : integer) return integer is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : integer; b,c : std_ulogic) return std_ulogic is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : integer; b,c : std_ulogic_vector) return std_ulogic_vector is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : integer; b,c : std_logic_vector) return std_logic_vector is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
--pragma synthesis_off
FUNCTION TERNARY(a : integer; b,c : real) return real is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : integer; b,c : time) return time is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
--pragma synthesis_on
FUNCTION TERNARY(a,b,c : std_ulogic) return std_ulogic is
begin
IF (a = '1') THEN
return b;
ELSIF (a = '0') THEN
return c;
--pragma synthesis_off
ELSIF (b = c AND NOT Is_X(b)) THEN
return b;
ELSE
return 'X';
--pragma synthesis_on
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic; b,c : integer) return integer is
begin
IF (a = '1') THEN
return b;
ELSIF (a = '0') THEN
return c;
--pragma synthesis_off
ELSIF (b = c) THEN
return b;
ELSE
return 0;
--pragma synthesis_on
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic; b,c : std_ulogic_vector) return std_ulogic_vector is
--pragma synthesis_off
constant SIZE: NATURAL := MAX(b'LENGTH, c'LENGTH);
variable b01 : std_ulogic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable c01 : std_ulogic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable result : std_ulogic_vector(SIZE-1 downto 0);
--pragma synthesis_on
begin
IF (a = '1') THEN
return b;
ELSIF (a = '0') THEN
return c;
--pragma synthesis_off
ELSIF (b = c AND NOT Is_X(b)) THEN
return b;
ELSE
b01(b'LENGTH-1 downto 0) := b;
c01(c'LENGTH-1 downto 0) := c;
FOR I IN SIZE-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
--pragma synthesis_on
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic; b,c : std_logic_vector) return std_logic_vector is
--pragma synthesis_off
constant SIZE: NATURAL := MAX(b'LENGTH, c'LENGTH);
variable b01 : std_logic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable c01 : std_logic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable result : std_logic_vector(SIZE-1 downto 0);
--pragma synthesis_on
begin
IF (a = '1') THEN
return b;
ELSIF (a = '0') THEN
return c;
--pragma synthesis_off
ELSIF (b = c AND NOT Is_X(b)) THEN
return b;
ELSE
b01(b'LENGTH-1 downto 0) := b;
c01(c'LENGTH-1 downto 0) := c;
FOR I IN SIZE-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
--pragma synthesis_on
END IF;
end TERNARY;
--pragma synthesis_off
FUNCTION TERNARY(a : std_ulogic; b,c : real) return real is
begin
IF (a = '1') THEN
return b;
ELSIF (a = '0') THEN
return c;
ELSIF (b = c) THEN
return b;
ELSE
return 0.0;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic; b,c : time) return time is
begin
IF (a = '1') THEN
return b;
ELSIF (a = '0') THEN
return c;
ELSIF (b = c) THEN
return b;
ELSE
return 0 ns;
END IF;
end TERNARY;
--pragma synthesis_on
FUNCTION TERNARY(a,b,c : std_ulogic_vector) return std_ulogic_vector is
--pragma synthesis_off
constant SIZE: NATURAL := MAX(b'LENGTH, c'LENGTH);
variable b01 : std_ulogic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable c01 : std_ulogic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable result : std_ulogic_vector(SIZE-1 downto 0);
--pragma synthesis_on
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE
b01(b'LENGTH-1 downto 0) := b;
c01(c'LENGTH-1 downto 0) := c;
FOR I IN SIZE-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : integer) return integer is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 0;
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : std_ulogic) return std_ulogic is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 'X';
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : std_logic_vector) return std_logic_vector is
--pragma synthesis_off
constant SIZE: NATURAL := MAX(b'LENGTH, c'LENGTH);
variable b01 : std_logic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable c01 : std_logic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable result : std_logic_vector(SIZE-1 downto 0);
--pragma synthesis_on
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE
b01(b'LENGTH-1 downto 0) := b;
c01(c'LENGTH-1 downto 0) := c;
FOR I IN SIZE-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
--pragma synthesis_off
FUNCTION TERNARY(a : std_ulogic_vector; b,c : real) return real is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 0.0;
END IF;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_ulogic_vector; b,c : time) return time is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 0 ns;
END IF;
ELSE
return c;
END IF;
end TERNARY;
--pragma synthesis_on
FUNCTION TERNARY(a,b,c : std_logic_vector) return std_logic_vector is
--pragma synthesis_off
constant SIZE: NATURAL := MAX(b'LENGTH, c'LENGTH);
variable b01 : std_logic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable c01 : std_logic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable result : std_logic_vector(SIZE-1 downto 0);
--pragma synthesis_on
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE
b01(b'LENGTH-1 downto 0) := b;
c01(c'LENGTH-1 downto 0) := c;
FOR I IN SIZE-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_logic_vector; b,c : integer) return integer is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 0;
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_logic_vector; b,c : std_ulogic) return std_ulogic is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 'X';
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_logic_vector; b,c : std_ulogic_vector) return std_ulogic_vector is
--pragma synthesis_off
constant SIZE: NATURAL := MAX(b'LENGTH, c'LENGTH);
variable b01 : std_ulogic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable c01 : std_ulogic_vector(SIZE-1 downto 0) := (OTHERS => '0');
variable result : std_ulogic_vector(SIZE-1 downto 0);
--pragma synthesis_on
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
--pragma synthesis_off
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE
b01(b'LENGTH-1 downto 0) := b;
c01(c'LENGTH-1 downto 0) := c;
FOR I IN SIZE-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
END IF;
--pragma synthesis_on
ELSE
return c;
END IF;
end TERNARY;
--pragma synthesis_off
FUNCTION TERNARY(a : std_logic_vector; b,c : real) return real is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 0.0;
END IF;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : std_logic_vector; b,c : time) return time is
begin
IF to_boolean(to_stdlogicvector(to_bitvector(a))) THEN
return b;
ELSIF (Is_X(a)) THEN
IF (b = c) THEN return b;
ELSE return 0 ns;
END IF;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a,b,c : real) return real is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : real; b,c : std_ulogic) return std_ulogic is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : real; b,c : std_ulogic_vector) return std_ulogic_vector is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : real; b,c : std_logic_vector) return std_logic_vector is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : real; b,c : integer) return integer is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
FUNCTION TERNARY(a : real; b,c : time) return time is
begin
IF (a /= 0) THEN
return b;
ELSE
return c;
END IF;
end TERNARY;
--pragma synthesis_on
-- functions for TERNARY combination
FUNCTION TERNARY(a : std_ulogic; b : std_logic_vector; c: std_ulogic) return
std_logic_vector IS
variable c01 : std_logic_vector(b'LENGTH-1 downto 0) := (OTHERS => '0');
--pragma synthesis_off
variable b01 : std_logic_vector(b'LENGTH-1 downto 0) := b;
variable result : std_logic_vector(b'LENGTH-1 downto 0);
--pragma synthesis_on
BEGIN
c01(0) := c;
IF (a = '1') THEN
return b;
ELSIF (a = '0') THEN
return c01;
--pragma synthesis_off
ELSIF (b01 = c01 AND NOT Is_X(b)) THEN
return b;
ELSE
FOR I IN b'LENGTH-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
--pragma synthesis_on
END IF;
END TERNARY;
FUNCTION TERNARY(a : std_ulogic; b : std_ulogic; c: std_logic_vector) return
std_logic_vector IS
variable b01 : std_logic_vector(c'LENGTH-1 downto 0) := (OTHERS => '0');
--pragma synthesis_off
variable c01 : std_logic_vector(c'LENGTH-1 downto 0) := c;
variable result : std_logic_vector(c'LENGTH-1 downto 0);
--pragma synthesis_on
BEGIN
b01(0) := b;
IF (a = '1') THEN
return b01;
ELSIF (a = '0') THEN
return c;
--pragma synthesis_off
ELSIF (b01 = c01 AND NOT Is_X(b01)) THEN
return b01;
ELSE
FOR I IN c'LENGTH-1 DOWNTO 0 LOOP
IF (b01(I) = c01(I) AND NOT Is_X(b01(I))) THEN
result(I) := b01(I);
ELSE
result(I) := 'X';
END IF;
END LOOP;
return result;
--pragma synthesis_on
END IF;
END TERNARY;
FUNCTION TERNARY(a : std_ulogic; b : integer; c: std_ulogic) return
integer IS
BEGIN
IF (a = '0') THEN
return to_integer(c);
ELSIF (a = '1') THEN
return b;
--pragma synthesis_off
ELSIF (b = to_integer(c) AND NOT Is_X(c)) THEN
return b;
ELSE
return 0;
--pragma synthesis_on
END IF;
END TERNARY;
FUNCTION TERNARY(a : std_ulogic; b : std_ulogic; c: integer) return
integer IS
BEGIN
IF (a = '0') THEN
return c;
ELSIF (a = '1') THEN
return to_integer(b);
--pragma synthesis_off
ELSIF (to_integer(b) = c AND NOT Is_X(b)) THEN
return c;
ELSE
return 0;
--pragma synthesis_on
END IF;
END TERNARY;
FUNCTION TERNARY(a : integer; b : integer; c: std_ulogic) return
integer IS
BEGIN
IF (a /= 0) THEN
return b;
ELSE
return to_integer(c);
END IF;
END TERNARY;
FUNCTION TERNARY(a : integer; b : std_ulogic; c: integer) return
integer IS
BEGIN
IF (a /= 0) THEN
return to_integer(b);
ELSE
return c;
END IF;
END TERNARY;
FUNCTION TERNARY(a : integer; b : std_logic_vector; c: std_ulogic) return
std_logic_vector IS
VARIABLE temp : std_logic_vector(0 downto 0);
BEGIN
IF (a /= 0) THEN
return b;
ELSE
temp(0) := c;
return temp;
END IF;
END TERNARY;
FUNCTION TERNARY(a : integer; b : std_ulogic; c: std_logic_vector) return
std_logic_vector IS
VARIABLE temp : std_logic_vector(0 downto 0);
BEGIN
IF (a /= 0) THEN
temp(0) := b;
return temp;
ELSE
return c;
END IF;
END TERNARY;
--end functions for TERNARY combination
-- FUNCTIONS for integer operations
FUNCTION "not" (l: integer) return integer is
VARIABLE temp : SIGNED(31 downto 0) := TO_SIGNED(l,32);
begin
return TO_INTEGER(NOT(temp));
end "not";
FUNCTION "and" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
VARIABLE temp2 : SIGNED(31 downto 0) := TO_SIGNED(r,32);
begin
return TO_INTEGER(temp1 AND temp2);
end "and";
FUNCTION "nand" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
VARIABLE temp2 : SIGNED(31 downto 0) := TO_SIGNED(r,32);
begin
return TO_INTEGER(temp1 NAND temp2);
end "nand";
FUNCTION "or" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
VARIABLE temp2 : SIGNED(31 downto 0) := TO_SIGNED(r,32);
begin
return TO_INTEGER(temp1 OR temp2);
end "or";
FUNCTION "nor" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
VARIABLE temp2 : SIGNED(31 downto 0) := TO_SIGNED(r,32);
begin
return TO_INTEGER(temp1 NOR temp2);
end "nor";
FUNCTION "xor" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
VARIABLE temp2 : SIGNED(31 downto 0) := TO_SIGNED(r,32);
begin
return TO_INTEGER(temp1 XOR temp2);
end "xor";
FUNCTION "xnor" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
VARIABLE temp2 : SIGNED(31 downto 0) := TO_SIGNED(r,32);
begin
return TO_INTEGER(temp1 XNOR temp2);
end "xnor";
FUNCTION "sll" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
begin
return TO_INTEGER(temp1 SLL r);
end "sll";
FUNCTION "srl" (l,r: integer) return integer is
VARIABLE temp1 : SIGNED(31 downto 0) := TO_SIGNED(l,32);
begin
return TO_INTEGER(temp1 SRL r);
end "srl";
-- functions for std_ulogic operations
-- first add all the tables needed
-- truth table for "=" function
CONSTANT eq_table : stdlogic_boolean_table := (
-- ----------------------------------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------------------------------
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | U |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | X |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | 0 |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | 1 |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | Z |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | W |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | L |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | H |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ) -- | D |
);
-- truth table for "/=" function
CONSTANT neq_table : stdlogic_boolean_table := (
-- ----------------------------------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------------------------------
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | U |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | X |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | 0 |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | 1 |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | Z |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | W |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | L |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | H |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ) -- | D |
);
-- truth table for "<" function
CONSTANT ltb_table : stdlogic_boolean_table := (
-- ----------------------------------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------------------------------
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | U |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | X |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | 0 |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | 1 |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | Z |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | W |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | L |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | H |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ) -- | D |
);
-- truth table for ">" function
CONSTANT gtb_table : stdlogic_boolean_table := (
-- ----------------------------------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------------------------------
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | U |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | X |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | 0 |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | 1 |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | Z |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | W |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ), -- | L |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | H |
( FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, FALSE ) -- | D |
);
-- truth table for "<=" function
CONSTANT leb_table : stdlogic_boolean_table := (
-- ----------------------------------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------------------------------
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | U |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | X |
( TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE ), -- | 0 |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | 1 |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | Z |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | W |
( TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE ), -- | L |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ), -- | H |
( FALSE, FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE ) -- | D |
);
-- truth table for ">=" function
CONSTANT geb_table : stdlogic_boolean_table := (
-- ----------------------------------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------------------------------
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | U |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | X |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | 0 |
( TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE ), -- | 1 |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | Z |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | W |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ), -- | L |
( TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, TRUE ), -- | H |
( FALSE, FALSE, TRUE, FALSE, FALSE, FALSE, TRUE, FALSE, FALSE ) -- | D |
);
CONSTANT lt_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | 0 |
( 'U', 'X', '0', '0', 'X', 'X', '0', '0', 'X' ), -- | 1 |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | Z |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | W |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | L |
( 'U', 'X', '0', '0', 'X', 'X', '0', '0', 'X' ), -- | H |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ) -- | D |
);
-- truth table for ">" function
CONSTANT gt_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X |
( 'U', 'X', '0', '0', 'X', 'X', '0', '0', 'X' ), -- | 0 |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | 1 |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | Z |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | W |
( 'U', 'X', '0', '0', 'X', 'X', '0', '0', 'X' ), -- | L |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | H |
( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ) -- | D |
);
-- truth table for "<=" function
CONSTANT le_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', 'U', '1', 'U', 'U', 'U', '1', 'U' ), -- | U |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ), -- | X |
( '1', '1', '1', '1', '1', '1', '1', '1', '1' ), -- | 0 |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | 1 |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ), -- | Z |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ), -- | W |
( '1', '1', '1', '1', '1', '1', '1', '1', '1' ), -- | L |
( 'U', 'X', '0', '1', 'X', 'X', '0', '1', 'X' ), -- | H |
( 'U', 'X', 'X', '1', 'X', 'X', 'X', '1', 'X' ) -- | D |
);
-- truth table for ">=" function
CONSTANT ge_table : stdlogic_table := (
-- ----------------------------------------------------
-- | U X 0 1 Z W L H D | |
-- ----------------------------------------------------
( 'U', 'U', '1', 'U', 'U', 'U', '1', 'U', 'U' ), -- | U |
( 'U', 'X', '1', 'X', 'X', 'X', '1', 'X', 'X' ), -- | X |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | 0 |
( '1', '1', '1', '1', '1', '1', '1', '1', '1' ), -- | 1 |
( 'U', 'X', '1', 'X', 'X', 'X', '1', 'X', 'X' ), -- | Z |
( 'U', 'X', '1', 'X', 'X', 'X', '1', 'X', 'X' ), -- | W |
( 'U', 'X', '1', '0', 'X', 'X', '1', '0', 'X' ), -- | L |
( '1', '1', '1', '1', '1', '1', '1', '1', '1' ), -- | H |
( 'U', 'X', '1', 'X', 'X', 'X', '1', 'X', 'X' ) -- | D |
);
FUNCTION "=" ( l : Boolean; r : natural ) RETURN Boolean is
begin
IF l = TRUE AND r = 1 THEN
return TRUE;
ELSIF l = FALSE AND r = 0 THEN
return TRUE;
ELSE
return FALSE;
END IF;
end "=";
FUNCTION "/=" ( l : Boolean; r : natural ) RETURN Boolean is
begin
return NOT (l = r);
end "/=";
-----------------------------------------------------------------
FUNCTION "=" ( l : integer; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l = SIGNED(r);
END "=";
-----------------------------------------------------------------
FUNCTION "/=" ( l : integer; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l /= SIGNED(r);
END "/=";
-----------------------------------------------------------------
FUNCTION "<" ( l : integer; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l < SIGNED(r);
END "<";
-----------------------------------------------------------------
FUNCTION ">" ( l : integer; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l > SIGNED(r);
END ">";
-----------------------------------------------------------------
FUNCTION "<=" ( l : integer; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l <= SIGNED(r);
END "<=";
-----------------------------------------------------------------
FUNCTION ">=" ( l : integer; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l >= SIGNED(r);
END ">=";
-----------------------------------------------------------------
FUNCTION "=" ( l : std_logic_vector; r : integer ) RETURN boolean IS
BEGIN
RETURN SIGNED(l) = r;
END "=";
-----------------------------------------------------------------
FUNCTION "/=" ( l : std_logic_vector; r : integer ) RETURN boolean IS
BEGIN
RETURN SIGNED(l) /= r;
END "/=";
-----------------------------------------------------------------
FUNCTION "<" ( l : std_logic_vector; r : integer ) RETURN boolean IS
BEGIN
RETURN SIGNED(l) < r;
END "<";
-----------------------------------------------------------------
FUNCTION ">" ( l : std_logic_vector; r : integer ) RETURN boolean IS
BEGIN
RETURN SIGNED(l) > r;
END ">";
-----------------------------------------------------------------
FUNCTION "<=" ( l : std_logic_vector; r : integer ) RETURN boolean IS
BEGIN
RETURN SIGNED(l) <= r;
END "<=";
-----------------------------------------------------------------
FUNCTION ">=" ( l : std_logic_vector; r : integer ) RETURN boolean IS
BEGIN
RETURN SIGNED(l) >= r;
END ">=";
-----------------------------------------------------------------
--logical functions between std_logic_vector and integer, std_logic_vector and boolean
FUNCTION "and" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector is
BEGIN
RETURN l and to_stdlogicvector(l, 32);
END;
-----------------------------------------------------------------
FUNCTION "nand" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector IS
BEGIN
RETURN l nand to_stdlogicvector(l, 32);
END;
-----------------------------------------------------------------
FUNCTION "or" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector IS
BEGIN
RETURN l or to_stdlogicvector(l, 32);
END;
-----------------------------------------------------------------
FUNCTION "nor" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector IS
BEGIN
RETURN l nor to_stdlogicvector(l, 32);
END;
-----------------------------------------------------------------
FUNCTION "xor" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector IS
BEGIN
RETURN l xor to_stdlogicvector(l, 32);
END;
-----------------------------------------------------------------
FUNCTION "and" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN l and v2v_to_integer(r);
END;
-----------------------------------------------------------------
FUNCTION "nand" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN l nand v2v_to_integer(r);
END;
-----------------------------------------------------------------
FUNCTION "or" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN l or v2v_to_integer(r);
END;
-----------------------------------------------------------------
FUNCTION "nor" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN l nor v2v_to_integer(r);
END;
-----------------------------------------------------------------
FUNCTION "xor" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN l xor v2v_to_integer(r);
END;
-----------------------------------------------------------------
FUNCTION "and" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector IS
BEGIN
RETURN l and to_stdlogicvector(r,32);
END;
-----------------------------------------------------------------
FUNCTION "nand" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector IS
BEGIN
RETURN l nand to_stdlogicvector(r,32);
END;
-----------------------------------------------------------------
FUNCTION "or" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector IS
BEGIN
RETURN l or to_stdlogicvector(r,32);
END;
-----------------------------------------------------------------
FUNCTION "nor" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector IS
BEGIN
RETURN l nor to_stdlogicvector(r,32);
END;
-----------------------------------------------------------------
FUNCTION "xor" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector IS
BEGIN
RETURN l xor to_stdlogicvector(r,32);
END;
-----------------------------------------------------------------
FUNCTION "and" ( l : boolean; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l and to_boolean(r);
END;
-----------------------------------------------------------------
FUNCTION "nand" ( l : boolean; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l nand to_boolean(r);
END;
-----------------------------------------------------------------
FUNCTION "or" ( l : boolean; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l or to_boolean(r);
END;
-----------------------------------------------------------------
FUNCTION "nor" ( l : boolean; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l nor to_boolean(r);
END;
-----------------------------------------------------------------
FUNCTION "xor" ( l : boolean; r : std_logic_vector ) RETURN boolean IS
BEGIN
RETURN l xor to_boolean(r);
END;
--logical functions between std_logic_vector and integer, std_logic_vector and boolean
-----------------------------------------------------------------
-- Added functions for std_logic, integer
FUNCTION "=" ( l : std_logic; r : integer ) RETURN boolean IS
BEGIN
RETURN to_integer(l) = r;
END "=";
-----------------------------------------------------------------
FUNCTION "/=" ( l : std_logic; r : integer ) RETURN boolean IS
BEGIN
RETURN to_integer(l) /= r;
END "/=";
-----------------------------------------------------------------
FUNCTION "<" ( l : std_logic; r : integer ) RETURN boolean IS
BEGIN
RETURN to_integer(l) < r;
END "<";
-----------------------------------------------------------------
FUNCTION ">" ( l : std_logic; r : integer ) RETURN boolean IS
BEGIN
RETURN to_integer(l) > r;
END ">";
-----------------------------------------------------------------
FUNCTION "<=" ( l : std_logic; r : integer ) RETURN boolean IS
BEGIN
RETURN to_integer(l) <= r;
END "<=";
-----------------------------------------------------------------
FUNCTION ">=" ( l : std_logic; r : integer ) RETURN boolean IS
BEGIN
RETURN to_integer(l) >= r;
END ">=";
-----------------------------------------------------------------
-- Functions for std_logic, integer
-----------------------------------------------------------------
--pragma synthesis_off
-- arithmetic operations for real and int and int and real
FUNCTION "+" ( l : real; r : integer ) RETURN real IS
BEGIN
RETURN l + to_real(r);
END;
FUNCTION "-" ( l : real; r : integer ) RETURN real IS
BEGIN
RETURN l - to_real(r);
END;
FUNCTION "/" ( l : real; r : integer ) RETURN real IS
BEGIN
RETURN l / to_real(r);
END;
FUNCTION "*" ( l : real; r : integer ) RETURN real IS
BEGIN
RETURN l * to_real(r);
END ;
FUNCTION "+" ( l : integer; r : real ) RETURN real IS
BEGIN
RETURN to_real(l) + r;
END;
FUNCTION "-" ( l : integer; r : real ) RETURN real IS
BEGIN
RETURN to_real(l) - r;
END;
FUNCTION "/" ( l : integer; r : real ) RETURN real IS
BEGIN
RETURN to_real(l) / l;
END;
FUNCTION "*" ( l : integer; r : real ) RETURN real IS
BEGIN
RETURN to_real(l) * r;
END;
-- end arithmetic operations for real and int and int and real
-----------------------------------------------------------------
FUNCTION "=" ( l : real; r : integer ) RETURN boolean IS
BEGIN
RETURN INTEGER(l) = r;
END "=";
-----------------------------------------------------------------
FUNCTION "/=" ( l : real; r : integer ) RETURN boolean IS
BEGIN
RETURN INTEGER(l) /= r;
END "/=";
-----------------------------------------------------------------
FUNCTION "<" ( l : real; r : integer ) RETURN boolean IS
BEGIN
RETURN INTEGER(l) < r;
END "<";
-----------------------------------------------------------------
FUNCTION ">" ( l : real; r : integer ) RETURN boolean IS
BEGIN
RETURN INTEGER(l) > r;
END ">";
-----------------------------------------------------------------
FUNCTION "<=" ( l : real; r : integer ) RETURN boolean IS
BEGIN
RETURN INTEGER(l) <= r;
END "<=";
-----------------------------------------------------------------
FUNCTION ">=" ( l : real; r : integer ) RETURN boolean IS
BEGIN
RETURN INTEGER(l) >= r;
END ">=";
-----------------------------------------------------------------
FUNCTION "=" ( l : integer; r : real ) RETURN boolean IS
BEGIN
RETURN l = INTEGER(r);
END "=";
-----------------------------------------------------------------
FUNCTION "/=" ( l : integer; r : real ) RETURN boolean IS
BEGIN
RETURN l /= INTEGER(r);
END "/=";
-----------------------------------------------------------------
FUNCTION "<" ( l : integer; r : real ) RETURN boolean IS
BEGIN
RETURN l < INTEGER(r);
END "<";
-----------------------------------------------------------------
FUNCTION ">" ( l : integer; r : real ) RETURN boolean IS
BEGIN
RETURN l > INTEGER(r);
END ">";
-----------------------------------------------------------------
FUNCTION "<=" ( l : integer; r : real ) RETURN boolean IS
BEGIN
RETURN l <= INTEGER(r);
END "<=";
-----------------------------------------------------------------
FUNCTION ">=" ( l : integer; r : real ) RETURN boolean IS
BEGIN
RETURN l >= INTEGER(r);
END ">=";
--pragma synthesis_on
-----------------------------------------------------------------
FUNCTION "+" ( l, r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(UNSIGNED(l) + UNSIGNED(r));
end "+";
------------------------------------------------------------------
FUNCTION "-" ( l, r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(UNSIGNED(l) - UNSIGNED(r));
end "-";
------------------------------------------------------------------
FUNCTION "*" ( l, r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(UNSIGNED(l) * UNSIGNED(r));
end "*";
------------------------------------------------------------------
FUNCTION "/" ( l, r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(UNSIGNED(l) / UNSIGNED(r));
end "/";
------------------------------------------------------------------
FUNCTION "REM" ( l, r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(UNSIGNED(l) rem UNSIGNED(r));
end "REM";
------------------------------------------------------------------
FUNCTION "+" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) + r);
end "+";
------------------------------------------------------------------
FUNCTION "-" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) - r);
end "-";
------------------------------------------------------------------
FUNCTION "*" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) * r);
end "*";
------------------------------------------------------------------
FUNCTION "/" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) / r);
end "/";
------------------------------------------------------------------
FUNCTION "REM" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) rem r);
end "REM";
------------------------------------------------------------------
FUNCTION "&" ( l : std_logic_vector; r : integer ) RETURN std_logic_vector is
begin
return l & to_stdlogic(r);
end "&";
------------------------------------------------------------------
FUNCTION "&" ( l : std_logic_vector; r : boolean ) RETURN std_logic_vector is
begin
return l & to_stdlogic(r);
end "&";
------------------------------------------------------------------
FUNCTION "+" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) + to_integer(r));
end "+";
------------------------------------------------------------------
FUNCTION "-" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) - to_integer(r));
end "-";
------------------------------------------------------------------
FUNCTION "*" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) * to_integer(r));
end "*";
------------------------------------------------------------------
FUNCTION "/" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) / to_integer(r));
end "/";
------------------------------------------------------------------
FUNCTION "REM" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(SIGNED(l) rem to_integer(r));
end "REM";
------------------------------------------------------------------
FUNCTION "+" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(to_integer(l) + SIGNED(r));
END "+";
------------------------------------------------------------------
FUNCTION "-" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(to_integer(l) - SIGNED(r));
END "-";
------------------------------------------------------------------
FUNCTION "*" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(to_integer(l) * SIGNED(r));
END "*";
------------------------------------------------------------------
FUNCTION "/" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(to_integer(l) / SIGNED(r));
END "/";
------------------------------------------------------------------
FUNCTION "REM" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector is
begin
return STD_LOGIC_VECTOR(to_integer(l) REM SIGNED(r));
END "REM";
-------------------------------------------------------------
-- need logical functions bet. std_logic_vector and std_logic
FUNCTION "and" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN l and to_stdlogicvector(r, l'length);
END "and";
--------------------------------------------------------------
FUNCTION "nand" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN l nand to_stdlogicvector(r, l'length);
END "nand";
--------------------------------------------------------------
FUNCTION "or" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN l or to_stdlogicvector(r, l'length);
END "or";
--------------------------------------------------------------
FUNCTION "nor" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN l nor to_stdlogicvector(r, l'length);
END "nor";
--------------------------------------------------------------
FUNCTION "xor" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN l xor to_stdlogicvector(r, l'length);
END "xor";
--------------------------------------------------------------
FUNCTION "xnor" ( l : std_logic_vector; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN NOT(l xor to_stdlogicvector(r, l'length));
END "xnor";
--------------------------------------------------------------
FUNCTION "and" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogicvector(l, r'length) and r;
END "and";
--------------------------------------------------------------
FUNCTION "nand" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogicvector(l, r'length) nand r;
END "nand";
--------------------------------------------------------------
FUNCTION "or" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogicvector(l, r'length) or r;
END "or";
--------------------------------------------------------------
FUNCTION "nor" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogicvector(l, r'length) nor r;
END "nor";
--------------------------------------------------------------
FUNCTION "xor" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogicvector(l, r'length) xor r;
END "xor";
--------------------------------------------------------------
FUNCTION "xnor" ( l : std_logic; r : std_logic_vector ) RETURN std_logic_vector IS
BEGIN
RETURN NOT(to_stdlogicvector(l, r'length) xor r);
END "xnor";
--------------------------------------------------------------
-- end logical functions for std_logic_vector and std_logic
------------------------------------------------------------------
-- need arith functions bet std_logic and std_logic
-- used only when the int can be 0 or 1
-- need arithmetic functions bet. std_logic_vector and std_logic
FUNCTION "+" ( l : std_logic; r : std_logic ) RETURN std_logic IS
BEGIN
return to_stdlogic(to_integer(l) + to_integer(r));
END "+";
FUNCTION "-" ( l : std_logic; r : std_logic ) RETURN std_logic IS
BEGIN
return to_stdlogic(to_integer(l) - to_integer(r));
END "-";
FUNCTION "*" ( l : std_logic; r : std_logic ) RETURN std_logic IS
BEGIN
return to_stdlogic(to_integer(l) * to_integer(r));
END "*";
FUNCTION "/" ( l : std_logic; r : std_logic ) RETURN std_logic IS
BEGIN
return to_stdlogic(to_integer(l) / to_integer(r));
END "/";
FUNCTION "REM" ( l : std_logic; r : std_logic ) RETURN std_logic IS
BEGIN
return to_stdlogic(to_integer(l) REM to_integer(r));
END "REM";
------- Arithmatic operations between std_logic and integer
-- caveat, functions below return integer
FUNCTION "+" ( l : std_logic; r : integer ) RETURN integer IS
BEGIN
return to_integer(l) + r;
END "+";
-------------------------------------------------------
FUNCTION "-" ( l : std_logic; r : integer ) RETURN integer IS
BEGIN
return to_integer(l) - r;
END "-";
-------------------------------------------------------
FUNCTION "*" ( l : std_logic; r : integer ) RETURN integer IS
BEGIN
return to_integer(l) * r;
END "*";
-------------------------------------------------------
FUNCTION "/" ( l : std_logic; r : integer ) RETURN integer IS
BEGIN
return to_integer(l) / r;
END "/";
-------------------------------------------------------
FUNCTION "REM" ( l : std_logic; r : integer ) RETURN integer IS
BEGIN
return to_integer(l) REM r;
END "REM";
-------------------------------------------------------
-------------------------------------------------------
FUNCTION "+" ( l : integer; r : std_logic ) RETURN integer IS
begin
return l + to_integer(r);
END "+";
-------------------------------------------------------
FUNCTION "-" ( l : integer; r : std_logic ) RETURN integer IS
begin
return l - to_integer(r);
END "-";
-------------------------------------------------------
FUNCTION "*" ( l : integer; r : std_logic ) RETURN integer IS
begin
return l * to_integer(r);
END "*";
-------------------------------------------------------
FUNCTION "/" ( l : integer; r : std_logic ) RETURN integer IS
begin
return l / to_integer(r);
END "/";
-------------------------------------------------------
FUNCTION "REM" ( l : integer; r : std_logic ) RETURN integer IS
begin
return l REM to_integer(r);
END "REM";
-------------------------------------------------------
FUNCTION "+" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN to_integer(l + SIGNED(r));
END "+";
------------------------------------------------------------------
FUNCTION "-" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN to_integer(l - SIGNED(r));
END "-";
------------------------------------------------------------------
FUNCTION "*" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN to_integer(l * SIGNED(r));
END "*";
------------------------------------------------------------------
FUNCTION "/" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN to_integer(l / SIGNED(r));
END "/";
------------------------------------------------------------------
FUNCTION "REM" ( l : integer; r : std_logic_vector ) RETURN integer IS
BEGIN
RETURN to_integer(l REM SIGNED(r));
END "REM";
------------------------------------------------------------------
FUNCTION "and" ( l : std_logic; r : integer ) RETURN std_logic IS
BEGIN
RETURN l and to_stdlogic(r);
END "and";
------------------------------------------------------------------
FUNCTION "nand" ( l : std_logic; r : integer ) RETURN std_logic IS
BEGIN
RETURN l nand to_stdlogic(r);
END "nand";
------------------------------------------------------------------
FUNCTION "or" ( l : std_logic; r : integer ) RETURN std_logic IS
BEGIN
RETURN l or to_stdlogic(r);
END "or";
------------------------------------------------------------------
FUNCTION "nor" ( l : std_logic; r : integer ) RETURN std_logic IS
BEGIN
RETURN l nor to_stdlogic(r);
END "nor";
------------------------------------------------------------------
FUNCTION "xor" ( l : std_logic; r : integer ) RETURN std_logic IS
BEGIN
RETURN l xor to_stdlogic(r);
END "xor";
------------------------------------------------------------------
FUNCTION "&" ( l : std_logic; r : integer ) RETURN std_logic_vector IS
BEGIN
RETURN l & to_stdlogic(r);
END "&";
------------------------------------------------------------------
FUNCTION "xnor" ( l : std_logic; r : integer ) RETURN std_logic IS
BEGIN
RETURN not(l xor to_stdlogic(r));
END "xnor";
------------------------------------------------------------------
FUNCTION "and" ( l : integer; r : std_logic ) RETURN integer IS
VARIABLE tmp : integer := 0;
BEGIN
RETURN l and to_integer(r);
END "and";
------------------------------------------------------------------
FUNCTION "nand" ( l : integer; r : std_logic ) RETURN integer IS
VARIABLE tmp : integer := 0;
BEGIN
RETURN l nand to_integer(r);
END "nand";
------------------------------------------------------------------
FUNCTION "or" ( l : integer; r : std_logic ) RETURN integer IS
VARIABLE tmp : integer := 0;
BEGIN
RETURN l or to_integer(r);
END "or";
------------------------------------------------------------------
FUNCTION "nor" ( l : integer; r : std_logic ) RETURN integer IS
VARIABLE tmp : integer := 0;
BEGIN
RETURN l nor to_integer(r);
END "nor";
------------------------------------------------------------------
FUNCTION "xor" ( l : integer; r : std_logic ) RETURN integer IS
VARIABLE tmp : integer := 0;
BEGIN
RETURN l xor to_integer(r);
END "xor";
------------------------------------------------------------------
FUNCTION "&" ( l : integer; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogic(l) & r;
END "&";
------------------------------------------------------------------
FUNCTION "xnor" ( l : integer; r : std_logic ) RETURN integer IS
VARIABLE tmp : integer := 0;
BEGIN
RETURN l xnor to_integer(r);
END "xnor";
------------------------------------------------------------------
FUNCTION "and" ( l : std_logic ; r : boolean ) RETURN std_logic IS
BEGIN
RETURN l AND to_stdlogic(r);
END "and";
------------------------------------------------------------------
FUNCTION "nand" ( l : std_logic ; r : boolean ) RETURN std_logic IS
BEGIN
RETURN l NAND to_stdlogic(r);
END "nand";
------------------------------------------------------------------
FUNCTION "or" ( l : std_logic ; r : boolean ) RETURN std_logic IS
BEGIN
RETURN l OR to_stdlogic(r);
END "or";
------------------------------------------------------------------
FUNCTION "nor" ( l : std_logic ; r : boolean ) RETURN std_logic IS
BEGIN
RETURN l NOR to_stdlogic(r);
END "nor";
------------------------------------------------------------------
FUNCTION "xor" ( l : std_logic ; r : boolean ) RETURN std_logic IS
BEGIN
RETURN l XOR to_stdlogic(r);
END "xor";
------------------------------------------------------------------
FUNCTION "&" ( l : std_logic; r : boolean ) RETURN std_logic_vector IS
BEGIN
RETURN l & to_stdlogic(r);
END "&";
------------------------------------------------------------------
FUNCTION "xnor" ( l : std_logic ; r : boolean ) RETURN std_logic IS
BEGIN
RETURN NOT(l XOR to_stdlogic(r));
END "xnor";
------------------------------------------------------------------
FUNCTION "and" ( l : boolean ; r : std_logic ) RETURN boolean IS
VARIABLE tmp : std_logic := 'U';
BEGIN
tmp := to_stdlogic(l) AND r;
RETURN to_boolean(tmp);
END "and";
------------------------------------------------------------------
FUNCTION "nand" ( l : boolean ; r : std_logic ) RETURN boolean IS
VARIABLE tmp : std_logic := 'U';
BEGIN
tmp := to_stdlogic(l) NAND r;
RETURN to_boolean(tmp);
END "nand";
------------------------------------------------------------------
FUNCTION "or" ( l : boolean ; r : std_logic ) RETURN boolean IS
VARIABLE tmp : std_logic := 'U';
BEGIN
tmp := to_stdlogic(l) OR r;
RETURN to_boolean(tmp);
END "or";
------------------------------------------------------------------
FUNCTION "nor" ( l : boolean ; r : std_logic ) RETURN boolean IS
VARIABLE tmp : std_logic := 'U';
BEGIN
tmp := to_stdlogic(l) NOR r;
RETURN to_boolean(tmp);
END "nor";
------------------------------------------------------------------
FUNCTION "xor" ( l : boolean ; r : std_logic ) RETURN boolean IS
VARIABLE tmp : std_logic := 'U';
BEGIN
tmp := to_stdlogic(l) XOR r;
RETURN to_boolean(tmp);
END "xor";
------------------------------------------------------------------
FUNCTION "&" ( l : boolean ; r : std_logic ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogic(l) & r;
END "&";
------------------------------------------------------------------
FUNCTION "xnor" ( l : boolean ; r : std_logic ) RETURN boolean IS
VARIABLE tmp : std_logic := 'U';
BEGIN
tmp := NOT(to_stdlogic(l) XOR r);
RETURN to_boolean(tmp);
END "xnor";
------------------------------------------------------------------
FUNCTION "and" ( l : integer; r : boolean ) RETURN integer IS
BEGIN
RETURN l and to_integer(r);
END "and";
------------------------------------------------------------------
FUNCTION "nand" ( l : integer; r : boolean ) RETURN integer IS
BEGIN
RETURN l nand to_integer(r);
END "nand";
------------------------------------------------------------------
FUNCTION "or" ( l : integer; r : boolean ) RETURN integer IS
BEGIN
RETURN l or to_integer(r);
END "or";
------------------------------------------------------------------
FUNCTION "nor" ( l : integer; r : boolean ) RETURN integer IS
BEGIN
RETURN l nor to_integer(r);
END "nor";
------------------------------------------------------------------
FUNCTION "xor" ( l : integer; r : boolean ) RETURN integer IS
BEGIN
RETURN l xor to_integer(r);
END "xor";
------------------------------------------------------------------
FUNCTION "&" ( l : integer; r : boolean ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogic(l) & to_stdlogic(r);
END "&";
------------------------------------------------------------------
FUNCTION "xnor" ( l : integer; r : boolean ) RETURN integer IS
BEGIN
RETURN l xnor to_integer(r);
END "xnor";
------------------------------------------------------------------
FUNCTION "and" ( l : boolean; r : integer ) RETURN boolean IS
BEGIN
RETURN l AND to_boolean(r);
END "and";
------------------------------------------------------------------
FUNCTION "nand" ( l : boolean; r : integer ) RETURN boolean IS
BEGIN
RETURN l NAND to_boolean(r);
END "nand";
------------------------------------------------------------------
FUNCTION "or" ( l : boolean; r : integer ) RETURN boolean IS
BEGIN
RETURN l or to_boolean(r);
END "or";
------------------------------------------------------------------
FUNCTION "nor" ( l : boolean; r : integer ) RETURN boolean IS
BEGIN
RETURN l nor to_boolean(r);
END "nor";
------------------------------------------------------------------
FUNCTION "xor" ( l : boolean; r : integer ) RETURN boolean IS
BEGIN
RETURN l xor to_boolean(r);
END "xor";
------------------------------------------------------------------
FUNCTION "&" ( l : boolean; r : integer ) RETURN std_logic_vector IS
BEGIN
RETURN to_stdlogic(l) & to_stdlogic(r);
END "&";
------------------------------------------------------------------
FUNCTION "xnor" ( l : boolean; r : integer ) RETURN boolean IS
BEGIN
RETURN l xnor to_boolean(r);
END "xnor";
------------------------------------------------------------------
-- Overloaded function for text output
FUNCTION to_bitvector ( a : bit ) RETURN bit_vector IS
VARIABLE s : bit_vector ( 1 TO 1 );
BEGIN
s(1) := a;
RETURN s;
END to_bitvector;
------------------------------------------------------------------
FUNCTION to_bitvector ( a : std_ulogic ) RETURN bit_vector IS
VARIABLE s : bit_vector ( 1 TO 1 );
BEGIN
s(1) := to_bit(a);
RETURN s;
END to_bitvector;
------------------------------------------------------------------
FUNCTION to_bitvector ( a : integer ) RETURN bit_vector IS
VARIABLE s : bit_vector ( 31 DOWNTO 0 );
BEGIN
s := to_bitvector(STD_LOGIC_VECTOR(to_signed(a, 32)));
RETURN s;
END to_bitvector;
------------------------------------------------------------------
FUNCTION to_stdlogicvector(l : integer; size : natural; dir : direction := little_endian) RETURN std_logic_vector IS
BEGIN
IF dir = little_endian THEN
RETURN STD_LOGIC_VECTOR(to_signed(l,size));
ELSE
RETURN STD_LOGIC_VECTOR(to_signed(l,size) ROL size); -- rotate left by size times
END IF;
END to_stdlogicvector;
------------------------------------------------------------------
FUNCTION to_stdlogicvector(l : std_logic_vector ) RETURN std_logic_vector IS
BEGIN
RETURN l;
END to_stdlogicvector;
------------------------------------------------------------------
FUNCTION to_stdlogicvector(l : std_logic_vector; size : natural; dir : direction := little_endian )
RETURN std_logic_vector IS
VARIABLE tmp1 : UNSIGNED(l'length-1 downto 0);
VARIABLE tmp2 : UNSIGNED(size-1 downto 0);
BEGIN
IF dir = little_endian THEN
RETURN STD_LOGIC_VECTOR(resize(UNSIGNED(l),size));
ELSE
-- using function ROTATE_LEFT to make it both 87 and 93 compliant
-- first get eqiv. in descending range
-- second resize
-- finally, rotate and return
tmp1 := ROTATE_LEFT(UNSIGNED(l),l'length);
tmp2 := resize(UNSIGNED(tmp1),size);
RETURN STD_LOGIC_VECTOR(ROTATE_LEFT(UNSIGNED(tmp2),size));
END IF;
END to_stdlogicvector;
------------------------------------------------------------------
FUNCTION to_stdlogicvector(l : std_logic; size : natural) RETURN std_logic_vector IS
VARIABLE tmp : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
tmp(0) := l;
RETURN tmp;
END to_stdlogicvector;
------------------------------------------------------------------
FUNCTION to_stdlogicvector(l : boolean; size : natural) RETURN std_logic_vector IS
VARIABLE tmp : std_logic_vector(size-1 DOWNTO 0) := (OTHERS => '0');
BEGIN
tmp(0) := to_stdlogic(l);
RETURN tmp;
END to_stdlogicvector;
------------------------------------------------------------------
FUNCTION to_integer(l : integer) RETURN integer IS
BEGIN
RETURN l;
END to_integer;
------------------------------------------------------------------
FUNCTION to_integer(l : std_logic) RETURN integer IS
BEGIN
IF ( l = '0') THEN
RETURN 0;
ELSIF (l = '1') THEN
RETURN 1;
ELSE
ASSERT FALSE REPORT("Std_logic values other than '0' and '1' cannot be converted to integer type")
SEVERITY WARNING;
RETURN 0;
END IF;
END to_integer;
------------------------------------------------------------------
FUNCTION to_integer(l : boolean) RETURN integer IS
BEGIN
IF ( l = TRUE) THEN
RETURN 0;
ELSE
RETURN 1;
END IF;
END to_integer;
------------------------------------------------------------------
FUNCTION to_stdlogic(l : integer) RETURN std_logic IS
VARIABLE ret_val : std_logic := '0';
BEGIN
IF l = 0 THEN
ret_val := '0';
ELSIF l = 1 THEN
ret_val := '1';
ELSE
ASSERT FALSE REPORT("Integers other than 0 and 1 cannot be converted to std_logic type")
SEVERITY WARNING;
END IF;
RETURN ret_val;
END to_stdlogic;
------------------------------------------------------------------
FUNCTION to_stdlogic(l : Boolean) RETURN std_logic IS
VARIABLE ret_val : std_logic := '0';
BEGIN
IF l = FALSE THEN
ret_val := '0';
ELSE
ret_val := '1';
END IF;
RETURN ret_val;
END to_stdlogic;
------------------------------------------------------------------
FUNCTION to_stdlogic(l : std_logic) RETURN std_logic IS
BEGIN
RETURN l;
END to_stdlogic;
------------------------------------------------------------------
FUNCTION to_stdlogic(l : std_logic_vector) RETURN std_logic IS
BEGIN
RETURN l(l'LOW);
END to_stdlogic;
------------------------------------------------------------------
FUNCTION to_integer(l : std_logic_vector; dir : direction := little_endian) RETURN integer IS
BEGIN
IF dir = little_endian THEN
-- RETURN to_integer(SIGNED(l));
RETURN to_integer(UNSIGNED(l));
ELSE
-- RETURN to_integer(SIGNED(l) ROR l'LENGTH);
RETURN to_integer(UNSIGNED(l) ROR l'LENGTH);
END IF;
END to_integer;
------------------------------------------------------------------
FUNCTION v2v_to_integer(l : std_logic_vector; dir : direction := little_endian) RETURN integer IS
BEGIN
IF dir = little_endian THEN
-- RETURN to_integer(SIGNED(l));
RETURN to_integer(UNSIGNED(l));
ELSE
--NOTE, since ROR is not available in 87, we will use ROTATE_RIGHT
RETURN to_integer(ROTATE_RIGHT(UNSIGNED(l) , l'LENGTH));
-- RETURN to_integer(UNSIGNED(l) ROR l'LENGTH);
END IF;
END v2v_to_integer;
------------------------------------------------------------------
FUNCTION v2v_to_integer(l : integer) RETURN integer IS
BEGIN
RETURN l;
END v2v_to_integer;
------------------------------------------------------------------
FUNCTION v2v_to_integer(l : std_logic) RETURN integer IS
BEGIN
IF ( l = '0') THEN
RETURN 0;
ELSIF (l = '1') THEN
RETURN 1;
ELSE
ASSERT FALSE REPORT("Std_logic values other than '0' and '1' cannot be converted to integer type")
SEVERITY WARNING;
RETURN 0;
END IF;
END v2v_to_integer;
------------------------------------------------------------------
FUNCTION v2v_to_integer(l : boolean) RETURN integer IS
BEGIN
IF ( l = TRUE) THEN
RETURN 0;
ELSE
RETURN 1;
END IF;
END v2v_to_integer;
------------------------------------------------------------------
--pragma synthesis_off
------------------------------------------------------------------
FUNCTION to_real(l : integer) RETURN real IS
BEGIN
RETURN REAL(l);
END to_real;
------------------------------------------------------------------
FUNCTION to_real(l : real) RETURN real IS
BEGIN
RETURN l;
END to_real;
--pragma synthesis_on
------------------------------------------------------------------
FUNCTION to_boolean(l : std_logic) RETURN boolean IS
BEGIN
IF ( l = '0' ) THEN
RETURN FALSE;
ELSIF (l = '1') THEN
RETURN TRUE;
ELSE
ASSERT FALSE REPORT("Std_logic values other than '0' and '1' cannot be converted to boolean type")
SEVERITY WARNING;
RETURN FALSE;
END IF;
END to_boolean;
------------------------------------------------------------------
FUNCTION to_boolean(l : std_logic_vector) RETURN boolean IS
VARIABLE tmp : std_logic_vector(l'RANGE);
BEGIN
tmp := (OTHERS=>'1');
if to_integer(l AND tmp) /= 0 THEN
RETURN TRUE;
END IF;
RETURN FALSE;
END to_boolean;
------------------------------------------------------------------
FUNCTION to_boolean(l : boolean) RETURN boolean IS
BEGIN
IF ( l) THEN
RETURN TRUE;
END IF;
RETURN FALSE;
END to_boolean;
------------------------------------------------------------------
FUNCTION to_boolean(l : integer) RETURN boolean IS
BEGIN
IF ( l = 0 ) THEN
RETURN FALSE;
ELSE
RETURN TRUE;
END IF;
END to_boolean;
------------------------------------------------------------------
FUNCTION "sll" ( l : std_logic_vector; r : integer) RETURN std_logic_vector IS
VARIABLE v : std_logic_vector(l'RANGE) := (others=>'0');
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "srl"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i) := l(i+r);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i) := l(i-r);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "sll" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector IS
VARIABLE v : std_ulogic_vector(l'RANGE) := (others=>'0');
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "srl"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i) := l(i+r);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i) := l(i-r);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "srl" ( l : std_logic_vector; r : integer) RETURN std_logic_vector IS
VARIABLE v : std_logic_vector(l'RANGE) := (others=>'0');
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "sll"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i+r) := l(i);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i-r) := l(i);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "srl" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector IS
VARIABLE v : std_ulogic_vector(l'RANGE) := (others=>'0');
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "sll"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i+r) := l(i);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i-r) := l(i);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "sla" ( l : std_logic_vector; r : integer) RETURN std_logic_vector IS
VARIABLE v : std_logic_vector(l'RANGE) := (others=>l(l'RIGHT));
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "sra"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i) := l(i+r);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i) := l(i-r);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "sla" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector IS
VARIABLE v : std_ulogic_vector(l'RANGE) := (others=>l(l'RIGHT));
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "sra"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i) := l(i+r);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i) := l(i-r);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "sra" ( l : std_logic_vector; r : integer) RETURN std_logic_vector IS
VARIABLE v : std_logic_vector(l'RANGE) := (others=>l(l'RIGHT));
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "sla"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i+r) := l(i);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i-r) := l(i);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "sra" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector IS
VARIABLE v : std_ulogic_vector(l'RANGE) := (others=>l(l'RIGHT));
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "sla"(l,-r);
ELSIF r<l'LENGTH THEN
IF l'LEFT<l'RIGHT THEN
FOR i IN l'LEFT TO (l'RIGHT-r) LOOP
v(i+r) := l(i);
END LOOP;
ELSE
FOR i IN l'LEFT DOWNTO (l'RIGHT+r) LOOP
v(i-r) := l(i);
END LOOP;
END IF;
END IF;
RETURN v;
END;
FUNCTION "rol" ( l : std_logic_vector; r : integer) RETURN std_logic_vector IS
VARIABLE v : std_logic_vector(0 TO l'LENGTH*2-1);
VARIABLE v1 : std_logic_vector(l'RANGE);
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "ror"(l,-r);
ELSE
v(0 TO l'LENGTH-1) := l;
v(l'LENGTH TO v'LENGTH-1) := l;
v1 := v(r TO r+l'LENGTH-1);
RETURN v1;
END IF;
END;
FUNCTION "rol" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector IS
VARIABLE v : std_ulogic_vector(0 TO l'LENGTH*2-1);
VARIABLE v1 : std_ulogic_vector(l'RANGE);
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "ror"(l,-r);
ELSE
v(0 TO l'LENGTH-1) := l;
v(l'LENGTH TO v'LENGTH-1) := l;
v1 := v(r TO r+l'LENGTH-1);
RETURN v1;
END IF;
END;
FUNCTION "ror" ( l : std_logic_vector; r : integer) RETURN std_logic_vector IS
VARIABLE v : std_logic_vector(0 TO l'LENGTH*2-1);
VARIABLE v1 : std_logic_vector(l'RANGE);
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "rol"(l,-r);
ELSE
v(0 TO l'LENGTH-1) := l;
v(l'LENGTH TO v'LENGTH-1) := l;
v1 := v(l'LENGTH-r TO v'LENGTH-r-1);
RETURN v1;
END IF;
END;
FUNCTION "ror" ( l : std_ulogic_vector; r : integer) RETURN std_ulogic_vector IS
VARIABLE v : std_ulogic_vector(0 TO l'LENGTH*2-1);
VARIABLE v1 : std_ulogic_vector(l'RANGE);
BEGIN
IF r=0 THEN
RETURN l;
ELSIF r<0 THEN
RETURN "rol"(l,-r);
ELSE
v(0 TO l'LENGTH-1) := l;
v(l'LENGTH TO v'LENGTH-1) := l;
v1 := v(l'LENGTH-r TO v'LENGTH-r-1);
RETURN v1;
END IF;
END;
FUNCTION to_stdlogicvector(hex : STRING) RETURN std_logic_vector IS
VARIABLE result : std_logic_vector(4 * hex'LENGTH DOWNTO 1);
BEGIN
-- Note: The hex parameter can have a range with hex'LOW > 1.
-- For these cases, variable index i in assignments in the FOR loop is normalized
-- to 1 by subtracting hex'LOW ** sas 2/13/96 **
FOR i in hex'RANGE LOOP
CASE hex(i) IS
WHEN '0' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"0";
WHEN '1' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"1";
WHEN '2' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"2";
WHEN '3' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"3";
WHEN '4' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"4";
WHEN '5' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"5";
WHEN '6' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"6";
WHEN '7' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"7";
WHEN '8' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"8";
WHEN '9' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"9";
WHEN 'A' | 'a' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"A";
WHEN 'B' | 'b' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"B";
WHEN 'C' | 'c' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"C";
WHEN 'D' | 'd' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"D";
WHEN 'E' | 'e' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"E";
WHEN 'F' | 'f' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := x"F";
WHEN 'X' | 'x' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := "XXXX";
WHEN 'Z' | 'z' =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := "ZZZZ";
WHEN OTHERS =>
result(4*(hex'LENGTH - (i-hex'LOW)) DOWNTO 4*(hex'LENGTH - (i-hex'LOW)) -3) := "XXXX";
END CASE;
END LOOP;
RETURN result;
END to_stdlogicvector;
end FUNCTIONS;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/grdware/mul_dware.vhd | 1 | 4078 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: misc
-- File: mul_dware.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Dware multipliers
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library Dware;
use DWARE.DWpackages.all;
use DWARE.DW_Foundation_comp_arith.all;
entity mul_dw is
generic (
a_width : positive := 2; -- multiplier word width
b_width : positive := 2; -- multiplicand word width
num_stages : positive := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1 -- '0': non-stallable; '1': stallable
);
port(a : in std_logic_vector(a_width-1 downto 0);
b : in std_logic_vector(b_width-1 downto 0);
clk : in std_logic;
en : in std_logic;
sign : in std_logic;
product : out std_logic_vector(a_width+b_width-1 downto 0));
end;
architecture rtl of mul_dw is
component DW02_mult
generic( A_width: NATURAL; -- multiplier wordlength
B_width: NATURAL); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
signal gnd : std_ulogic;
begin
gnd <= '0';
np : if num_stages = 1 generate
u0 : DW02_mult
generic map ( a_width => a_width, b_width => b_width)
port map (a => a, b => b, TC => sign, product => product);
end generate;
pipe : if num_stages > 1 generate
u0 : DW_mult_pipe
generic map ( a_width => a_width, b_width => b_width,
num_stages => num_stages, stall_mode => stall_mode, rst_mode => 0)
port map (a => a, b => b, TC => sign, clk => clk, product => product,
rst_n => gnd, en => en);
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library Dware;
use DWARE.DWpackages.all;
use DWARE.DW_Foundation_comp_arith.all;
entity dw_mul_61x61 is
port(A : in std_logic_vector(60 downto 0);
B : in std_logic_vector(60 downto 0);
CLK : in std_logic;
PRODUCT : out std_logic_vector(121 downto 0));
end;
architecture rtl of dw_mul_61x61 is
signal gnd : std_ulogic;
signal pin, p : std_logic_vector(121 downto 0);
begin
gnd <= '0';
-- u0 : DW02_mult_2_stage
-- generic map ( A_width => A'length, B_width => B'length )
-- port map ( A => A, B => B, TC => gnd, CLK => CLK, PRODUCT => pin );
u0 : DW_mult_pipe
generic map ( a_width => 61, b_width => 61,
num_stages => 2, stall_mode => 0, rst_mode => 0)
port map (a => a, b => b, TC => gnd, clk => clk, product => pin,
rst_n => gnd, en => gnd);
reg0 : process(CLK)
begin
if rising_edge(CLK) then
p <= pin;
end if;
end process;
PRODUCT <= p;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/techmap/inferred/ddrphy_datapath.vhd | 1 | 9238 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ddrphy_datapath
-- File: ddrphy_datapath.vhd
-- Author: Magnus Hjorth - Aeroflex Gaisler
-- Description: Generic DDR/DDR2 PHY data path (digital part of phy)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity ddrphy_datapath is
generic (
regtech: integer := 0;
dbits: integer;
abits: integer;
bankbits: integer range 2 to 3 := 2;
ncs: integer;
nclk: integer;
-- Enable extra resync stage clocked by clkresync
resync: integer range 0 to 2 := 0
);
port (
clk0: in std_ulogic;
clk90: in std_ulogic;
clk180: in std_ulogic;
clk270: in std_ulogic;
clkresync: in std_ulogic;
ddr_clk: out std_logic_vector(nclk-1 downto 0);
ddr_clkb: out std_logic_vector(nclk-1 downto 0);
ddr_dq_in: in std_logic_vector(dbits-1 downto 0);
ddr_dq_out: out std_logic_vector(dbits-1 downto 0);
ddr_dq_oen: out std_logic_vector(dbits-1 downto 0);
ddr_dqs_in90: in std_logic_vector(dbits/8-1 downto 0);
ddr_dqs_in90n: in std_logic_vector(dbits/8-1 downto 0);
ddr_dqs_out: out std_logic_vector(dbits/8-1 downto 0);
ddr_dqs_oen: out std_logic_vector(dbits/8-1 downto 0);
ddr_cke: out std_logic_vector(ncs-1 downto 0);
ddr_csb: out std_logic_vector(ncs-1 downto 0);
ddr_web: out std_ulogic;
ddr_rasb: out std_ulogic;
ddr_casb: out std_ulogic;
ddr_ad: out std_logic_vector(abits-1 downto 0);
ddr_ba: out std_logic_vector(bankbits-1 downto 0);
ddr_dm: out std_logic_vector(dbits/8-1 downto 0);
ddr_odt: out std_logic_vector(ncs-1 downto 0);
-- Control signals synchronous to clk0
dqin: out std_logic_vector(dbits*2-1 downto 0);
dqout: in std_logic_vector(dbits*2-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector (bankbits-1 downto 0);
dm : in std_logic_vector (dbits/4-1 downto 0);
oen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0); -- Clk enable control signal to memory
odt : in std_logic_vector(ncs-1 downto 0);
dqs_en : in std_ulogic; -- Run dqs strobe (active low)
dqs_oen : in std_ulogic; -- DQS output enable (active low)
ddrclk_en : in std_logic_vector(nclk-1 downto 0) -- Enable/stop ddr_clk
);
end;
architecture rtl of ddrphy_datapath is
signal vcc,gnd: std_ulogic;
signal dqs_en_inv,dqs_en_inv180: std_ulogic;
signal dqcaptr,dqcaptf: std_logic_vector(dbits-1 downto 0);
signal dqsyncr,dqsyncf: std_logic_vector(dbits-1 downto 0);
begin
vcc <= '1';
gnd <= '0';
-----------------------------------------------------------------------------
-- DDR interface clock signal
-----------------------------------------------------------------------------
-- 90 degree shifted relative to master clock, gated by ddrclk_en
genclk: for x in 0 to nclk-1 generate
clkreg: ddr_oreg
generic map (tech => regtech)
port map (d1 => ddrclk_en(x), d2 => gnd, ce => vcc,
c1 => clk90, c2 => clk270, r => gnd, s => gnd,
q => ddr_clk(x));
clkbreg: ddr_oreg
generic map (tech => regtech)
port map (d1 => gnd, d2 => ddrclk_en(x), ce => vcc,
c1 => clk90, c2 => clk270, r => gnd, s => gnd,
q => ddr_clkb(x));
end generate;
-----------------------------------------------------------------------------
-- Control signals RAS,CAS,WE,BA,ADDR,CS,ODT,CKE
-----------------------------------------------------------------------------
rasreg: grdff generic map (tech => regtech)
port map (clk => clk0, d => rasn, q => ddr_rasb);
casreg: grdff generic map (tech => regtech)
port map (clk => clk0, d => casn, q => ddr_casb);
wereg: grdff generic map (tech => regtech)
port map (clk => clk0, d => wen, q => ddr_web);
genba: for x in 0 to bankbits-1 generate
bareg: grdff generic map (tech => regtech)
port map (clk => clk0, d => ba(x), q => ddr_ba(x));
end generate;
gencs: for x in 0 to ncs-1 generate
csreg: grdff generic map (tech => regtech)
port map (clk => clk0, d => csn(x), q => ddr_csb(x));
ckereg: grdff generic map (tech => regtech)
port map (clk => clk0, d => cke(x), q => ddr_cke(x));
odtreg: grdff generic map (tech => regtech)
port map (clk => clk0, d => odt(x), q => ddr_odt(x));
end generate;
genaddr: for x in 0 to abits-1 generate
addrreg: grdff generic map (tech => regtech)
port map (clk => clk0, d => addr(x), q => ddr_ad(x));
end generate;
-----------------------------------------------------------------------------
-- Outgoing data, output enable, DQS, DQSOEN, DM
-----------------------------------------------------------------------------
gendqout: for x in 0 to dbits-1 generate
dqoutreg: ddr_oreg
generic map (tech => regtech)
port map (d1 => dqout(x+dbits), d2 => dqout(x), ce => vcc,
c1 => clk0, c2 => clk180, r => gnd, s => gnd,
q => ddr_dq_out(x));
dqoenreg: grdff
generic map (tech => regtech)
port map (clk => clk0, d => oen, q => ddr_dq_oen(x));
end generate;
-- dqs_en -> invert -> delay -> +90-deg DDR-regs -> dqs_out
-- In total oen is delayed 5/4 cycles. We use 1/2 cycle delay
-- instead of 1 cycle delay to get better timing margin to DDR regs.
-- DQSOEN is delayed one cycle just like ctrl sigs
dqs_en_inv <= not dqs_en;
dqseninv180reg: grdff
generic map (tech => regtech)
port map (clk => clk180, d => dqs_en_inv, q => dqs_en_inv180);
gendqsout: for x in 0 to dbits/8-1 generate
dqsreg: ddr_oreg
generic map (tech => regtech)
port map (d1 => dqs_en_inv180, d2 => gnd, ce => vcc,
c1 => clk90, c2 => clk270, r => gnd, s => gnd,
q => ddr_dqs_out(x));
dqsoenreg: grdff generic map (tech => regtech)
port map (clk => clk0, d => dqs_oen, q => ddr_dqs_oen(x));
end generate;
gendm: for x in 0 to dbits/8-1 generate
dmreg: ddr_oreg
generic map (tech => regtech)
port map (d1 => dm(x+dbits/8), d2 => dm(x), ce => vcc,
c1 => clk0, c2 => clk180, r => gnd, s => gnd,
q => ddr_dm(x));
end generate;
-----------------------------------------------------------------------------
-- Incoming data
-----------------------------------------------------------------------------
gendqin: for x in 0 to dbits-1 generate
-- capture using dqs+90
-- Note: The ddr_ireg delivers both edges on c1 rising edge, therefore c1
-- is connected to inverted clock (c1 rising edge == dqs falling edge)
dqcaptreg: ddr_ireg generic map (tech => regtech)
port map (d => ddr_dq_in(x),
c1 => ddr_dqs_in90n(x/8), c2 => ddr_dqs_in90(x/8), ce => vcc, r => gnd, s => gnd,
q1 => dqcaptf(x), q2 => dqcaptr(x));
-- optional extra resync stage
ifresync: if resync=1 generate
genresync: for x in 0 to dbits-1 generate
dqsyncrreg: grdff generic map (tech => regtech)
port map (clk => clkresync, d => dqcaptr(x), q => dqsyncr(x));
dqsyncfreg: grdff generic map (tech => regtech)
port map (clk => clkresync, d => dqcaptf(x), q => dqsyncf(x));
end generate;
end generate;
noresync: if resync/=1 generate
dqsyncr <= dqcaptr;
dqsyncf <= dqcaptf;
end generate;
-- sample in clk0 domain
gensamp: if resync/=2 generate
dqinregr: grdff generic map (tech => regtech)
port map (clk => clk0, d => dqsyncr(x), q => dqin(x+dbits));
dqinregf: grdff generic map (tech => regtech)
port map (clk => clk0, d => dqsyncf(x), q => dqin(x));
end generate;
nosamp: if resync=2 generate
dqin(x+dbits) <= dqsyncr(x);
dqin(x) <= dqsyncf(x);
end generate;
end generate;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/greth/greth.in.vhd | 3 | 360 | -- Gaisler Ethernet core
constant CFG_GRETH : integer := CONFIG_GRETH_ENABLE;
constant CFG_GRETH1G : integer := CONFIG_GRETH_GIGA;
constant CFG_ETH_FIFO : integer := CFG_GRETH_FIFO;
#ifdef CONFIG_LEON3FT_PRESENT
constant CFG_GRETH_FT : integer := CONFIG_GRETH_FT;
constant CFG_GRETH_EDCLFT : integer := CONFIG_GRETH_EDCLFT;
#endif
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/memctrl/sdmctrl.vhd | 1 | 25493 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sdmctrl
-- File: sdmctrl.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: SDRAM memory controller to fit with LEON2 memory controller.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
library gaisler;
use gaisler.memctrl.all;
entity sdmctrl is
generic (
pindex : integer := 0;
invclk : integer := 0;
fast : integer := 0;
wprot : integer := 0;
sdbits : integer := 32;
pageburst : integer := 0;
mobile : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
sdi : in sdram_in_type;
sdo : out sdram_out_type;
apbi : in apb_slv_in_type;
wpo : in wprot_out_type;
sdmo : out sdram_mctrl_out_type
);
end;
architecture rtl of sdmctrl is
constant WPROTEN : boolean := (wprot /= 0);
constant SDINVCLK : boolean := (invclk /= 0);
constant BUS64 : boolean := (sdbits = 64);
constant PM_PD : std_logic_vector(2 downto 0) := "001";
constant PM_SR : std_logic_vector(2 downto 0) := "010";
constant PM_DPD : std_logic_vector(2 downto 0) := "101";
type mcycletype is (midle, active, leadout);
type sdcycletype is (act1, act2, act3, rd1, rd2, rd3, rd4, rd5, rd6, rd7, rd8,
wr1, wr2, wr3, wr4, wr5, sidle, sref, pd, dpd);
type icycletype is (iidle, pre, ref, lmode, emode, finish);
-- sdram configuration register
type sdram_cfg_type is record
command : std_logic_vector(2 downto 0);
csize : std_logic_vector(1 downto 0);
bsize : std_logic_vector(2 downto 0);
casdel : std_ulogic; -- CAS to data delay: 2/3 clock cycles
trfc : std_logic_vector(2 downto 0);
trp : std_ulogic; -- precharge to activate: 2/3 clock cycles
refresh : std_logic_vector(14 downto 0);
renable : std_ulogic;
pageburst : std_ulogic;
mobileen : std_logic_vector(1 downto 0); -- Mobile SD support, Mobile SD enabled
ds : std_logic_vector(3 downto 0); -- ds(1:0) (ds(3:2) used to detect update)
tcsr : std_logic_vector(3 downto 0); -- tcrs(1:0) (tcrs(3:2) used to detect update)
pasr : std_logic_vector(5 downto 0); -- pasr(2:0) (pasr(5:3) used to detect update)
pmode : std_logic_vector(2 downto 0); -- Power-Saving mode
txsr : std_logic_vector(3 downto 0); -- Exit Self Refresh timing
cke : std_ulogic; -- Clock enable
end record;
-- local registers
type reg_type is record
hready : std_ulogic;
hsel : std_ulogic;
bdrive : std_ulogic;
burst : std_ulogic;
busy : std_ulogic;
bdelay : std_ulogic;
wprothit : std_ulogic;
startsd : std_ulogic;
aload : std_ulogic;
mstate : mcycletype;
sdstate : sdcycletype;
cmstate : mcycletype;
istate : icycletype;
icnt : std_logic_vector(2 downto 0);
cfg : sdram_cfg_type;
trfc : std_logic_vector(3 downto 0);
refresh : std_logic_vector(14 downto 0);
sdcsn : std_logic_vector(1 downto 0);
sdwen : std_ulogic;
rasn : std_ulogic;
casn : std_ulogic;
dqm : std_logic_vector(7 downto 0);
bsel : std_ulogic;
haddr : std_logic_vector(31 downto 10);
-- only needed to keep address lines from switch too much
address : std_logic_vector(16 downto 2); -- memory address
idlecnt : std_logic_vector(3 downto 0); -- Counter, 16 idle clock sycles before entering Power-Saving mode
sref_tmpcom : std_logic_vector(2 downto 0); -- Save SD command when exit sref
end record;
signal r, ri : reg_type;
begin
ctrl : process(rst, apbi, sdi, wpo, r)
variable v : reg_type; -- local variables for registers
variable startsd : std_ulogic;
variable dataout : std_logic_vector(31 downto 0); -- data from memory
variable haddr : std_logic_vector(31 downto 0);
variable regsd : std_logic_vector(31 downto 0); -- data from registers
variable dqm : std_logic_vector(7 downto 0);
variable raddr : std_logic_vector(12 downto 0);
variable adec : std_ulogic;
variable busy : std_ulogic;
variable aload : std_ulogic;
variable rams : std_logic_vector(1 downto 0);
variable hresp : std_logic_vector(1 downto 0);
variable ba : std_logic_vector(1 downto 0);
variable lline : std_logic_vector(2 downto 0);
variable rline : std_logic_vector(2 downto 0);
variable lineburst : boolean;
variable arefresh : std_logic;
begin
-- Variable default settings to avoid latches
v := r; startsd := '0'; v.busy := '0'; hresp := HRESP_OKAY;
lline := not r.cfg.casdel & r.cfg.casdel & r.cfg.casdel;
rline := not r.cfg.casdel & r.cfg.casdel & r.cfg.casdel;
arefresh := '0';
if sdi.hready = '1' then v.hsel := sdi.hsel; end if;
if (sdi.hready and sdi.hsel ) = '1' then
if sdi.htrans(1) = '1' then v.hready := '0'; end if;
end if;
if fast = 1 then haddr := sdi.rhaddr; else haddr := sdi.haddr; end if;
if (pageburst = 0) or ((pageburst = 2) and r.cfg.pageburst = '0') then
lineburst := true;
else lineburst := false; end if;
-- main state
case sdi.hsize is
when "00" =>
case sdi.rhaddr(1 downto 0) is
when "00" => dqm := "11110111";
when "01" => dqm := "11111011";
when "10" => dqm := "11111101";
when others => dqm := "11111110";
end case;
when "01" =>
if sdi.rhaddr(1) = '0' then dqm := "11110011"; else dqm := "11111100"; end if;
when others => dqm := "11110000";
end case;
if BUS64 and (r.bsel = '1') then
dqm := dqm(3 downto 0) & "1111";
end if;
-- main FSM
case r.mstate is
when midle =>
if (v.hsel and sdi.nhtrans(1)) = '1' then
if (r.sdstate = sidle) and (r.cfg.command = "000") and
(r.cmstate = midle) and (sdi.idle = '1')
then
if fast = 1 then v.startsd := '1'; else startsd := '1'; end if;
v.mstate := active;
elsif ((r.sdstate = sref) or (r.sdstate = pd) or (r.sdstate = dpd))
and (r.cfg.command = "000") and (r.cmstate = midle) --and (v.hio = '0')
then
v.startsd := '1';
if r.sdstate = dpd then -- Error response when on Deep Power-Down mode
hresp := HRESP_ERROR;
else
v.mstate := active;
end if;
end if;
end if;
when others => null;
end case;
startsd := r.startsd or startsd;
-- generate row and column address size
case r.cfg.csize is
when "00" => raddr := haddr(22 downto 10);
when "01" => raddr := haddr(23 downto 11);
when "10" => raddr := haddr(24 downto 12);
when others =>
if r.cfg.bsize = "111" then raddr := haddr(26 downto 14);
else raddr := haddr(25 downto 13); end if;
end case;
-- generate bank address
ba := genmux(r.cfg.bsize, haddr(28 downto 21)) &
genmux(r.cfg.bsize, haddr(27 downto 20));
-- generate chip select
if BUS64 then
adec := genmux(r.cfg.bsize, haddr(30 downto 23));
v.bsel := genmux(r.cfg.bsize, sdi.rhaddr(29 downto 22));
else
adec := genmux(r.cfg.bsize, haddr(29 downto 22)); v.bsel := '0';
end if;
if (sdi.srdis = '0') and (r.cfg.bsize = "111") then adec := not adec; end if;
rams := adec & not adec;
if r.trfc /= "0000" then v.trfc := r.trfc - 1; end if;
if r.idlecnt /= "0000" then v.idlecnt := r.idlecnt - 1; end if;
-- sdram access FSM
case r.sdstate is
when sidle =>
v.bdelay := '0';
if (startsd = '1') and (r.cfg.command = "000") and (r.cmstate = midle) then
v.address(16 downto 2) := ba & raddr;
v.sdcsn := not rams(1 downto 0); v.rasn := '0'; v.sdstate := act1;
v.startsd := '0';
elsif (r.idlecnt = "0000") and (r.cfg.command = "000")
and (r.cmstate = midle) and (r.cfg.mobileen(1) = '1') then
case r.cfg.pmode is
when PM_SR =>
v.cfg.cke := '0'; v.sdstate := sref;
v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0';
v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; -- Control minimum duration of Self Refresh mode (= tRAS)
when PM_PD => v.cfg.cke := '0'; v.sdstate := pd;
when PM_DPD =>
v.cfg.cke := '0'; v.sdstate := dpd;
v.sdcsn := (others => '0'); v.sdwen := '0'; v.rasn := '1'; v.casn := '1';
when others =>
end case;
end if;
when act1 =>
v.rasn := '1'; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc; v.haddr := sdi.rhaddr(31 downto 10);
if r.cfg.casdel = '1' then v.sdstate := act2; else
v.sdstate := act3;
v.hready := sdi.hwrite and sdi.htrans(0) and sdi.htrans(1);
end if;
if WPROTEN then
v.wprothit := wpo.wprothit;
if wpo.wprothit = '1' then hresp := HRESP_ERROR; end if;
end if;
when act2 =>
v.sdstate := act3;
v.hready := sdi.hwrite and sdi.htrans(0) and sdi.htrans(1);
if WPROTEN and (r.wprothit = '1') then
hresp := HRESP_ERROR; v.hready := '0';
end if;
when act3 =>
v.casn := '0';
v.address(14 downto 2) := sdi.rhaddr(13 downto 12) & '0' & sdi.rhaddr(11 downto 2);
v.dqm := dqm; v.burst := r.hready;
if sdi.hwrite = '1' then
v.sdstate := wr1; v.sdwen := '0'; v.bdrive := '1';
if sdi.htrans = "11" or (r.hready = '0') then v.hready := '1'; end if;
if WPROTEN and (r.wprothit = '1') then
hresp := HRESP_ERROR; v.hready := '1';
v.sdstate := wr1; v.sdwen := '1'; v.bdrive := '0'; v.casn := '1';
end if;
else v.sdstate := rd1; end if;
when wr1 =>
v.address(14 downto 2) := sdi.rhaddr(13 downto 12) & '0' & sdi.rhaddr(11 downto 2);
if (((r.burst and r.hready) = '1') and (sdi.rhtrans = "11"))
and not (WPROTEN and (r.wprothit = '1'))
then
v.hready := sdi.htrans(0) and sdi.htrans(1) and r.hready;
if ((sdi.rhaddr(5 downto 2) = "1111") and (r.cfg.command = "100")) then -- exit on refresh
v.hready := '0';
end if;
else
v.sdstate := wr2; v.bdrive := '0'; v.casn := '1'; v.sdwen := '1';
v.dqm := (others => '1');
end if;
when wr2 =>
if (sdi.rhtrans = "10") and (sdi.rhaddr(31 downto 10) = r.haddr) and (r.hsel = '1') then
if sdi.hwrite = '1' then v.hready := '1'; end if; v.sdstate := act3;
elsif (r.trfc(2 downto 1) = "00") then
if (r.cfg.trp = '0') then v.rasn := '0'; v.sdwen := '0'; end if;
v.sdstate := wr3;
end if;
when wr3 =>
if (sdi.rhtrans = "10") and (sdi.rhaddr(31 downto 10) = r.haddr) and (r.sdwen = '1') and (r.hsel = '1') then
if sdi.hwrite = '1' then v.hready := '1'; end if; v.sdstate := act3;
elsif (r.cfg.trp = '1') then
v.rasn := '0'; v.sdwen := '0'; v.sdstate := wr4;
else
v.sdcsn := "11"; v.rasn := '1'; v.sdwen := '1';
if r.trfc = "0000" then v.sdstate := sidle; end if;
end if;
when wr4 =>
v.sdcsn := "11"; v.rasn := '1'; v.sdwen := '1';
if (r.cfg.trp = '1') then v.sdstate := wr5;
else
if r.trfc = "0000" then v.sdstate := sidle; end if;
end if;
when wr5 =>
if r.trfc = "0000" then v.sdstate := sidle; v.idlecnt := (others => '1'); end if;
when rd1 =>
v.casn := '1'; v.sdstate := rd7;
if lineburst and (sdi.htrans = "11") then
if sdi.rhaddr(4 downto 2) = "111" then
v.address(9 downto 5) := r.address(9 downto 5) + 1;
v.address(4 downto 2) := "000"; v.casn := '0';
end if;
end if;
when rd7 =>
v.casn := '1';
if r.cfg.casdel = '1' then
v.sdstate := rd2;
if lineburst and (sdi.htrans = "11") then
if sdi.rhaddr(4 downto 2) = "110" then
v.address(9 downto 5) := r.address(9 downto 5) + 1;
v.address(4 downto 2) := "000"; v.casn := '0';
end if;
end if;
else
v.sdstate := rd3;
if sdi.htrans /= "11" then
if (r.trfc(2 downto 1) = "00") then v.rasn := '0'; v.sdwen := '0'; end if;
elsif lineburst then
if sdi.rhaddr(4 downto 2) = "110" then
v.address(9 downto 5) := r.address(9 downto 5) + 1;
v.address(4 downto 2) := "000"; v.casn := '0';
end if;
end if;
end if;
when rd2 =>
v.casn := '1'; v.sdstate := rd3;
if sdi.htrans /= "11" then -- v.rasn := '0'; v.sdwen := '0';
if (r.trfc(2 downto 1) = "00") then v.rasn := '0'; v.sdwen := '0'; end if;
elsif lineburst then
if sdi.rhaddr(4 downto 2) = "101" then
v.address(9 downto 5) := r.address(9 downto 5) + 1;
v.address(4 downto 2) := "000"; v.casn := '0';
end if;
end if;
if v.sdwen = '0' then v.dqm := (others => '1'); end if;
when rd3 =>
v.sdstate := rd4; v.hready := '1'; v.casn := '1';
if r.sdwen = '0' then
v.rasn := '1'; v.sdwen := '1'; v.sdcsn := "11"; v.dqm := (others => '1');
elsif lineburst and (sdi.htrans = "11") and (r.casn = '1') then
if sdi.rhaddr(4 downto 2) = ("10" & not r.cfg.casdel) then
v.address(9 downto 5) := r.address(9 downto 5) + 1;
v.address(4 downto 2) := "000"; v.casn := '0';
end if;
end if;
when rd4 =>
v.hready := '1'; v.casn := '1';
if (sdi.htrans /= "11") or (r.sdcsn = "11") or
((sdi.rhaddr(5 downto 2) = "1111") and (r.cfg.command = "100")) -- exit on refresh
then
v.hready := '0'; v.dqm := (others => '1');
if (r.sdcsn /= "11") then
v.rasn := '0'; v.sdwen := '0'; v.sdstate := rd5;
else
if r.cfg.trp = '1' then v.sdstate := rd6;
else v.sdstate := sidle; v.idlecnt := (others => '1'); end if;
end if;
elsif lineburst then
if (sdi.rhaddr(4 downto 2) = lline) and (r.casn = '1') then
v.address(9 downto 5) := r.address(9 downto 5) + 1;
v.address(4 downto 2) := "000"; v.casn := '0';
end if;
end if;
when rd5 =>
if r.cfg.trp = '1' then v.sdstate := rd6; else v.sdstate := sidle; v.idlecnt := (others => '1'); end if;
v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1'; v.dqm := (others => '1');
v.casn := '1';
when rd6 =>
v.sdstate := sidle; v.idlecnt := (others => '1'); v.dqm := (others => '1');
v.sdcsn := (others => '1'); v.rasn := '1'; v.sdwen := '1';
when sref =>
if (startsd = '1') -- and (r.hio = '0'))
or (r.cfg.command /= "000") or r.cfg.pmode /= PM_SR then
if r.trfc = "0000" then -- Minimum duration (= tRAS)
v.cfg.cke := '1';
v.sdcsn := (others => '0'); v.rasn := '1'; v.casn := '1';
end if;
if r.cfg.cke = '1' then
if (r.idlecnt = "0000") then -- tXSR ns with NOP
v.sdstate := sidle;
v.idlecnt := (others => '1');
v.sref_tmpcom := r.cfg.command;
v.cfg.command := "100";
end if;
else
v.idlecnt := r.cfg.txsr;
end if;
end if;
when pd =>
if (startsd = '1') -- and (r.hio = '0'))
or (r.cfg.command /= "000") or r.cfg.pmode /= PM_PD then
v.cfg.cke := '1';
v.sdstate := sidle;
v.idlecnt := (others => '1');
end if;
when dpd =>
v.sdcsn := (others => '1'); v.sdwen := '1'; v.rasn := '1'; v.casn := '1';
v.cfg.renable := '0';
if (startsd = '1') then -- and r.hio = '0') then
v.hready := '1'; -- ack all accesses with Error response
v.startsd := '0';
hresp := HRESP_ERROR;
elsif r.cfg.pmode /= PM_DPD then
v.cfg.cke := '1';
if r.cfg.cke = '1' then
v.sdstate := sidle;
v.idlecnt := (others => '1');
v.cfg.renable := '1';
end if;
end if;
when others =>
v.sdstate := sidle; v.idlecnt := (others => '1');
end case;
-- sdram commands
case r.cmstate is
when midle =>
if r.sdstate = sidle then
case r.cfg.command is
when "010" => -- precharge
if (sdi.idle = '1') then
v.busy := '1';
v.sdcsn := (others => '0'); v.rasn := '0'; v.sdwen := '0';
v.address(12) := '1'; v.cmstate := active;
end if;
when "100" => -- auto-refresh
v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0';
v.cmstate := active;
when "110" =>
if (sdi.idle = '1') then
v.busy := '1';
v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0';
v.sdwen := '0'; v.cmstate := active;
if lineburst then
v.address(16 downto 2) := "0000010001" & r.cfg.casdel & "0011";
else
v.address(16 downto 2) := "0000010001" & r.cfg.casdel & "0111";
end if;
end if;
when "111" => -- Load Ext-Mode Reg
if (sdi.idle = '1') then
v.busy := '1';
v.sdcsn := (others => '0'); v.rasn := '0'; v.casn := '0';
v.sdwen := '0'; v.cmstate := active;
v.address(16 downto 2) := "10000000" & r.cfg.ds(1 downto 0) & r.cfg.tcsr(1 downto 0)
& r.cfg.pasr(2 downto 0);
end if;
when others => null;
end case;
end if;
when active =>
v.sdcsn := (others => '1'); v.rasn := '1'; v.casn := '1';
v.sdwen := '1'; --v.cfg.command := "000";
v.cfg.command := r.sref_tmpcom; v.sref_tmpcom := "000";
v.cmstate := leadout; v.trfc := (r.cfg.trp and r.cfg.mobileen(1)) & r.cfg.trfc;
when leadout =>
if r.trfc = "0000" then v.cmstate := midle; end if;
end case;
-- sdram init
case r.istate is
when iidle =>
v.cfg.cke := '1';
if (sdi.idle and sdi.enable) = '1' and r.cfg.cke = '1' then
v.cfg.command := "010"; v.istate := pre;
end if;
when pre =>
if r.cfg.command = "000" then
v.cfg.command := "100"; v.istate := ref; v.icnt := "111";
end if;
when ref =>
if r.cfg.command = "000" then
v.cfg.command := "100"; v.icnt := r.icnt - 1;
if r.icnt = "000" then v.istate := lmode; v.cfg.command := "110"; end if;
end if;
when lmode =>
if r.cfg.command = "000" then
if r.cfg.mobileen = "11" then
v.cfg.command := "111"; v.istate := emode;
else
v.istate := finish;
end if;
end if;
when emode =>
if r.cfg.command = "000" then
v.istate := finish;
end if;
when others =>
if sdi.enable = '0' and r.sdstate /= dpd then
v.istate := iidle;
end if;
end case;
if (sdi.hready and sdi.hsel ) = '1' then
if sdi.htrans(1) = '0' then v.hready := '1'; end if;
end if;
-- second part of main fsm
case r.mstate is
when active =>
if v.hready = '1' then
v.mstate := midle;
end if;
when others => null;
end case;
-- sdram refresh counter
if (r.cfg.renable = '1') and (r.istate = finish) and r.sdstate /= sref then
v.refresh := r.refresh - 1;
if (v.refresh(14) and not r.refresh(14)) = '1' then
v.refresh := r.cfg.refresh;
v.cfg.command := "100";
arefresh := '1';
end if;
end if;
-- APB register access
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case apbi.paddr(3 downto 2) is
when "01" =>
if pageburst = 2 then v.cfg.pageburst := apbi.pwdata(17); end if;
if sdi.enable = '1' then
v.cfg.command(2 downto 1) := apbi.pwdata(20 downto 19);
end if;
v.cfg.csize := apbi.pwdata(22 downto 21);
v.cfg.bsize := apbi.pwdata(25 downto 23);
v.cfg.casdel := apbi.pwdata(26);
v.cfg.trfc := apbi.pwdata(29 downto 27);
v.cfg.trp := apbi.pwdata(30);
v.cfg.renable := apbi.pwdata(31);
when "10" =>
v.cfg.refresh := apbi.pwdata(26 downto 12);
v.refresh := (others => '0');
when "11" =>
if r.cfg.mobileen(1) = '1' and mobile /= 3 then v.cfg.mobileen(0) := apbi.pwdata(31); end if;
if r.cfg.pmode = "000" then
v.cfg.cke := apbi.pwdata(30);
end if;
if r.cfg.mobileen(1) = '1' then
if sdi.enable = '1' then
v.cfg.command(0) := apbi.pwdata(29);
end if;
v.cfg.txsr := apbi.pwdata(23 downto 20);
v.cfg.pmode := apbi.pwdata(18 downto 16);
v.cfg.ds(3 downto 2) := apbi.pwdata( 6 downto 5);
v.cfg.tcsr(3 downto 2) := apbi.pwdata( 4 downto 3);
v.cfg.pasr(5 downto 3) := apbi.pwdata( 2 downto 0);
end if;
when others =>
end case;
end if;
-- Disable CS and DPD when Mobile SDR is Disabled
if r.cfg.mobileen(0) = '0' then v.cfg.pmode(2) := '0'; end if;
-- Update EMR when ds, tcsr or pasr change
if r.cfg.command = "000" and arefresh = '0' and r.cfg.mobileen(0) = '1' then
if r.cfg.ds(1 downto 0) /= r.cfg.ds(3 downto 2) then
v.cfg.command := "111"; v.cfg.ds(1 downto 0) := r.cfg.ds(3 downto 2);
end if;
if r.cfg.tcsr(1 downto 0) /= r.cfg.tcsr(3 downto 2) then
v.cfg.command := "111"; v.cfg.tcsr(1 downto 0) := r.cfg.tcsr(3 downto 2);
end if;
if r.cfg.pasr(2 downto 0) /= r.cfg.pasr(5 downto 3) then
v.cfg.command := "111"; v.cfg.pasr(2 downto 0) := r.cfg.pasr(5 downto 3);
end if;
end if;
regsd := (others => '0');
case apbi.paddr(3 downto 2) is
when "01" =>
regsd(31 downto 19) := r.cfg.renable & r.cfg.trp & r.cfg.trfc &
r.cfg.casdel & r.cfg.bsize & r.cfg.csize & r.cfg.command(2 downto 1);
if not lineburst then regsd(17) := '1'; end if;
regsd(16) := r.cfg.mobileen(1);
when "11" =>
regsd(31) := r.cfg.mobileen(0);
regsd(30) := r.cfg.cke;
regsd(30) := r.cfg.command(0);
regsd(23 downto 0) := r.cfg.txsr & '0' & r.cfg.pmode & "000000000" &
r.cfg.ds(1 downto 0) & r.cfg.tcsr(1 downto 0) & r.cfg.pasr(2 downto 0);
when others =>
regsd(26 downto 12) := r.cfg.refresh;
end case;
sdmo.prdata <= regsd;
-- synchronise with sram/prom controller
if fast = 0 then
if (r.sdstate < wr4) or (v.hsel = '1') then v.busy := '1';end if;
else
if (r.sdstate < wr4) or (r.startsd = '1') then v.busy := '1';end if;
end if;
v.busy := v.busy or r.bdelay;
busy := v.busy or r.busy;
v.aload := r.busy and not v.busy;
aload := v.aload;
-- generate memory address
sdmo.address <= v.address;
-- reset
if rst = '0' then
v.sdstate := sidle;
v.mstate := midle;
v.istate := iidle;
v.cmstate := midle;
v.hsel := '0';
v.cfg.command := "000";
v.cfg.csize := "10";
v.cfg.bsize := "000";
v.cfg.casdel := '1';
v.cfg.trfc := "111";
v.cfg.renable := '0';
v.cfg.trp := '1';
v.dqm := (others => '1');
v.sdwen := '1';
v.rasn := '1';
v.casn := '1';
v.hready := '1';
v.startsd := '0';
if (pageburst = 2) then
v.cfg.pageburst := '0';
end if;
if mobile >= 2 then v.cfg.mobileen := "11";
elsif mobile = 1 then v.cfg.mobileen := "10";
else v.cfg.mobileen := "00"; end if;
v.cfg.txsr := (others => '1');
v.cfg.pmode := (others => '0');
v.cfg.ds := (others => '0');
v.cfg.tcsr := (others => '0');
v.cfg.pasr := (others => '0');
if mobile >= 2 then v.cfg.cke := '0';
else v.cfg.cke := '1'; end if;
v.sref_tmpcom := "000";
v.idlecnt := (others => '1');
end if;
ri <= v;
sdmo.bdrive <= v.bdrive;
--sdo.sdcke <= (others => '1');
sdo.sdcke <= (others => r.cfg.cke);
sdo.sdcsn <= r.sdcsn;
sdo.sdwen <= r.sdwen;
sdo.dqm <= r.dqm;
sdo.rasn <= r.rasn;
sdo.casn <= r.casn;
sdmo.busy <= busy;
sdmo.aload <= aload;
sdmo.hready <= r.hready;
sdmo.vhready <= v.hready;
sdmo.hresp <= hresp;
sdmo.hsel <= r.hsel;
sdmo.bsel <= r.bsel;
end process;
regs : process(clk,rst)
begin
if rising_edge(clk) then
r <= ri;
if rst = '0' then
r.icnt <= (others => '0');
end if;
end if;
if rst = '0' then
r.bdrive <= '0';
r.sdcsn <= (others => '1');
end if;
end process;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/misc/ahbdma.vhd | 3 | 5554 | -- GAISLER_LICENSE
-----------------------------------------------------------------------------
-- Entity: dma
-- File: dma.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Simple DMA (needs the AHB master interface)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.misc.all;
entity ahbdma is
generic (
hindex : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
dbuf : integer := 4);
port (
rst : in std_logic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type
);
end;
architecture struct of ahbdma is
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_AHBDMA, 0, 0, pirq),
1 => apb_iobar(paddr, pmask));
type dma_state_type is (readc, writec);
subtype word32 is std_logic_vector(31 downto 0);
type datavec is array (0 to dbuf-1) of word32;
type reg_type is record
srcaddr : std_logic_vector(31 downto 0);
srcinc : std_logic_vector(1 downto 0);
dstaddr : std_logic_vector(31 downto 0);
dstinc : std_logic_vector(1 downto 0);
len : std_logic_vector(15 downto 0);
enable : std_logic;
write : std_logic;
inhibit : std_logic;
status : std_logic_vector(1 downto 0);
dstate : dma_state_type;
data : datavec;
cnt : integer range 0 to dbuf-1;
end record;
signal r, rin : reg_type;
signal dmai : ahb_dma_in_type;
signal dmao : ahb_dma_out_type;
begin
comb : process(apbi, dmao, rst, r)
variable v : reg_type;
variable regd : std_logic_vector(31 downto 0); -- data from registers
variable start : std_logic;
variable burst : std_logic;
variable write : std_logic;
variable ready : std_logic;
variable retry : std_logic;
variable mexc : std_logic;
variable irq : std_logic;
variable address : std_logic_vector(31 downto 0); -- DMA address
variable size : std_logic_vector( 1 downto 0); -- DMA transfer size
variable newlen : std_logic_vector(15 downto 0);
variable oldaddr : std_logic_vector(9 downto 0);
variable newaddr : std_logic_vector(9 downto 0);
variable oldsize : std_logic_vector( 1 downto 0);
variable ainc : std_logic_vector( 3 downto 0);
begin
v := r; regd := (others => '0'); burst := '0'; start := '0';
write := '0'; ready := '0'; mexc := '0';
size := r.srcinc; irq := '0'; v.inhibit := '0';
if r.write = '0' then address := r.srcaddr;
else address := r.dstaddr; end if;
newlen := r.len - 1;
if (r.cnt < dbuf-1) or (r.len(9 downto 2) = "11111111") then burst := '1';
else burst := '0'; end if;
start := r.enable;
if dmao.active = '1' then
if r.write = '0' then
if dmao.ready = '1' then
v.data(r.cnt) := ahbreadword(dmao.rdata);
if r.cnt = dbuf-1 then
v.write := '1'; v.cnt := 0; v.inhibit := '1';
address := r.dstaddr; size := r.dstinc;
else v.cnt := r.cnt + 1; end if;
end if;
else
if r.cnt = dbuf-1 then start := '0'; end if;
if dmao.ready = '1' then
if r.cnt = dbuf-1 then v.cnt := 0;
v.write := '0'; v.len := newlen; v.enable := start; irq := start;
else v.cnt := r.cnt + 1; end if;
end if;
end if;
end if;
if r.write = '0' then oldaddr := r.srcaddr(9 downto 0); oldsize := r.srcinc;
else oldaddr := r.dstaddr(9 downto 0); oldsize := r.dstinc; end if;
ainc := decode(oldsize);
newaddr := oldaddr + ainc(3 downto 0);
if (dmao.active and dmao.ready) = '1' then
if r.write = '0' then v.srcaddr(9 downto 0) := newaddr;
else v.dstaddr(9 downto 0) := newaddr; end if;
end if;
-- read DMA registers
case apbi.paddr(3 downto 2) is
when "00" => regd := r.srcaddr;
when "01" => regd := r.dstaddr;
when "10" => regd(20 downto 0) := r.enable & r.srcinc & r.dstinc & r.len;
when others => null;
end case;
-- write DMA registers
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case apbi.paddr(3 downto 2) is
when "00" =>
v.srcaddr := apbi.pwdata;
when "01" =>
v.dstaddr := apbi.pwdata;
when "10" =>
v.len := apbi.pwdata(15 downto 0);
v.srcinc := apbi.pwdata(17 downto 16);
v.dstinc := apbi.pwdata(19 downto 18);
v.enable := apbi.pwdata(20);
when others => null;
end case;
end if;
if rst = '0' then
v.dstate := readc; v.enable := '0'; v.write := '0';
v.cnt := 0;
end if;
rin <= v;
apbo.prdata <= regd;
dmai.address <= address;
dmai.wdata <= ahbdrivedata(r.data(r.cnt));
dmai.start <= start and not v.inhibit;
dmai.burst <= burst;
dmai.write <= v.write;
dmai.size <= '0' & size;
apbo.pirq <= (others =>'0');
apbo.pindex <= pindex;
apbo.pconfig <= pconfig;
end process;
ahbif : ahbmst generic map (hindex => hindex, devid => 16#26#, incaddr => 1)
port map (rst, clk, dmai, dmao, ahbi, ahbo);
regs : process(clk)
begin if rising_edge(clk) then r <= rin; end if; end process;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbdma" & tost(pindex) &
": AHB DMA Unit rev " & tost(0) & ", irq " & tost(pirq));
-- pragma translate_on
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/tech/unisim/ise/unisim_VPKG.vhd | 4 | 13609 | ----------------------------------------------------------------------------
-- Simple simulation models for some Xilinx blocks
----------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
library STD;
use STD.TEXTIO.all;
package vpkg is
signal GTS : std_logic := '0';
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN STRING := "";
Constant Unit : IN STRING := "";
Constant ExpectedValueMsg : IN STRING := "";
Constant ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN INTEGER;
Constant Unit : IN STRING := "";
Constant ExpectedValueMsg : IN STRING := "";
Constant ExpectedGenericValue : IN INTEGER;
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN BOOLEAN;
Constant Unit : IN STRING := "";
Constant ExpectedValueMsg : IN STRING := "";
Constant ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN INTEGER;
CONSTANT Unit : IN STRING := "";
CONSTANT ExpectedValueMsg : IN STRING := "";
CONSTANT ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN REAL;
CONSTANT Unit : IN STRING := "";
CONSTANT ExpectedValueMsg : IN STRING := "";
CONSTANT ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
procedure detect_resolution ( constant model_name : in string);
function slv_to_int (slv : in std_logic_vector) return integer;
function addr_is_valid (slv : in std_logic_vector) return boolean ;
function DECODE_ADDR4 (
ADDRESS : in std_logic_vector(3 downto 0)
) return integer;
function DECODE_ADDR5 (
ADDRESS : in std_logic_vector(4 downto 0)
) return integer;
function SLV_TO_STR (
SLV : in std_logic_vector
) return string;
end;
package body vpkg is
function SLV_TO_STR (
SLV : in std_logic_vector
) return string is
variable j : integer := SLV'length;
variable STR : string (SLV'length downto 1);
begin
for I in SLV'high downto SLV'low loop
case SLV(I) is
when '0' => STR(J) := '0';
when '1' => STR(J) := '1';
when 'X' => STR(J) := 'X';
when 'U' => STR(J) := 'U';
when others => STR(J) := 'X';
end case;
J := J - 1;
end loop;
return STR;
end SLV_TO_STR;
function DECODE_ADDR4 (
ADDRESS : in std_logic_vector(3 downto 0)
) return integer is
variable I : integer;
begin
case ADDRESS is
when "0000" => I := 0;
when "0001" => I := 1;
when "0010" => I := 2;
when "0011" => I := 3;
when "0100" => I := 4;
when "0101" => I := 5;
when "0110" => I := 6;
when "0111" => I := 7;
when "1000" => I := 8;
when "1001" => I := 9;
when "1010" => I := 10;
when "1011" => I := 11;
when "1100" => I := 12;
when "1101" => I := 13;
when "1110" => I := 14;
when "1111" => I := 15;
when others => I := 16;
end case;
return I;
end DECODE_ADDR4;
function ADDR_IS_VALID (
SLV : in std_logic_vector
) return boolean is
variable IS_VALID : boolean := TRUE;
begin
for I in SLV'high downto SLV'low loop
if (SLV(I) /= '0' AND SLV(I) /= '1') then
IS_VALID := FALSE;
end if;
end loop;
return IS_VALID;
end ADDR_IS_VALID;
function SLV_TO_INT(SLV: in std_logic_vector
) return integer is
variable int : integer;
begin
int := 0;
for i in SLV'high downto SLV'low loop
int := int * 2;
if SLV(i) = '1' then
int := int + 1;
end if;
end loop;
return int;
end;
procedure detect_resolution (
constant model_name : in string
) IS
variable test_value : time;
variable Message : LINE;
BEGIN
test_value := 1 ps;
if (test_value = 0 ps) then
Write (Message, STRING'(" Simulator Resolution Error : "));
Write (Message, STRING'(" Simulator resolution is set to a value greater than 1 ps. "));
Write (Message, STRING'(" In order to simulate the "));
Write (Message, model_name);
Write (Message, STRING'(", the simulator resolution must be set to 1ps or smaller "));
ASSERT FALSE REPORT Message.ALL SEVERITY ERROR;
DEALLOCATE (Message);
end if;
END detect_resolution;
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN STRING := "";
Constant Unit : IN STRING := "";
Constant ExpectedValueMsg : IN STRING := "";
Constant ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
BEGIN
Write ( Message, HeaderMsg );
Write ( Message, STRING'(" The attribute ") );
Write ( Message, GenericName );
Write ( Message, STRING'(" on ") );
Write ( Message, EntityName );
Write ( Message, STRING'(" instance ") );
Write ( Message, InstanceName );
Write ( Message, STRING'(" is set to ") );
Write ( Message, GenericValue );
Write ( Message, Unit );
Write ( Message, '.' & LF );
Write ( Message, ExpectedValueMsg );
Write ( Message, ExpectedGenericValue );
Write ( Message, Unit );
Write ( Message, TailMsg );
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END GenericValueCheckMessage;
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN INTEGER;
CONSTANT Unit : IN STRING := "";
CONSTANT ExpectedValueMsg : IN STRING := "";
CONSTANT ExpectedGenericValue : IN INTEGER;
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
BEGIN
Write ( Message, HeaderMsg );
Write ( Message, STRING'(" The attribute ") );
Write ( Message, GenericName );
Write ( Message, STRING'(" on ") );
Write ( Message, EntityName );
Write ( Message, STRING'(" instance ") );
Write ( Message, InstanceName );
Write ( Message, STRING'(" is set to ") );
Write ( Message, GenericValue );
Write ( Message, Unit );
Write ( Message, '.' & LF );
Write ( Message, ExpectedValueMsg );
Write ( Message, ExpectedGenericValue );
Write ( Message, Unit );
Write ( Message, TailMsg );
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END GenericValueCheckMessage;
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN BOOLEAN;
Constant Unit : IN STRING := "";
CONSTANT ExpectedValueMsg : IN STRING := "";
CONSTANT ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
BEGIN
Write ( Message, HeaderMsg );
Write ( Message, STRING'(" The attribute ") );
Write ( Message, GenericName );
Write ( Message, STRING'(" on ") );
Write ( Message, EntityName );
Write ( Message, STRING'(" instance ") );
Write ( Message, InstanceName );
Write ( Message, STRING'(" is set to ") );
Write ( Message, GenericValue );
Write ( Message, Unit );
Write ( Message, '.' & LF );
Write ( Message, ExpectedValueMsg );
Write ( Message, ExpectedGenericValue );
Write ( Message, Unit );
Write ( Message, TailMsg );
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END GenericValueCheckMessage;
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN INTEGER;
CONSTANT Unit : IN STRING := "";
CONSTANT ExpectedValueMsg : IN STRING := "";
CONSTANT ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
BEGIN
Write ( Message, HeaderMsg );
Write ( Message, STRING'(" The attribute ") );
Write ( Message, GenericName );
Write ( Message, STRING'(" on ") );
Write ( Message, EntityName );
Write ( Message, STRING'(" instance ") );
Write ( Message, InstanceName );
Write ( Message, STRING'(" is set to ") );
Write ( Message, GenericValue );
Write ( Message, Unit );
Write ( Message, '.' & LF );
Write ( Message, ExpectedValueMsg );
Write ( Message, ExpectedGenericValue );
Write ( Message, Unit );
Write ( Message, TailMsg );
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END GenericValueCheckMessage;
PROCEDURE GenericValueCheckMessage (
CONSTANT HeaderMsg : IN STRING := " Attribute Syntax Error ";
CONSTANT GenericName : IN STRING := "";
CONSTANT EntityName : IN STRING := "";
CONSTANT InstanceName : IN STRING := "";
CONSTANT GenericValue : IN REAL;
CONSTANT Unit : IN STRING := "";
CONSTANT ExpectedValueMsg : IN STRING := "";
CONSTANT ExpectedGenericValue : IN STRING := "";
CONSTANT TailMsg : IN STRING;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
) IS
VARIABLE Message : LINE;
BEGIN
Write ( Message, HeaderMsg );
Write ( Message, STRING'(" The attribute ") );
Write ( Message, GenericName );
Write ( Message, STRING'(" on ") );
Write ( Message, EntityName );
Write ( Message, STRING'(" instance ") );
Write ( Message, InstanceName );
Write ( Message, STRING'(" is set to ") );
Write ( Message, GenericValue );
Write ( Message, Unit );
Write ( Message, '.' & LF );
Write ( Message, ExpectedValueMsg );
Write ( Message, ExpectedGenericValue );
Write ( Message, Unit );
Write ( Message, TailMsg );
ASSERT FALSE REPORT Message.ALL SEVERITY MsgSeverity;
DEALLOCATE (Message);
END GenericValueCheckMessage;
function DECODE_ADDR5 (
ADDRESS : in std_logic_vector(4 downto 0)
) return integer is
variable I : integer;
begin
case ADDRESS is
when "00000" => I := 0;
when "00001" => I := 1;
when "00010" => I := 2;
when "00011" => I := 3;
when "00100" => I := 4;
when "00101" => I := 5;
when "00110" => I := 6;
when "00111" => I := 7;
when "01000" => I := 8;
when "01001" => I := 9;
when "01010" => I := 10;
when "01011" => I := 11;
when "01100" => I := 12;
when "01101" => I := 13;
when "01110" => I := 14;
when "01111" => I := 15;
when "10000" => I := 16;
when "10001" => I := 17;
when "10010" => I := 18;
when "10011" => I := 19;
when "10100" => I := 20;
when "10101" => I := 21;
when "10110" => I := 22;
when "10111" => I := 23;
when "11000" => I := 24;
when "11001" => I := 25;
when "11010" => I := 26;
when "11011" => I := 27;
when "11100" => I := 28;
when "11101" => I := 29;
when "11110" => I := 30;
when "11111" => I := 31;
when others => I := 32;
end case;
return I;
end DECODE_ADDR5;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/gaisler/leon3v3/libleon3.vhd | 1 | 7842 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: libleon3
-- File: libleon3.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: LEON3 internal components
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.leon3.all;
use gaisler.libiu.all;
use gaisler.libcache.all;
use gaisler.libfpu.all;
use gaisler.mmuiface.all;
package libleon3 is
component proc3
generic (
hindex : integer := 0;
fabtech : integer range 0 to NTECH := 0;
memtech : integer range 0 to NTECH := 0;
nwindows : integer range 2 to 32 := 8;
dsu : integer range 0 to 1 := 0;
fpu : integer range 0 to 15 := 0;
v8 : integer range 0 to 63 := 0;
cp : integer range 0 to 1 := 0;
mac : integer range 0 to 1 := 0;
pclow : integer range 0 to 2 := 2;
notag : integer range 0 to 1 := 0;
nwp : integer range 0 to 4 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 2;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 2;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
mmuen : integer range 0 to 1 := 0;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
lddel : integer range 1 to 2 := 2;
disas : integer range 0 to 2 := 0;
tbuf : integer range 0 to 64 := 0;
pwd : integer range 0 to 2 := 0; -- power-down
svt : integer range 0 to 1 := 0; -- single-vector trapping
rstaddr : integer := 0;
smp : integer range 0 to 15 := 0; -- support SMP systems
cached : integer := 0;
clk2x : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
bp : integer := 1
);
port (
clk : in std_ulogic;
rstn : in std_ulogic;
holdn : out std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
rfi : out iregfile_in_type;
rfo : in iregfile_out_type;
crami : out cram_in_type;
cramo : in cram_out_type;
tbi : out tracebuf_in_type;
tbo : in tracebuf_out_type;
fpi : out fpc_in_type;
fpo : in fpc_out_type;
cpi : out fpc_in_type;
cpo : in fpc_out_type;
irqi : in l3_irq_in_type;
irqo : out l3_irq_out_type;
dbgi : in l3_debug_in_type;
dbgo : out l3_debug_out_type;
hclk, sclk : in std_ulogic;
hclken : in std_ulogic
);
end component;
component grfpwx
generic (
fabtech : integer := 0;
memtech : integer := 0;
mul : integer range 0 to 3 := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 1 := 0;
disas : integer range 0 to 2 := 0;
netlist : integer := 0;
index : integer := 0);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi : in fpc_in_type;
cpo : out fpc_out_type
);
end component;
component mfpwx
generic (
tech : integer := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 1 := 0;
disas : integer range 0 to 2 := 0;
rfft : integer range 0 to 2 := 0); -- 0 - no protection, 1 - parity
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi : in fpc_in_type;
cpo : out fpc_out_type
);
end component;
component grlfpwx
generic (
tech : integer := 0;
pclow : integer range 0 to 2 := 2;
dsu : integer range 0 to 1 := 0;
disas : integer range 0 to 2 := 0;
pipe : integer := 0;
netlist : integer := 0;
index : integer := 0
);
port (
rst : in std_ulogic; -- Reset
clk : in std_ulogic;
holdn : in std_ulogic; -- pipeline hold
cpi : in fpc_in_type;
cpo : out fpc_out_type
);
end component;
component regfile_3p_l3
generic (
tech : integer := 0;
abits : integer := 6;
dbits : integer := 8;
wrfst : integer := 0;
numregs : integer := 64;
testen : integer := 0);
port (
wclk : in std_ulogic;
waddr : in std_logic_vector((abits -1) downto 0);
wdata : in std_logic_vector((dbits -1) downto 0);
we : in std_ulogic;
rclk : in std_ulogic;
raddr1 : in std_logic_vector((abits -1) downto 0);
re1 : in std_ulogic;
rdata1 : out std_logic_vector((dbits -1) downto 0);
raddr2 : in std_logic_vector((abits -1) downto 0);
re2 : in std_ulogic;
rdata2 : out std_logic_vector((dbits -1) downto 0);
testin : in std_logic_vector(3 downto 0) := "0000");
end component;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/eth/wrapper/greth_gbit_gen.vhd | 1 | 13434 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: greth_gbit_gen
-- File: greth_gbit_gen.vhd
-- Author: Marko Isomaki
-- Description: Generic Gigabit Ethernet MAC
------------------------------------------------------------------------------
library ieee;
library grlib;
use ieee.std_logic_1164.all;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library eth;
use eth.ethcomp.all;
entity greth_gbit_gen is
generic(
memtech : integer := 0;
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
slot_time : integer := 128;
mdcscaler : integer range 0 to 255 := 25;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 1;
edclbufsz : integer range 1 to 64 := 1;
burstlength : integer range 4 to 128 := 32;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 32 := 0;
sim : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
ft : integer range 0 to 2 := 0;
edclft : integer range 0 to 2 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
edclsepahbg : integer range 0 to 1 := 0;
ramdebug : integer range 0 to 2 := 0);
port(
rst : in std_ulogic;
clk : in std_ulogic;
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--edcl ahb mst in
ehgrant : in std_ulogic;
ehready : in std_ulogic;
ehresp : in std_logic_vector(1 downto 0);
ehrdata : in std_logic_vector(31 downto 0);
--edcl ahb mst out
ehbusreq : out std_ulogic;
ehlock : out std_ulogic;
ehtrans : out std_logic_vector(1 downto 0);
ehaddr : out std_logic_vector(31 downto 0);
ehwrite : out std_ulogic;
ehsize : out std_logic_vector(2 downto 0);
ehburst : out std_logic_vector(2 downto 0);
ehprot : out std_logic_vector(3 downto 0);
ehwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--irq
irq : out std_logic;
--ethernet input signals
gtx_clk : in std_ulogic;
tx_clk : in std_ulogic;
rx_clk : in std_ulogic;
rxd : in std_logic_vector(7 downto 0);
rx_dv : in std_ulogic;
rx_er : in std_ulogic;
rx_col : in std_ulogic;
rx_crs : in std_ulogic;
mdio_i : in std_ulogic;
phyrstaddr : in std_logic_vector(4 downto 0);
mdint : in std_ulogic;
--ethernet output signals
reset : out std_ulogic;
txd : out std_logic_vector(7 downto 0);
tx_en : out std_ulogic;
tx_er : out std_ulogic;
mdc : out std_ulogic;
mdio_o : out std_ulogic;
mdio_oe : out std_ulogic;
--scantest
testrst : in std_ulogic;
testen : in std_ulogic;
testoen : in std_ulogic;
edcladdr : in std_logic_vector(3 downto 0);
edclsepahb : in std_ulogic;
edcldisable : in std_ulogic;
speed : out std_ulogic;
gbit : out std_ulogic
);
end entity;
architecture rtl of greth_gbit_gen is
--host constants
constant fifosize : integer := 512;
constant fabits : integer := log2(fifosize);
constant fsize : std_logic_vector(fabits downto 0) :=
conv_std_logic_vector(fifosize, fabits+1);
--edcl constants
type szvct is array (0 to 6) of integer;
constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256);
constant eabits: integer := log2(edclbufsz) + 8;
constant ebufsize : integer := ebuf(log2(edclbufsz));
--rx ahb fifo
signal rxrenable : std_ulogic;
signal rxraddress : std_logic_vector(8 downto 0);
signal rxwrite : std_ulogic;
signal rxwdata : std_logic_vector(31 downto 0);
signal rxwaddress : std_logic_vector(8 downto 0);
signal rxrdata : std_logic_vector(31 downto 0);
--tx ahb fifo
signal txrenable : std_ulogic;
signal txraddress : std_logic_vector(8 downto 0);
signal txwrite : std_ulogic;
signal txwdata : std_logic_vector(31 downto 0);
signal txwaddress : std_logic_vector(8 downto 0);
signal txrdata : std_logic_vector(31 downto 0);
--edcl buf
signal erenable : std_ulogic;
signal eraddress : std_logic_vector(15 downto 0);
signal ewritem : std_ulogic;
signal ewritel : std_ulogic;
signal ewaddressm : std_logic_vector(15 downto 0);
signal ewaddressl : std_logic_vector(15 downto 0);
signal ewdata : std_logic_vector(31 downto 0);
signal erdata : std_logic_vector(31 downto 0);
begin
gtxc0: greth_gbitc
generic map(
ifg_gap => ifg_gap,
attempt_limit => attempt_limit,
backoff_limit => backoff_limit,
slot_time => slot_time,
mdcscaler => mdcscaler,
nsync => nsync,
edcl => edcl,
edclbufsz => edclbufsz,
burstlength => burstlength,
macaddrh => macaddrh,
macaddrl => macaddrl,
ipaddrh => ipaddrh,
ipaddrl => ipaddrl,
phyrstadr => phyrstadr,
sim => sim,
oepol => oepol,
scanen => scanen,
mdint_pol => mdint_pol,
enable_mdint => enable_mdint,
multicast => multicast,
edclsepahbg => edclsepahbg,
ramdebug => ramdebug)
port map(
rst => rst,
clk => clk,
--ahb mst in
hgrant => hgrant,
hready => hready,
hresp => hresp,
hrdata => hrdata,
--ahb mst out
hbusreq => hbusreq,
hlock => hlock,
htrans => htrans,
haddr => haddr,
hwrite => hwrite,
hsize => hsize,
hburst => hburst,
hprot => hprot,
hwdata => hwdata,
--edcl ahb mst in
ehgrant => ehgrant,
ehready => ehready,
ehresp => ehresp,
ehrdata => ehrdata,
--edcl ahb mst out
ehbusreq => ehbusreq,
ehlock => ehlock,
ehtrans => ehtrans,
ehaddr => ehaddr,
ehwrite => ehwrite,
ehsize => ehsize,
ehburst => ehburst,
ehprot => ehprot,
ehwdata => ehwdata,
--apb slv in
psel => psel,
penable => penable,
paddr => paddr,
pwrite => pwrite,
pwdata => pwdata,
--apb slv out
prdata => prdata,
--irq
irq => irq,
--rx ahb fifo
rxrenable => rxrenable,
rxraddress => rxraddress,
rxwrite => rxwrite,
rxwdata => rxwdata,
rxwaddress => rxwaddress,
rxrdata => rxrdata,
--tx ahb fifo
txrenable => txrenable,
txraddress => txraddress,
txwrite => txwrite,
txwdata => txwdata,
txwaddress => txwaddress,
txrdata => txrdata,
--edcl buf
erenable => erenable,
eraddress => eraddress,
ewritem => ewritem,
ewritel => ewritel,
ewaddressm => ewaddressm,
ewaddressl => ewaddressl,
ewdata => ewdata,
erdata => erdata,
--ethernet input signals
gtx_clk => gtx_clk,
tx_clk => tx_clk,
rx_clk => rx_clk,
rxd => rxd,
rx_dv => rx_dv,
rx_er => rx_er,
rx_col => rx_col,
rx_crs => rx_crs,
mdio_i => mdio_i,
phyrstaddr => phyrstaddr,
mdint => mdint,
--ethernet output signals
reset => reset,
txd => txd,
tx_en => tx_en,
tx_er => tx_er,
mdc => mdc,
mdio_o => mdio_o,
mdio_oe => mdio_oe,
--scantest
testrst => testrst,
testen => testen,
testoen => testoen,
edcladdr => edcladdr,
edclsepahb => edclsepahb,
edcldisable => edcldisable,
speed => speed,
gbit => gbit);
-------------------------------------------------------------------------------
-- FIFOS ----------------------------------------------------------------------
-------------------------------------------------------------------------------
nft : if ft = 0 generate
tx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0)
port map(clk, txrenable, txraddress(fabits-1 downto 0), txrdata, clk,
txwrite, txwaddress(fabits-1 downto 0), txwdata);
rx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0)
port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk,
rxwrite, rxwaddress(fabits-1 downto 0), rxwdata);
end generate;
ft1 : if ft /= 0 generate
tx_fifo0 : syncram_2pft generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0, ft => ft)
port map(clk, txrenable, txraddress(fabits-1 downto 0), txrdata, clk,
txwrite, txwaddress(fabits-1 downto 0), txwdata);
rx_fifo0 : syncram_2pft generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0, ft => ft)
port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk,
rxwrite, rxwaddress(fabits-1 downto 0), rxwdata);
end generate;
-------------------------------------------------------------------------------
-- EDCL buffer ram ------------------------------------------------------------
-------------------------------------------------------------------------------
edclramnft : if (edcl /= 0) and (edclft = 0) generate
r0 : syncram_2p generic map (memtech, eabits, 16) port map (
clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk,
ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16));
r1 : syncram_2p generic map (memtech, eabits, 16) port map (
clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk,
ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0));
end generate;
edclramft1 : if (edcl /= 0) and (edclft /= 0) generate
r0 : syncram_2pft generic map (memtech, eabits, 16, 0, 0, edclft) port map (
clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk,
ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16));
r1 : syncram_2pft generic map (memtech, eabits, 16, 0, 0, edclft) port map (
clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk,
ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0));
end generate;
end architecture;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-altera-ep3c25-eek/altera_eek_clkgen.vhd | 1 | 4171 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library altera_mf;
use altera_mf.altpll;
library grlib;
use grlib.stdlib.all;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity altera_eek_clkgen is
generic (
clk0_mul : integer := 1;
clk0_div : integer := 1;
clk1_mul : integer := 1;
clk1_div : integer := 1;
clk_freq : integer := 25000);
port (
inclk0 : in std_ulogic;
clk0 : out std_ulogic;
clk0x3 : out std_ulogic;
clksel : in std_logic_vector(1 downto 0);
locked : out std_ulogic);
end;
architecture rtl of altera_eek_clkgen is
component altpll
generic (
intended_device_family : string := "CycloneIII" ;
operation_mode : string := "NORMAL" ;
compensate_clock : string := "clock0";
inclk0_input_frequency : positive;
width_clock : positive := 6;
clk0_multiply_by : positive := 1;
clk0_divide_by : positive := 1;
clk1_multiply_by : positive := 1;
clk1_divide_by : positive := 1;
clk2_multiply_by : positive := 1;
clk2_divide_by : positive := 1;
clk3_multiply_by : positive := 1;
clk3_divide_by : positive := 1
);
port (
inclk : in std_logic_vector(1 downto 0);
clkena : in std_logic_vector(5 downto 0);
clk : out std_logic_vector(width_clock-1 downto 0);
locked : out std_logic
);
end component;
signal clkena : std_logic_vector (5 downto 0);
signal clkout : std_logic_vector (4 downto 0);
signal inclk : std_logic_vector (1 downto 0);
constant clk_period : integer := 1000000000/clk_freq;
constant CLK0_MUL3X : integer := clk0_mul * 3;
constant CLK1_MUL3X : integer := clk1_mul * 3;
constant VERSION : integer := 1;
attribute syn_keep : boolean;
attribute syn_keep of clkout : signal is true;
begin
clkena(5 downto 4) <= (others => '0');
clkena(0) <= '1';
clkena(1) <= '1';
clkena(2) <= '1';
clkena(3) <= '1';
inclk <= '0' & inclk0;
clk_select: process (clkout, clksel)
begin -- process clk_select
case clksel is
when "00" => clk0 <= clkout(0); clk0x3 <= clkout(1);
when "01" => clk0 <= clkout(2); clk0x3 <= clkout(3);
when others => clk0 <= '0'; clk0x3 <= '0';
end case;
end process clk_select;
altpll0 : altpll
generic map (
intended_device_family => "Cyclone III",
operation_mode => "NO_COMPENSATION", inclk0_input_frequency => clk_period,
width_clock => 5, compensate_clock => "CLK1",
clk0_multiply_by => clk0_mul, clk0_divide_by => clk0_div,
clk1_multiply_by => CLK0_MUL3X, clk1_divide_by => clk0_div,
clk2_multiply_by => clk1_mul, clk2_divide_by => clk1_div,
clk3_multiply_by => CLK1_MUL3X, clk3_divide_by => clk1_div)
port map (clkena => clkena, inclk => inclk,
clk => clkout, locked => locked);
-- pragma translate_off
bootmsg : report_version
generic map (
"clkgen_cycloneiii" & ": altpll lcd/vga clock generator, version " & tost(VERSION)
);
-- pragma translate_on
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-altera-ep2s60-ddr/config.vhd | 1 | 5448 |
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := stratix2;
constant CFG_MEMTECH : integer := stratix2;
constant CFG_PADTECH : integer := stratix2;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := stratix2;
constant CFG_CLKMUL : integer := (8);
constant CFG_CLKDIV : integer := (5);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 16#32# + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 0;
constant CFG_SVT : integer := 1;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 0;
constant CFG_NWP : integer := (2);
constant CFG_PWD : integer := 1*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 4;
constant CFG_ISETSZ : integer := 8;
constant CFG_ILINE : integer := 8;
constant CFG_IREPL : integer := 0;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 4;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 0;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 1 + 0 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 1;
constant CFG_ITLBNUM : integer := 8;
constant CFG_DTLBNUM : integer := 8;
constant CFG_TLB_TYPE : integer := 0 + 1*2;
constant CFG_TLB_REP : integer := 0;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 2;
constant CFG_ATBSZ : integer := 2;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 0;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 1;
constant CFG_MCTRL_RAM16BIT : integer := 0;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 0;
constant CFG_MCTRL_SEPBUS : integer := 0;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 0 + 0;
-- DDR controller
constant CFG_DDRSP : integer := 1;
constant CFG_DDRSP_INIT : integer := 1;
constant CFG_DDRSP_FREQ : integer := (100);
constant CFG_DDRSP_COL : integer := (9);
constant CFG_DDRSP_SIZE : integer := (32);
constant CFG_DDRSP_RSKEW : integer := 0;
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 8;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#FFFF#;
constant CFG_GRGPIO_WIDTH : integer := (32);
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/designs/leon3-terasic-de0-nano/mt48lc16m16a2.vhd | 6 | 69329 |
--*****************************************************************************
--
-- Micron Semiconductor Products, Inc.
--
-- Copyright 1997, Micron Semiconductor Products, Inc.
-- All rights reserved.
--
--*****************************************************************************
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.ALL;
use std.textio.all;
PACKAGE mti_pkg IS
FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC;
FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER;
FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER;
FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER;
PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR);
END mti_pkg;
PACKAGE BODY mti_pkg IS
-- Convert BIT to STD_LOGIC
FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC IS
BEGIN
CASE s IS
WHEN '0' => RETURN ('0');
WHEN '1' => RETURN ('1');
WHEN OTHERS => RETURN ('0');
END CASE;
END;
-- Convert STD_LOGIC to INTEGER
FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS
VARIABLE result : INTEGER := 0;
VARIABLE weight : INTEGER := 1;
BEGIN
IF input = '1' THEN
result := weight;
ELSE
result := 0; -- if unknowns, default to logic 0
END IF;
RETURN result;
END TO_INTEGER;
-- Convert BIT_VECTOR to INTEGER
FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS
VARIABLE result : INTEGER := 0;
VARIABLE weight : INTEGER := 1;
BEGIN
FOR i IN input'LOW TO input'HIGH LOOP
IF input(i) = '1' THEN
result := result + weight;
ELSE
result := result + 0; -- if unknowns, default to logic 0
END IF;
weight := weight * 2;
END LOOP;
RETURN result;
END TO_INTEGER;
-- Convert STD_LOGIC_VECTOR to INTEGER
FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS
VARIABLE result : INTEGER := 0;
VARIABLE weight : INTEGER := 1;
BEGIN
FOR i IN input'LOW TO input'HIGH LOOP
IF input(i) = '1' THEN
result := result + weight;
ELSE
result := result + 0; -- if unknowns, default to logic 0
END IF;
weight := weight * 2;
END LOOP;
RETURN result;
END TO_INTEGER;
-- Conver INTEGER to BIT_VECTOR
PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS
VARIABLE work,offset,outputlen,j : INTEGER := 0;
BEGIN
--length of vector
IF output'LENGTH > 32 THEN --'
outputlen := 32;
offset := output'LENGTH - 32; --'
IF input >= 0 THEN
FOR i IN offset-1 DOWNTO 0 LOOP
output(output'HIGH - i) := '0'; --'
END LOOP;
ELSE
FOR i IN offset-1 DOWNTO 0 LOOP
output(output'HIGH - i) := '1'; --'
END LOOP;
END IF;
ELSE
outputlen := output'LENGTH; --'
END IF;
--positive value
IF (input >= 0) THEN
work := input;
j := outputlen - 1;
FOR i IN 1 to 32 LOOP
IF j >= 0 then
IF (work MOD 2) = 0 THEN
output(output'HIGH-j-offset) := '0'; --'
ELSE
output(output'HIGH-j-offset) := '1'; --'
END IF;
END IF;
work := work / 2;
j := j - 1;
END LOOP;
IF outputlen = 32 THEN
output(output'HIGH) := '0'; --'
END IF;
--negative value
ELSE
work := (-input) - 1;
j := outputlen - 1;
FOR i IN 1 TO 32 LOOP
IF j>= 0 THEN
IF (work MOD 2) = 0 THEN
output(output'HIGH-j-offset) := '1'; --'
ELSE
output(output'HIGH-j-offset) := '0'; --'
END IF;
END IF;
work := work / 2;
j := j - 1;
END LOOP;
IF outputlen = 32 THEN
output(output'HIGH) := '1'; --'
END IF;
END IF;
END TO_BITVECTOR;
END mti_pkg;
-----------------------------------------------------------------------------------------
--
-- File Name: MT48LC16M16A2.VHD
-- Version: 0.0g
-- Date: June 29th, 2000
-- Model: Behavioral
-- Simulator: Model Technology (PC version 5.3 PE)
--
-- Dependencies: None
--
-- Author: Son P. Huynh
-- Email: [email protected]
-- Phone: (208) 368-3825
-- Company: Micron Technology, Inc.
-- Part Number: MT48LC16M16A2 (4Mb x 16 x 4 Banks)
--
-- Description: Micron 256Mb SDRAM
--
-- Limitation: - Doesn't check for 4096-cycle refresh --'
--
-- Note: - Set simulator resolution to "ps" accuracy
--
-- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY
-- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY
-- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR
-- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT.
--
-- Copyright (c) 1998 Micron Semiconductor Products, Inc.
-- All rights researved
--
-- Rev Author Phone Date Changes
-- ---- ---------------------------- ---------- -------------------------------------
-- 0.0g Son Huynh 208-368-3825 06/29/2000 Add Load/Dump memory array
-- Micron Technology Inc. Modify tWR + tRAS timing check
--
-- 0.0f Son Huynh 208-368-3825 07/08/1999 Fix tWR = 1 Clk + 7.5 ns (Auto)
-- Micron Technology Inc. Fix tWR = 15 ns (Manual)
-- Fix tRP (Autoprecharge to AutoRefresh)
--
-- 0.0c Son P. Huynh 208-368-3825 04/08/1999 Fix tWR + tRP in Write with AP
-- Micron Technology Inc. Fix tRC check in Load Mode Register
--
-- 0.0b Son P. Huynh 208-368-3825 01/06/1998 Derive from 64Mb SDRAM model
-- Micron Technology Inc.
--
-----------------------------------------------------------------------------------------
LIBRARY STD;
USE STD.TEXTIO.ALL;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
LIBRARY WORK;
USE WORK.MTI_PKG.ALL;
use std.textio.all;
library grlib;
use grlib.stdlib.all;
use grlib.stdio.all;
ENTITY mt48lc16m16a2 IS
GENERIC (
-- Timing Parameters for -75 (PC133) and CAS Latency = 2
tAC : TIME := 6.0 ns;
tHZ : TIME := 7.0 ns;
tOH : TIME := 2.7 ns;
tMRD : INTEGER := 2; -- 2 Clk Cycles
tRAS : TIME := 44.0 ns;
tRC : TIME := 66.0 ns;
tRCD : TIME := 20.0 ns;
tRP : TIME := 20.0 ns;
tRRD : TIME := 15.0 ns;
tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns)
tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns)
tAH : TIME := 0.8 ns;
tAS : TIME := 1.5 ns;
tCH : TIME := 2.5 ns;
tCL : TIME := 2.5 ns;
tCK : TIME := 10.0 ns;
tDH : TIME := 0.8 ns;
tDS : TIME := 1.5 ns;
tCKH : TIME := 0.8 ns;
tCKS : TIME := 1.5 ns;
tCMH : TIME := 0.8 ns;
tCMS : TIME := 1.5 ns;
addr_bits : INTEGER := 13;
data_bits : INTEGER := 16;
col_bits : INTEGER := 9;
index : INTEGER := 0;
fname : string := "ram.srec" -- File to read from
);
PORT (
Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z');
Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
Ba : IN STD_LOGIC_VECTOR := "00";
Clk : IN STD_LOGIC := '0';
Cke : IN STD_LOGIC := '1';
Cs_n : IN STD_LOGIC := '1';
Ras_n : IN STD_LOGIC := '1';
Cas_n : IN STD_LOGIC := '1';
We_n : IN STD_LOGIC := '1';
Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"
);
END mt48lc16m16a2;
ARCHITECTURE behave OF mt48lc16m16a2 IS
TYPE State IS (ACT, A_REF, BST, LMR, NOP, PRECH, READ, READ_A, WRITE, WRITE_A, LOAD_FILE, DUMP_FILE);
TYPE Array4xI IS ARRAY (3 DOWNTO 0) OF INTEGER;
TYPE Array4xT IS ARRAY (3 DOWNTO 0) OF TIME;
TYPE Array4xB IS ARRAY (3 DOWNTO 0) OF BIT;
TYPE Array4x2BV IS ARRAY (3 DOWNTO 0) OF BIT_VECTOR (1 DOWNTO 0);
TYPE Array4xCBV IS ARRAY (4 DOWNTO 0) OF BIT_VECTOR (Col_bits - 1 DOWNTO 0);
TYPE Array_state IS ARRAY (4 DOWNTO 0) OF State;
SIGNAL Operation : State := NOP;
SIGNAL Mode_reg : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
SIGNAL Active_enable, Aref_enable, Burst_term : BIT := '0';
SIGNAL Mode_reg_enable, Prech_enable, Read_enable, Write_enable : BIT := '0';
SIGNAL Burst_length_1, Burst_length_2, Burst_length_4, Burst_length_8 : BIT := '0';
SIGNAL Cas_latency_2, Cas_latency_3 : BIT := '0';
SIGNAL Ras_in, Cas_in, We_in : BIT := '0';
SIGNAL Write_burst_mode : BIT := '0';
SIGNAL RAS_clk, Sys_clk, CkeZ : BIT := '0';
-- Checking internal wires
SIGNAL Pre_chk : BIT_VECTOR (3 DOWNTO 0) := "0000";
SIGNAL Act_chk : BIT_VECTOR (3 DOWNTO 0) := "0000";
SIGNAL Dq_in_chk, Dq_out_chk : BIT := '0';
SIGNAL Bank_chk : BIT_VECTOR (1 DOWNTO 0) := "00";
SIGNAL Row_chk : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
SIGNAL Col_chk : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0');
BEGIN
-- CS# Decode
WITH Cs_n SELECT
Cas_in <= TO_BIT (Cas_n, '1') WHEN '0',
'1' WHEN '1',
'1' WHEN OTHERS;
WITH Cs_n SELECT
Ras_in <= TO_BIT (Ras_n, '1') WHEN '0',
'1' WHEN '1',
'1' WHEN OTHERS;
WITH Cs_n SELECT
We_in <= TO_BIT (We_n, '1') WHEN '0',
'1' WHEN '1',
'1' WHEN OTHERS;
-- Commands Decode
Active_enable <= NOT(Ras_in) AND Cas_in AND We_in;
Aref_enable <= NOT(Ras_in) AND NOT(Cas_in) AND We_in;
Burst_term <= Ras_in AND Cas_in AND NOT(We_in);
Mode_reg_enable <= NOT(Ras_in) AND NOT(Cas_in) AND NOT(We_in);
Prech_enable <= NOT(Ras_in) AND Cas_in AND NOT(We_in);
Read_enable <= Ras_in AND NOT(Cas_in) AND We_in;
Write_enable <= Ras_in AND NOT(Cas_in) AND NOT(We_in);
-- Burst Length Decode
Burst_length_1 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND NOT(Mode_reg(0));
Burst_length_2 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND Mode_reg(0);
Burst_length_4 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND NOT(Mode_reg(0));
Burst_length_8 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND Mode_reg(0);
-- CAS Latency Decode
Cas_latency_2 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND NOT(Mode_reg(4));
Cas_latency_3 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND Mode_reg(4);
-- Write Burst Mode
Write_burst_mode <= Mode_reg(9);
-- RAS Clock for checking tWR and tRP
PROCESS
variable Clk0, Clk1 : integer := 0;
begin
RAS_clk <= '1';
wait for 0.5 ns;
RAS_clk <= '0';
wait for 0.5 ns;
if Clk0 > 100 or Clk1 > 100 then
wait;
else
if Clk = '1' and Cke = '1' then
Clk0 := 0;
Clk1 := Clk1 + 1;
elsif Clk = '0' and Cke = '1' then
Clk0 := Clk0 + 1;
Clk1 := 0;
end if;
end if;
END PROCESS;
-- System Clock
int_clk : PROCESS (Clk)
begin
IF Clk'LAST_VALUE = '0' AND Clk = '1' THEN --'
CkeZ <= TO_BIT(Cke, '1');
END IF;
Sys_clk <= CkeZ AND TO_BIT(Clk, '0');
END PROCESS;
state_register : PROCESS
-- NOTE: The extra bits in RAM_TYPE is for checking memory access. A logic 1 means
-- the location is in use. This will be checked when doing memory DUMP.
TYPE ram_type IS ARRAY (2**col_bits - 1 DOWNTO 0) OF BIT_VECTOR (data_bits DOWNTO 0);
TYPE ram_pntr IS ACCESS ram_type;
TYPE ram_stor IS ARRAY (2**addr_bits - 1 DOWNTO 0) OF ram_pntr;
VARIABLE Bank0 : ram_stor;
VARIABLE Bank1 : ram_stor;
VARIABLE Bank2 : ram_stor;
VARIABLE Bank3 : ram_stor;
VARIABLE Row_index, Col_index : INTEGER := 0;
VARIABLE Dq_temp : BIT_VECTOR (data_bits DOWNTO 0) := (OTHERS => '0');
VARIABLE Col_addr : Array4xCBV;
VARIABLE Bank_addr : Array4x2BV;
VARIABLE Dqm_reg0, Dqm_reg1 : BIT_VECTOR (1 DOWNTO 0) := "00";
VARIABLE Bank, Previous_bank : BIT_VECTOR (1 DOWNTO 0) := "00";
VARIABLE B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
VARIABLE Col_brst : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0');
VARIABLE Row : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0');
VARIABLE Col : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0');
VARIABLE Burst_counter : INTEGER := 0;
VARIABLE Command : Array_state;
VARIABLE Bank_precharge : Array4x2BV;
VARIABLE A10_precharge : Array4xB := ('0' & '0' & '0' & '0');
VARIABLE Auto_precharge : Array4xB := ('0' & '0' & '0' & '0');
VARIABLE Read_precharge : Array4xB := ('0' & '0' & '0' & '0');
VARIABLE Write_precharge : Array4xB := ('0' & '0' & '0' & '0');
VARIABLE RW_interrupt_read : Array4xB := ('0' & '0' & '0' & '0');
VARIABLE RW_interrupt_write : Array4xB := ('0' & '0' & '0' & '0');
VARIABLE RW_interrupt_bank : BIT_VECTOR (1 DOWNTO 0) := "00";
VARIABLE Count_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns);
VARIABLE Count_precharge : Array4xI := (0 & 0 & 0 & 0);
VARIABLE Data_in_enable, Data_out_enable : BIT := '0';
VARIABLE Pc_b0, Pc_b1, Pc_b2, Pc_b3 : BIT := '0';
VARIABLE Act_b0, Act_b1, Act_b2, Act_b3 : BIT := '0';
-- Timing Check
VARIABLE MRD_chk : INTEGER := 0;
VARIABLE WR_counter : Array4xI := (0 & 0 & 0 & 0);
VARIABLE WR_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns);
VARIABLE WR_chkp : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns);
VARIABLE RC_chk, RRD_chk : TIME := 0 ns;
VARIABLE RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3 : TIME := 0 ns;
VARIABLE RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3 : TIME := 0 ns;
VARIABLE RP_chk0, RP_chk1, RP_chk2, RP_chk3 : TIME := 0 ns;
-- Load and Dumb variables
FILE file_load : TEXT open read_mode is fname; -- Data load
FILE file_dump : TEXT open write_mode is "dumpdata.txt"; -- Data dump
VARIABLE bank_load : bit_vector ( 1 DOWNTO 0);
VARIABLE rows_load : BIT_VECTOR (12 DOWNTO 0);
VARIABLE cols_load : BIT_VECTOR ( 8 DOWNTO 0);
VARIABLE data_load : BIT_VECTOR (15 DOWNTO 0);
VARIABLE i, j : INTEGER;
VARIABLE good_load : BOOLEAN;
VARIABLE l : LINE;
variable load : std_logic := '1';
variable dump : std_logic := '0';
variable ch : character;
variable rectype : bit_vector(3 downto 0);
variable recaddr : bit_vector(31 downto 0);
variable reclen : bit_vector(7 downto 0);
variable recdata : bit_vector(0 to 16*8-1);
-- Initialize empty rows
PROCEDURE Init_mem (Bank : bit_vector (1 DOWNTO 0); Row_index : INTEGER) IS
VARIABLE i, j : INTEGER := 0;
BEGIN
IF Bank = "00" THEN
IF Bank0 (Row_index) = NULL THEN -- Check to see if row empty
Bank0 (Row_index) := NEW ram_type; -- Open new row for access
FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP -- Filled row with zeros
FOR j IN (data_bits) DOWNTO 0 LOOP
Bank0 (Row_index) (i) (j) := '0';
END LOOP;
END LOOP;
END IF;
ELSIF Bank = "01" THEN
IF Bank1 (Row_index) = NULL THEN
Bank1 (Row_index) := NEW ram_type;
FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP
FOR j IN (data_bits) DOWNTO 0 LOOP
Bank1 (Row_index) (i) (j) := '0';
END LOOP;
END LOOP;
END IF;
ELSIF Bank = "10" THEN
IF Bank2 (Row_index) = NULL THEN
Bank2 (Row_index) := NEW ram_type;
FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP
FOR j IN (data_bits) DOWNTO 0 LOOP
Bank2 (Row_index) (i) (j) := '0';
END LOOP;
END LOOP;
END IF;
ELSIF Bank = "11" THEN
IF Bank3 (Row_index) = NULL THEN
Bank3 (Row_index) := NEW ram_type;
FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP
FOR j IN (data_bits) DOWNTO 0 LOOP
Bank3 (Row_index) (i) (j) := '0';
END LOOP;
END LOOP;
END IF;
END IF;
END;
-- Burst Counter
PROCEDURE Burst_decode IS
VARIABLE Col_int : INTEGER := 0;
VARIABLE Col_vec, Col_temp : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0');
BEGIN
-- Advance Burst Counter
Burst_counter := Burst_counter + 1;
-- Burst Type
IF Mode_reg (3) = '0' THEN
Col_int := TO_INTEGER(Col);
Col_int := Col_int + 1;
TO_BITVECTOR (Col_int, Col_temp);
ELSIF Mode_reg (3) = '1' THEN
TO_BITVECTOR (Burst_counter, Col_vec);
Col_temp (2) := Col_vec (2) XOR Col_brst (2);
Col_temp (1) := Col_vec (1) XOR Col_brst (1);
Col_temp (0) := Col_vec (0) XOR Col_brst (0);
END IF;
-- Burst Length
IF Burst_length_2 = '1' THEN
Col (0) := Col_temp (0);
ELSIF Burst_length_4 = '1' THEN
Col (1 DOWNTO 0) := Col_temp (1 DOWNTO 0);
ELSIF Burst_length_8 = '1' THEN
Col (2 DOWNTO 0) := Col_temp (2 DOWNTO 0);
ELSE
Col := Col_temp;
END IF;
-- Burst Read Single Write
IF Write_burst_mode = '1' AND Data_in_enable = '1' THEN
Data_in_enable := '0';
END IF;
-- Data counter
IF Burst_length_1 = '1' THEN
IF Burst_counter >= 1 THEN
IF Data_in_enable = '1' THEN
Data_in_enable := '0';
ELSIF Data_out_enable = '1' THEN
Data_out_enable := '0';
END IF;
END IF;
ELSIF Burst_length_2 = '1' THEN
IF Burst_counter >= 2 THEN
IF Data_in_enable = '1' THEN
Data_in_enable := '0';
ELSIF Data_out_enable = '1' THEN
Data_out_enable := '0';
END IF;
END IF;
ELSIF Burst_length_4 = '1' THEN
IF Burst_counter >= 4 THEN
IF Data_in_enable = '1' THEN
Data_in_enable := '0';
ELSIF Data_out_enable = '1' THEN
Data_out_enable := '0';
END IF;
END IF;
ELSIF Burst_length_8 = '1' THEN
IF Burst_counter >= 8 THEN
IF Data_in_enable = '1' THEN
Data_in_enable := '0';
ELSIF Data_out_enable = '1' THEN
Data_out_enable := '0';
END IF;
END IF;
END IF;
END;
BEGIN
WAIT ON Sys_clk, RAS_clk;
IF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '0' THEN --'
-- Internal Command Pipeline
Command(0) := Command(1);
Command(1) := Command(2);
Command(2) := Command(3);
Command(3) := NOP;
Col_addr(0) := Col_addr(1);
Col_addr(1) := Col_addr(2);
Col_addr(2) := Col_addr(3);
Col_addr(3) := (OTHERS => '0');
Bank_addr(0) := Bank_addr(1);
Bank_addr(1) := Bank_addr(2);
Bank_addr(2) := Bank_addr(3);
Bank_addr(3) := "00";
Bank_precharge(0) := Bank_precharge(1);
Bank_precharge(1) := Bank_precharge(2);
Bank_precharge(2) := Bank_precharge(3);
Bank_precharge(3) := "00";
A10_precharge(0) := A10_precharge(1);
A10_precharge(1) := A10_precharge(2);
A10_precharge(2) := A10_precharge(3);
A10_precharge(3) := '0';
-- Operation Decode (Optional for showing current command on posedge clock / debug feature)
IF Active_enable = '1' THEN
Operation <= ACT;
ELSIF Aref_enable = '1' THEN
Operation <= A_REF;
ELSIF Burst_term = '1' THEN
Operation <= BST;
ELSIF Mode_reg_enable = '1' THEN
Operation <= LMR;
ELSIF Prech_enable = '1' THEN
Operation <= PRECH;
ELSIF Read_enable = '1' THEN
IF Addr(10) = '0' THEN
Operation <= READ;
ELSE
Operation <= READ_A;
END IF;
ELSIF Write_enable = '1' THEN
IF Addr(10) = '0' THEN
Operation <= WRITE;
ELSE
Operation <= WRITE_A;
END IF;
ELSE
Operation <= NOP;
END IF;
-- Dqm pipeline for Read
Dqm_reg0 := Dqm_reg1;
Dqm_reg1 := TO_BITVECTOR(Dqm);
-- Read or Write with Auto Precharge Counter
IF Auto_precharge (0) = '1' THEN
Count_precharge (0) := Count_precharge (0) + 1;
END IF;
IF Auto_precharge (1) = '1' THEN
Count_precharge (1) := Count_precharge (1) + 1;
END IF;
IF Auto_precharge (2) = '1' THEN
Count_precharge (2) := Count_precharge (2) + 1;
END IF;
IF Auto_precharge (3) = '1' THEN
Count_precharge (3) := Count_precharge (3) + 1;
END IF;
-- Auto Precharge Timer for tWR
if (Burst_length_1 = '1' OR Write_burst_mode = '1') then
if (Count_precharge(0) = 1) then
Count_time(0) := NOW;
end if;
if (Count_precharge(1) = 1) then
Count_time(1) := NOW;
end if;
if (Count_precharge(2) = 1) then
Count_time(2) := NOW;
end if;
if (Count_precharge(3) = 1) then
Count_time(3) := NOW;
end if;
elsif (Burst_length_2 = '1') then
if (Count_precharge(0) = 2) then
Count_time(0) := NOW;
end if;
if (Count_precharge(1) = 2) then
Count_time(1) := NOW;
end if;
if (Count_precharge(2) = 2) then
Count_time(2) := NOW;
end if;
if (Count_precharge(3) = 2) then
Count_time(3) := NOW;
end if;
elsif (Burst_length_4 = '1') then
if (Count_precharge(0) = 4) then
Count_time(0) := NOW;
end if;
if (Count_precharge(1) = 4) then
Count_time(1) := NOW;
end if;
if (Count_precharge(2) = 4) then
Count_time(2) := NOW;
end if;
if (Count_precharge(3) = 4) then
Count_time(3) := NOW;
end if;
elsif (Burst_length_8 = '1') then
if (Count_precharge(0) = 8) then
Count_time(0) := NOW;
end if;
if (Count_precharge(1) = 8) then
Count_time(1) := NOW;
end if;
if (Count_precharge(2) = 8) then
Count_time(2) := NOW;
end if;
if (Count_precharge(3) = 8) then
Count_time(3) := NOW;
end if;
end if;
-- tMRD Counter
MRD_chk := MRD_chk + 1;
-- tWR Counter
WR_counter(0) := WR_counter(0) + 1;
WR_counter(1) := WR_counter(1) + 1;
WR_counter(2) := WR_counter(2) + 1;
WR_counter(3) := WR_counter(3) + 1;
-- Auto Refresh
IF Aref_enable = '1' THEN
-- Auto Refresh to Auto Refresh
ASSERT (NOW - RC_chk >= tRC)
REPORT "tRC violation during Auto Refresh"
SEVERITY WARNING;
-- Precharge to Auto Refresh
ASSERT (NOW - RP_chk0 >= tRP OR NOW - RP_chk1 >= tRP OR NOW - RP_chk2 >= tRP OR NOW - RP_chk3 >= tRP)
REPORT "tRP violation during Auto Refresh"
SEVERITY WARNING;
-- All banks must be idle before refresh
IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN
ASSERT (FALSE)
REPORT "All banks must be Precharge before Auto Refresh"
SEVERITY WARNING;
END IF;
-- Record current tRC time
RC_chk := NOW;
END IF;
-- Load Mode Register
IF Mode_reg_enable = '1' THEN
Mode_reg <= TO_BITVECTOR (Addr);
IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN
ASSERT (FALSE)
REPORT "All bank must be Precharge before Load Mode Register"
SEVERITY WARNING;
END IF;
-- REF to LMR
ASSERT (NOW - RC_chk >= tRC)
REPORT "tRC violation during Load Mode Register"
SEVERITY WARNING;
-- LMR to LMR
ASSERT (MRD_chk >= tMRD)
REPORT "tMRD violation during Load Mode Register"
SEVERITY WARNING;
-- Record current tMRD time
MRD_chk := 0;
END IF;
-- Active Block (latch Bank and Row Address)
IF Active_enable = '1' THEN
IF Ba = "00" AND Pc_b0 = '1' THEN
Act_b0 := '1';
Pc_b0 := '0';
B0_row_addr := TO_BITVECTOR (Addr);
RCD_chk0 := NOW;
RAS_chk0 := NOW;
-- Precharge to Active Bank 0
ASSERT (NOW - RP_chk0 >= tRP)
REPORT "tRP violation during Activate Bank 0"
SEVERITY WARNING;
ELSIF Ba = "01" AND Pc_b1 = '1' THEN
Act_b1 := '1';
Pc_b1 := '0';
B1_row_addr := TO_BITVECTOR (Addr);
RCD_chk1 := NOW;
RAS_chk1 := NOW;
-- Precharge to Active Bank 1
ASSERT (NOW - RP_chk1 >= tRP)
REPORT "tRP violation during Activate Bank 1"
SEVERITY WARNING;
ELSIF Ba = "10" AND Pc_b2 = '1' THEN
Act_b2 := '1';
Pc_b2 := '0';
B2_row_addr := TO_BITVECTOR (Addr);
RCD_chk2 := NOW;
RAS_chk2 := NOW;
-- Precharge to Active Bank 2
ASSERT (NOW - RP_chk2 >= tRP)
REPORT "tRP violation during Activate Bank 2"
SEVERITY WARNING;
ELSIF Ba = "11" AND Pc_b3 = '1' THEN
Act_b3 := '1';
Pc_b3 := '0';
B3_row_addr := TO_BITVECTOR (Addr);
RCD_chk3 := NOW;
RAS_chk3 := NOW;
-- Precharge to Active Bank 3
ASSERT (NOW - RP_chk3 >= tRP)
REPORT "tRP violation during Activate Bank 3"
SEVERITY WARNING;
ELSIF Ba = "00" AND Pc_b0 = '0' THEN
ASSERT (FALSE)
REPORT "Bank 0 is not Precharged"
SEVERITY WARNING;
ELSIF Ba = "01" AND Pc_b1 = '0' THEN
ASSERT (FALSE)
REPORT "Bank 1 is not Precharged"
SEVERITY WARNING;
ELSIF Ba = "10" AND Pc_b2 = '0' THEN
ASSERT (FALSE)
REPORT "Bank 2 is not Precharged"
SEVERITY WARNING;
ELSIF Ba = "11" AND Pc_b3 = '0' THEN
ASSERT (FALSE)
REPORT "Bank 3 is not Precharged"
SEVERITY WARNING;
END IF;
-- Active Bank A to Active Bank B
IF ((Previous_bank /= TO_BITVECTOR (Ba)) AND (NOW - RRD_chk < tRRD)) THEN
ASSERT (FALSE)
REPORT "tRRD violation during Activate"
SEVERITY WARNING;
END IF;
-- LMR to ACT
ASSERT (MRD_chk >= tMRD)
REPORT "tMRD violation during Activate"
SEVERITY WARNING;
-- AutoRefresh to Activate
ASSERT (NOW - RC_chk >= tRC)
REPORT "tRC violation during Activate"
SEVERITY WARNING;
-- Record variable for checking violation
RRD_chk := NOW;
Previous_bank := TO_BITVECTOR (Ba);
END IF;
-- Precharge Block
IF Prech_enable = '1' THEN
IF Addr(10) = '1' THEN
Pc_b0 := '1';
Pc_b1 := '1';
Pc_b2 := '1';
Pc_b3 := '1';
Act_b0 := '0';
Act_b1 := '0';
Act_b2 := '0';
Act_b3 := '0';
RP_chk0 := NOW;
RP_chk1 := NOW;
RP_chk2 := NOW;
RP_chk3 := NOW;
-- Activate to Precharge all banks
ASSERT ((NOW - RAS_chk0 >= tRAS) OR (NOW - RAS_chk1 >= tRAS))
REPORT "tRAS violation during Precharge all banks"
SEVERITY WARNING;
-- tWR violation check for Write
IF ((NOW - WR_chkp(0) < tWRp) OR (NOW - WR_chkp(1) < tWRp) OR
(NOW - WR_chkp(2) < tWRp) OR (NOW - WR_chkp(3) < tWRp)) THEN
ASSERT (FALSE)
REPORT "tWR violation during Precharge ALL banks"
SEVERITY WARNING;
END IF;
ELSIF Addr(10) = '0' THEN
IF Ba = "00" THEN
Pc_b0 := '1';
Act_b0 := '0';
RP_chk0 := NOW;
-- Activate to Precharge bank 0
ASSERT (NOW - RAS_chk0 >= tRAS)
REPORT "tRAS violation during Precharge bank 0"
SEVERITY WARNING;
ELSIF Ba = "01" THEN
Pc_b1 := '1';
Act_b1 := '0';
RP_chk1 := NOW;
-- Activate to Precharge bank 1
ASSERT (NOW - RAS_chk1 >= tRAS)
REPORT "tRAS violation during Precharge bank 1"
SEVERITY WARNING;
ELSIF Ba = "10" THEN
Pc_b2 := '1';
Act_b2 := '0';
RP_chk2 := NOW;
-- Activate to Precharge bank 2
ASSERT (NOW - RAS_chk2 >= tRAS)
REPORT "tRAS violation during Precharge bank 2"
SEVERITY WARNING;
ELSIF Ba = "11" THEN
Pc_b3 := '1';
Act_b3 := '0';
RP_chk3 := NOW;
-- Activate to Precharge bank 3
ASSERT (NOW - RAS_chk3 >= tRAS)
REPORT "tRAS violation during Precharge bank 3"
SEVERITY WARNING;
END IF;
-- tWR violation check for Write
ASSERT (NOW - WR_chkp(TO_INTEGER(Ba)) >= tWRp)
REPORT "tWR violation during Precharge"
SEVERITY WARNING;
END IF;
-- Terminate a Write Immediately (if same bank or all banks)
IF (Data_in_enable = '1' AND (Bank = TO_BITVECTOR(Ba) OR Addr(10) = '1')) THEN
Data_in_enable := '0';
END IF;
-- Precharge Command Pipeline for READ
IF CAS_latency_3 = '1' THEN
Command(2) := PRECH;
Bank_precharge(2) := TO_BITVECTOR (Ba);
A10_precharge(2) := TO_BIT(Addr(10));
ELSIF CAS_latency_2 = '1' THEN
Command(1) := PRECH;
Bank_precharge(1) := TO_BITVECTOR (Ba);
A10_precharge(1) := TO_BIT(Addr(10));
END IF;
END IF;
-- Burst Terminate
IF Burst_term = '1' THEN
-- Terminate a Write immediately
IF Data_in_enable = '1' THEN
Data_in_enable := '0';
END IF;
-- Terminate a Read depend on CAS Latency
IF CAS_latency_3 = '1' THEN
Command(2) := BST;
ELSIF CAS_latency_2 = '1' THEN
Command(1) := BST;
END IF;
END IF;
-- Read, Write, Column Latch
IF Read_enable = '1' OR Write_enable = '1' THEN
-- Check to see if bank is open (ACT) for Read or Write
IF ((Ba="00" AND Pc_b0='1') OR (Ba="01" AND Pc_b1='1') OR (Ba="10" AND Pc_b2='1') OR (Ba="11" AND Pc_b3='1')) THEN
ASSERT (FALSE)
REPORT "Cannot Read or Write - Bank is not Activated"
SEVERITY WARNING;
END IF;
-- Activate to Read or Write
IF Ba = "00" THEN
ASSERT (NOW - RCD_chk0 >= tRCD)
REPORT "tRCD violation during Read or Write to Bank 0"
SEVERITY WARNING;
ELSIF Ba = "01" THEN
ASSERT (NOW - RCD_chk1 >= tRCD)
REPORT "tRCD violation during Read or Write to Bank 1"
SEVERITY WARNING;
ELSIF Ba = "10" THEN
ASSERT (NOW - RCD_chk2 >= tRCD)
REPORT "tRCD violation during Read or Write to Bank 2"
SEVERITY WARNING;
ELSIF Ba = "11" THEN
ASSERT (NOW - RCD_chk3 >= tRCD)
REPORT "tRCD violation during Read or Write to Bank 3"
SEVERITY WARNING;
END IF;
-- Read Command
IF Read_enable = '1' THEN
-- CAS Latency Pipeline
IF Cas_latency_3 = '1' THEN
IF Addr(10) = '1' THEN
Command(2) := READ_A;
ELSE
Command(2) := READ;
END IF;
Col_addr (2) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0));
Bank_addr (2) := TO_BITVECTOR (Ba);
ELSIF Cas_latency_2 = '1' THEN
IF Addr(10) = '1' THEN
Command(1) := READ_A;
ELSE
Command(1) := READ;
END IF;
Col_addr (1) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0));
Bank_addr (1) := TO_BITVECTOR (Ba);
END IF;
-- Read intterupt a Write (terminate Write immediately)
IF Data_in_enable = '1' THEN
Data_in_enable := '0';
END IF;
-- Write Command
ELSIF Write_enable = '1' THEN
IF Addr(10) = '1' THEN
Command(0) := WRITE_A;
ELSE
Command(0) := WRITE;
END IF;
Col_addr (0) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0));
Bank_addr (0) := TO_BITVECTOR (Ba);
-- Write intterupt a Write (terminate Write immediately)
IF Data_in_enable = '1' THEN
Data_in_enable := '0';
END IF;
-- Write interrupt a Read (terminate Read immediately)
IF Data_out_enable = '1' THEN
Data_out_enable := '0';
END IF;
END IF;
-- Interrupt a Write with Auto Precharge
IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Write_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN
RW_interrupt_write(TO_INTEGER(RW_Interrupt_Bank)) := '1';
END IF;
-- Interrupt a Read with Auto Precharge
IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Read_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN
RW_interrupt_read(TO_INTEGER(RW_Interrupt_Bank)) := '1';
END IF;
-- Read or Write with Auto Precharge
IF Addr(10) = '1' THEN
Auto_precharge (TO_INTEGER(Ba)) := '1';
Count_precharge (TO_INTEGER(Ba)) := 0;
RW_Interrupt_Bank := TO_BitVector(Ba);
IF Read_enable = '1' THEN
Read_precharge (TO_INTEGER(Ba)) := '1';
ELSIF Write_enable = '1' THEN
Write_precharge (TO_INTEGER(Ba)) := '1';
END IF;
END IF;
END IF;
-- Read with AutoPrecharge Calculation
-- The device start internal precharge when:
-- 1. BL/2 cycles after command
-- and 2. Meet tRAS requirement
-- or 3. Interrupt by a Read or Write (with or without Auto Precharge)
IF ((Auto_precharge(0) = '1') AND (Read_precharge(0) = '1')) THEN
IF (((NOW - RAS_chk0 >= tRAS) AND
((Burst_length_1 = '1' AND Count_precharge(0) >= 1) OR
(Burst_length_2 = '1' AND Count_precharge(0) >= 2) OR
(Burst_length_4 = '1' AND Count_precharge(0) >= 4) OR
(Burst_length_8 = '1' AND Count_precharge(0) >= 8))) OR
(RW_interrupt_read(0) = '1')) THEN
Pc_b0 := '1';
Act_b0 := '0';
RP_chk0 := NOW;
Auto_precharge(0) := '0';
Read_precharge(0) := '0';
RW_interrupt_read(0) := '0';
END IF;
END IF;
IF ((Auto_precharge(1) = '1') AND (Read_precharge(1) = '1')) THEN
IF (((NOW - RAS_chk1 >= tRAS) AND
((Burst_length_1 = '1' AND Count_precharge(1) >= 1) OR
(Burst_length_2 = '1' AND Count_precharge(1) >= 2) OR
(Burst_length_4 = '1' AND Count_precharge(1) >= 4) OR
(Burst_length_8 = '1' AND Count_precharge(1) >= 8))) OR
(RW_interrupt_read(1) = '1')) THEN
Pc_b1 := '1';
Act_b1 := '0';
RP_chk1 := NOW;
Auto_precharge(1) := '0';
Read_precharge(1) := '0';
RW_interrupt_read(1) := '0';
END IF;
END IF;
IF ((Auto_precharge(2) = '1') AND (Read_precharge(2) = '1')) THEN
IF (((NOW - RAS_chk2 >= tRAS) AND
((Burst_length_1 = '1' AND Count_precharge(2) >= 1) OR
(Burst_length_2 = '1' AND Count_precharge(2) >= 2) OR
(Burst_length_4 = '1' AND Count_precharge(2) >= 4) OR
(Burst_length_8 = '1' AND Count_precharge(2) >= 8))) OR
(RW_interrupt_read(2) = '1')) THEN
Pc_b2 := '1';
Act_b2 := '0';
RP_chk2 := NOW;
Auto_precharge(2) := '0';
Read_precharge(2) := '0';
RW_interrupt_read(2) := '0';
END IF;
END IF;
IF ((Auto_precharge(3) = '1') AND (Read_precharge(3) = '1')) THEN
IF (((NOW - RAS_chk3 >= tRAS) AND
((Burst_length_1 = '1' AND Count_precharge(3) >= 1) OR
(Burst_length_2 = '1' AND Count_precharge(3) >= 2) OR
(Burst_length_4 = '1' AND Count_precharge(3) >= 4) OR
(Burst_length_8 = '1' AND Count_precharge(3) >= 8))) OR
(RW_interrupt_read(3) = '1')) THEN
Pc_b3 := '1';
Act_b3 := '0';
RP_chk3 := NOW;
Auto_precharge(3) := '0';
Read_precharge(3) := '0';
RW_interrupt_read(3) := '0';
END IF;
END IF;
-- Internal Precharge or Bst
IF Command(0) = PRECH THEN -- PRECH terminate a read if same bank or all banks
IF Bank_precharge(0) = Bank OR A10_precharge(0) = '1' THEN
IF Data_out_enable = '1' THEN
Data_out_enable := '0';
END IF;
END IF;
ELSIF Command(0) = BST THEN -- BST terminate a read regardless of bank
IF Data_out_enable = '1' THEN
Data_out_enable := '0';
END IF;
END IF;
IF Data_out_enable = '0' THEN
Dq <= TRANSPORT (OTHERS => 'Z') AFTER tOH;
END IF;
-- Detect Read or Write Command
IF Command(0) = READ OR Command(0) = READ_A THEN
Bank := Bank_addr (0);
Col := Col_addr (0);
Col_brst := Col_addr (0);
IF Bank_addr (0) = "00" THEN
Row := B0_row_addr;
ELSIF Bank_addr (0) = "01" THEN
Row := B1_row_addr;
ELSIF Bank_addr (0) = "10" THEN
Row := B2_row_addr;
ELSE
Row := B3_row_addr;
END IF;
Burst_counter := 0;
Data_in_enable := '0';
Data_out_enable := '1';
ELSIF Command(0) = WRITE OR Command(0) = WRITE_A THEN
Bank := Bank_addr(0);
Col := Col_addr(0);
Col_brst := Col_addr(0);
IF Bank_addr (0) = "00" THEN
Row := B0_row_addr;
ELSIF Bank_addr (0) = "01" THEN
Row := B1_row_addr;
ELSIF Bank_addr (0) = "10" THEN
Row := B2_row_addr;
ELSE
Row := B3_row_addr;
END IF;
Burst_counter := 0;
Data_in_enable := '1';
Data_out_enable := '0';
END IF;
-- DQ (Driver / Receiver)
Row_index := TO_INTEGER (Row);
Col_index := TO_INTEGER (Col);
IF Data_in_enable = '1' THEN
IF Dqm /= "11" THEN
Init_mem (Bank, Row_index);
IF Bank = "00" THEN
Dq_temp := Bank0 (Row_index) (Col_index);
IF Dqm = "01" THEN
Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8));
ELSIF Dqm = "10" THEN
Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0));
ELSE
Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0));
END IF;
Bank0 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0));
ELSIF Bank = "01" THEN
Dq_temp := Bank1 (Row_index) (Col_index);
IF Dqm = "01" THEN
Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8));
ELSIF Dqm = "10" THEN
Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0));
ELSE
Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0));
END IF;
Bank1 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0));
ELSIF Bank = "10" THEN
Dq_temp := Bank2 (Row_index) (Col_index);
IF Dqm = "01" THEN
Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8));
ELSIF Dqm = "10" THEN
Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0));
ELSE
Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0));
END IF;
Bank2 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0));
ELSIF Bank = "11" THEN
Dq_temp := Bank3 (Row_index) (Col_index);
IF Dqm = "01" THEN
Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8));
ELSIF Dqm = "10" THEN
Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0));
ELSE
Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0));
END IF;
Bank3 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0));
END IF;
WR_chkp(TO_INTEGER(Bank)) := NOW;
WR_counter(TO_INTEGER(Bank)) := 0;
END IF;
Burst_decode;
ELSIF Data_out_enable = '1' THEN
IF Dqm_reg0 /= "11" THEN
Init_mem (Bank, Row_index);
IF Bank = "00" THEN
Dq_temp := Bank0 (Row_index) (Col_index);
IF Dqm_reg0 = "00" THEN
Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC;
ELSIF Dqm_reg0 = "01" THEN
Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
ELSIF Dqm_reg0 = "10" THEN
Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC;
END IF;
ELSIF Bank = "01" THEN
Dq_temp := Bank1 (Row_index) (Col_index);
IF Dqm_reg0 = "00" THEN
Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC;
ELSIF Dqm_reg0 = "01" THEN
Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
ELSIF Dqm_reg0 = "10" THEN
Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC;
END IF;
ELSIF Bank = "10" THEN
Dq_temp := Bank2 (Row_index) (Col_index);
IF Dqm_reg0 = "00" THEN
Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC;
ELSIF Dqm_reg0 = "01" THEN
Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
ELSIF Dqm_reg0 = "10" THEN
Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC;
END IF;
ELSIF Bank = "11" THEN
Dq_temp := Bank3 (Row_index) (Col_index);
IF Dqm_reg0 = "00" THEN
Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC;
ELSIF Dqm_reg0 = "01" THEN
Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
ELSIF Dqm_reg0 = "10" THEN
Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC;
Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC;
END IF;
END IF;
ELSE
Dq <= TRANSPORT (OTHERS => 'Z') AFTER tHZ;
END IF;
Burst_decode;
END IF;
ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '1' AND Dump = '0' THEN --'
Operation <= LOAD_FILE;
load := '0';
-- ASSERT (FALSE) REPORT "Reading memory array from file. This operation may take several minutes. Please wait..."
-- SEVERITY NOTE;
WHILE NOT endfile(file_load) LOOP
readline(file_load, l);
read(l, ch);
if (ch /= 'S') or (ch /= 's') then
hread(l, rectype);
hread(l, reclen);
recaddr := (others => '0');
case rectype is
when "0001" =>
hread(l, recaddr(15 downto 0));
when "0010" =>
hread(l, recaddr(23 downto 0));
when "0011" =>
hread(l, recaddr);
recaddr(31 downto 24) := (others => '0');
when others => next;
end case;
hread(l, recdata);
if index < 32 then
Bank_Load := recaddr(25 downto 24);
Rows_Load := recaddr(23 downto 11);
Cols_Load := recaddr(10 downto 2);
Init_Mem (Bank_Load, To_Integer(Rows_Load));
IF Bank_Load = "00" THEN
for i in 0 to 3 loop
Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15));
end loop;
ELSIF Bank_Load = "01" THEN
for i in 0 to 3 loop
Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15));
end loop;
ELSIF Bank_Load = "10" THEN
for i in 0 to 3 loop
Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15));
end loop;
ELSIF Bank_Load = "11" THEN
for i in 0 to 3 loop
Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15));
end loop;
END IF;
elsif(index < 1024) then
Bank_Load := recaddr(26 downto 25);
Rows_Load := recaddr(24 downto 12);
Cols_Load := recaddr(11 downto 3);
Init_Mem (Bank_Load, To_Integer(Rows_Load));
IF Bank_Load = "00" THEN
for i in 0 to 1 loop
Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15));
end loop;
ELSIF Bank_Load = "01" THEN
for i in 0 to 1 loop
Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15));
end loop;
ELSIF Bank_Load = "10" THEN
for i in 0 to 1 loop
Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15));
end loop;
ELSIF Bank_Load = "11" THEN
for i in 0 to 1 loop
Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*64+index-32 to i*64+index-32+15));
end loop;
END IF;
else
Bank_Load := recaddr(22 downto 21);
Rows_Load := '0' & recaddr(20 downto 9);
Cols_Load := '0' & recaddr(8 downto 1);
Init_Mem (Bank_Load, To_Integer(Rows_Load));
IF Bank_Load = "00" THEN
for i in 0 to 7 loop
Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15));
end loop;
ELSIF Bank_Load = "01" THEN
for i in 0 to 7 loop
Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15));
end loop;
ELSIF Bank_Load = "10" THEN
for i in 0 to 7 loop
Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15));
end loop;
ELSIF Bank_Load = "11" THEN
for i in 0 to 7 loop
Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*16 to i*16+15));
end loop;
END IF;
END IF;
END IF;
END LOOP;
ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '1' THEN --'
Operation <= DUMP_FILE;
ASSERT (FALSE) REPORT "Writing memory array to file. This operation may take several minutes. Please wait..."
SEVERITY NOTE;
WRITE (l, string'("# Micron Technology, Inc. (FILE DUMP / MEMORY DUMP)")); --'
WRITELINE (file_dump, l);
WRITE (l, string'("# BA ROWS COLS DQ")); --'
WRITELINE (file_dump, l);
WRITE (l, string'("# -- ------------- --------- ----------------")); --'
WRITELINE (file_dump, l);
-- Dumping Bank 0
FOR i IN 0 TO 2**addr_bits -1 LOOP
-- Check if ROW is NULL
IF Bank0 (i) /= NULL THEN
For j IN 0 TO 2**col_bits - 1 LOOP
-- Check if COL is NULL
NEXT WHEN Bank0 (i) (j) (data_bits) = '0';
WRITE (l, string'("00"), right, 4); --'
WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1);
WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1);
WRITE (l, Bank0 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1);
WRITELINE (file_dump, l);
END LOOP;
END IF;
END LOOP;
-- Dumping Bank 1
FOR i IN 0 TO 2**addr_bits -1 LOOP
-- Check if ROW is NULL
IF Bank1 (i) /= NULL THEN
For j IN 0 TO 2**col_bits - 1 LOOP
-- Check if COL is NULL
NEXT WHEN Bank1 (i) (j) (data_bits) = '0';
WRITE (l, string'("01"), right, 4); --'
WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1);
WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1);
WRITE (l, Bank1 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1);
WRITELINE (file_dump, l);
END LOOP;
END IF;
END LOOP;
-- Dumping Bank 2
FOR i IN 0 TO 2**addr_bits -1 LOOP
-- Check if ROW is NULL
IF Bank2 (i) /= NULL THEN
For j IN 0 TO 2**col_bits - 1 LOOP
-- Check if COL is NULL
NEXT WHEN Bank2 (i) (j) (data_bits) = '0';
WRITE (l, string'("10"), right, 4); --'
WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1);
WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1);
WRITE (l, Bank2 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1);
WRITELINE (file_dump, l);
END LOOP;
END IF;
END LOOP;
-- Dumping Bank 3
FOR i IN 0 TO 2**addr_bits -1 LOOP
-- Check if ROW is NULL
IF Bank3 (i) /= NULL THEN
For j IN 0 TO 2**col_bits - 1 LOOP
-- Check if COL is NULL
NEXT WHEN Bank3 (i) (j) (data_bits) = '0';
WRITE (l, string'("11"), right, 4); --'
WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1);
WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1);
WRITE (l, Bank3 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1);
WRITELINE (file_dump, l);
END LOOP;
END IF;
END LOOP;
END IF;
-- Write with AutoPrecharge Calculation
-- The device start internal precharge when:
-- 1. tWR cycles after command
-- and 2. Meet tRAS requirement
-- or 3. Interrupt by a Read or Write (with or without Auto Precharge)
IF ((Auto_precharge(0) = '1') AND (Write_precharge(0) = '1')) THEN
IF (((NOW - RAS_chk0 >= tRAS) AND
(((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(0) >= 1 AND NOW - Count_time(0) >= tWRa) OR
(Burst_length_2 = '1' AND Count_precharge(0) >= 2 AND NOW - Count_time(0) >= tWRa) OR
(Burst_length_4 = '1' AND Count_precharge(0) >= 4 AND NOW - Count_time(0) >= tWRa) OR
(Burst_length_8 = '1' AND Count_precharge(0) >= 8 AND NOW - Count_time(0) >= tWRa))) OR
(RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(0) >= tWRa)) THEN
Auto_precharge(0) := '0';
Write_precharge(0) := '0';
RW_interrupt_write(0) := '0';
Pc_b0 := '1';
Act_b0 := '0';
RP_chk0 := NOW;
ASSERT FALSE REPORT "Start Internal Precharge Bank 0" SEVERITY NOTE;
END IF;
END IF;
IF ((Auto_precharge(1) = '1') AND (Write_precharge(1) = '1')) THEN
IF (((NOW - RAS_chk1 >= tRAS) AND
(((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(1) >= 1 AND NOW - Count_time(1) >= tWRa) OR
(Burst_length_2 = '1' AND Count_precharge(1) >= 2 AND NOW - Count_time(1) >= tWRa) OR
(Burst_length_4 = '1' AND Count_precharge(1) >= 4 AND NOW - Count_time(1) >= tWRa) OR
(Burst_length_8 = '1' AND Count_precharge(1) >= 8 AND NOW - Count_time(1) >= tWRa))) OR
(RW_interrupt_write(1) = '1' AND WR_counter(1) >= 1 AND NOW - WR_time(1) >= tWRa)) THEN
Auto_precharge(1) := '0';
Write_precharge(1) := '0';
RW_interrupt_write(1) := '0';
Pc_b1 := '1';
Act_b1 := '0';
RP_chk1 := NOW;
END IF;
END IF;
IF ((Auto_precharge(2) = '1') AND (Write_precharge(2) = '1')) THEN
IF (((NOW - RAS_chk2 >= tRAS) AND
(((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(2) >= 1 AND NOW - Count_time(2) >= tWRa) OR
(Burst_length_2 = '1' AND Count_precharge(2) >= 2 AND NOW - Count_time(2) >= tWRa) OR
(Burst_length_4 = '1' AND Count_precharge(2) >= 4 AND NOW - Count_time(2) >= tWRa) OR
(Burst_length_8 = '1' AND Count_precharge(2) >= 8 AND NOW - Count_time(2) >= tWRa))) OR
(RW_interrupt_write(2) = '1' AND WR_counter(2) >= 1 AND NOW - WR_time(2) >= tWRa)) THEN
Auto_precharge(2) := '0';
Write_precharge(2) := '0';
RW_interrupt_write(2) := '0';
Pc_b2 := '1';
Act_b2 := '0';
RP_chk2 := NOW;
END IF;
END IF;
IF ((Auto_precharge(3) = '1') AND (Write_precharge(3) = '1')) THEN
IF (((NOW - RAS_chk3 >= tRAS) AND
(((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(3) >= 1 AND NOW - Count_time(3) >= tWRa) OR
(Burst_length_2 = '1' AND Count_precharge(3) >= 2 AND NOW - Count_time(3) >= tWRa) OR
(Burst_length_4 = '1' AND Count_precharge(3) >= 4 AND NOW - Count_time(3) >= tWRa) OR
(Burst_length_8 = '1' AND Count_precharge(3) >= 8 AND NOW - Count_time(3) >= tWRa))) OR
(RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(3) >= tWRa)) THEN
Auto_precharge(3) := '0';
Write_precharge(3) := '0';
RW_interrupt_write(3) := '0';
Pc_b3 := '1';
Act_b3 := '0';
RP_chk3 := NOW;
END IF;
END IF;
-- Checking internal wires (Optional for debug purpose)
Pre_chk (0) <= Pc_b0;
Pre_chk (1) <= Pc_b1;
Pre_chk (2) <= Pc_b2;
Pre_chk (3) <= Pc_b3;
Act_chk (0) <= Act_b0;
Act_chk (1) <= Act_b1;
Act_chk (2) <= Act_b2;
Act_chk (3) <= Act_b3;
Dq_in_chk <= Data_in_enable;
Dq_out_chk <= Data_out_enable;
Bank_chk <= Bank;
Row_chk <= Row;
Col_chk <= Col;
END PROCESS;
-- Clock timing checks
-- Clock_check : PROCESS
-- VARIABLE Clk_low, Clk_high : TIME := 0 ns;
-- BEGIN
-- WAIT ON Clk;
-- IF (Clk = '1' AND NOW >= 10 ns) THEN
-- ASSERT (NOW - Clk_low >= tCL)
-- REPORT "tCL violation"
-- SEVERITY WARNING;
-- ASSERT (NOW - Clk_high >= tCK)
-- REPORT "tCK violation"
-- SEVERITY WARNING;
-- Clk_high := NOW;
-- ELSIF (Clk = '0' AND NOW /= 0 ns) THEN
-- ASSERT (NOW - Clk_high >= tCH)
-- REPORT "tCH violation"
-- SEVERITY WARNING;
-- Clk_low := NOW;
-- END IF;
-- END PROCESS;
-- Setup timing checks
Setup_check : PROCESS
BEGIN
wait;
WAIT ON Clk;
IF Clk = '1' THEN
ASSERT(Cke'LAST_EVENT >= tCKS) --'
REPORT "CKE Setup time violation -- tCKS"
SEVERITY WARNING;
ASSERT(Cs_n'LAST_EVENT >= tCMS) --'
REPORT "CS# Setup time violation -- tCMS"
SEVERITY WARNING;
ASSERT(Cas_n'LAST_EVENT >= tCMS) --'
REPORT "CAS# Setup time violation -- tCMS"
SEVERITY WARNING;
ASSERT(Ras_n'LAST_EVENT >= tCMS) --'
REPORT "RAS# Setup time violation -- tCMS"
SEVERITY WARNING;
ASSERT(We_n'LAST_EVENT >= tCMS) --'
REPORT "WE# Setup time violation -- tCMS"
SEVERITY WARNING;
ASSERT(Dqm'LAST_EVENT >= tCMS) --'
REPORT "Dqm Setup time violation -- tCMS"
SEVERITY WARNING;
ASSERT(Addr'LAST_EVENT >= tAS) --'
REPORT "ADDR Setup time violation -- tAS"
SEVERITY WARNING;
ASSERT(Ba'LAST_EVENT >= tAS) --'
REPORT "BA Setup time violation -- tAS"
SEVERITY WARNING;
ASSERT(Dq'LAST_EVENT >= tDS) --'
REPORT "Dq Setup time violation -- tDS"
SEVERITY WARNING;
END IF;
END PROCESS;
-- Hold timing checks
Hold_check : PROCESS
BEGIN
wait;
WAIT ON Clk'DELAYED (tCKH), Clk'DELAYED (tCMH), Clk'DELAYED (tAH), Clk'DELAYED (tDH);
IF Clk'DELAYED (tCKH) = '1' THEN --'
ASSERT(Cke'LAST_EVENT > tCKH) --'
REPORT "CKE Hold time violation -- tCKH"
SEVERITY WARNING;
END IF;
IF Clk'DELAYED (tCMH) = '1' THEN --'
ASSERT(Cs_n'LAST_EVENT > tCMH) --'
REPORT "CS# Hold time violation -- tCMH"
SEVERITY WARNING;
ASSERT(Cas_n'LAST_EVENT > tCMH) --'
REPORT "CAS# Hold time violation -- tCMH"
SEVERITY WARNING;
ASSERT(Ras_n'LAST_EVENT > tCMH) --'
REPORT "RAS# Hold time violation -- tCMH"
SEVERITY WARNING;
ASSERT(We_n'LAST_EVENT > tCMH) --'
REPORT "WE# Hold time violation -- tCMH"
SEVERITY WARNING;
ASSERT(Dqm'LAST_EVENT > tCMH) --'
REPORT "Dqm Hold time violation -- tCMH"
SEVERITY WARNING;
END IF;
IF Clk'DELAYED (tAH) = '1' THEN --'
ASSERT(Addr'LAST_EVENT > tAH) --'
REPORT "ADDR Hold time violation -- tAH"
SEVERITY WARNING;
ASSERT(Ba'LAST_EVENT > tAH) --'
REPORT "BA Hold time violation -- tAH"
SEVERITY WARNING;
END IF;
IF Clk'DELAYED (tDH) = '1' THEN --'
ASSERT(Dq'LAST_EVENT > tDH) --'
REPORT "Dq Hold time violation -- tDH"
SEVERITY WARNING;
END IF;
END PROCESS;
END behave;
-- pragma translate_on
| gpl-2.0 |
marco-c/leon-nexys2 | grlib-gpl-1.3.4-b4140/lib/openchip/gpio/gpio.vhd | 3 | 1454 | ----------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2004 GAISLER RESEARCH
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- See the file COPYING for the full details of the license.
--
-----------------------------------------------------------------------------
-- package: gpio
-- File: gpio.vhd
-- Author: Antti Lukats, OpenChip
-- Description: GPIO types and components
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
package gpio is
type gpio_in_type is record
d_in : std_logic_vector(31 downto 0);
end record;
type gpio_out_type is record
d_out : std_logic_vector(31 downto 0);
t_out : std_logic_vector(31 downto 0);
end record;
component apbgpio
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
gpioi : in gpio_in_type;
gpioo : out gpio_out_type);
end component;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-terasic-de0-nano/leon3mp.vhd | 1 | 20867 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2012 Aeroflex Gaisler
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib, techmap;
use grlib.amba.all;
use grlib.devices.all;
use grlib.stdlib.all;
use techmap.gencomp.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.jtag.all;
use gaisler.i2c.all;
use gaisler.spi.all;
-- pragma translate_off
use gaisler.sim.all;
-- pragma translate_on
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS;
dbguart : integer := CFG_DUART;
pclow : integer := CFG_PCLOW
);
port (
clock_50 : in std_logic;
led : inout std_logic_vector(7 downto 0);
key : in std_logic_vector(1 downto 0);
sw : in std_logic_vector(3 downto 0);
dram_ba : out std_logic_vector(1 downto 0);
dram_dqm : out std_logic_vector(1 downto 0);
dram_ras_n : out std_ulogic;
dram_cas_n : out std_ulogic;
dram_cke : out std_ulogic;
dram_clk : out std_ulogic;
dram_we_n : out std_ulogic;
dram_cs_n : out std_ulogic;
dram_dq : inout std_logic_vector(15 downto 0);
dram_addr : out std_logic_vector(12 downto 0);
epcs_data0 : in std_ulogic;
epcs_dclk : out std_ulogic;
epcs_ncso : out std_ulogic;
epcs_asdo : out std_ulogic;
i2c_sclk : inout std_logic;
i2c_sdat : inout std_logic;
g_sensor_cs_n : out std_ulogic;
g_sensor_int : in std_ulogic;
adc_cs_n : out std_ulogic;
adc_saddr : out std_ulogic;
adc_sclk : out std_ulogic;
adc_sdat : in std_ulogic;
gpio_2 : inout std_logic_vector(12 downto 0);
gpio_2_in : in std_logic_vector(2 downto 0);
gpio_1_in : in std_logic_vector(1 downto 0);
gpio_1 : inout std_logic_vector(33 downto 0);
gpio_0_in : in std_logic_vector(1 downto 0);
gpio_0 : inout std_logic_vector(33 downto 0)
);
end;
architecture rtl of leon3mp is
signal vcc, gnd : std_logic_vector(4 downto 0);
signal clkm, rstn, rstraw, sdclkl, lclk, rst, clklck : std_ulogic;
signal sdi : sdctrl_in_type;
signal sdo : sdctrl_out_type;
signal spmi : spimctrl_in_type;
signal spmo : spimctrl_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal u1i, dui : uart_in_type;
signal u1o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal fpi : grfpu_in_vector_type;
signal fpo : grfpu_out_vector_type;
signal stati : ahbstat_in_type;
signal gpti : gptimer_in_type;
signal i2ci : i2c_in_type;
signal i2co : i2c_out_type;
signal spii : spi_in_type;
signal spio : spi_out_type;
signal slvsel : std_logic_vector(CFG_SPICTRL_SLVS-1 downto 0);
signal gpio0i, gpio1i, gpio2i : gpio_in_type;
signal gpio0o, gpio1o, gpio2o : gpio_out_type;
signal dsubren : std_ulogic;
signal tck, tms, tdi, tdo : std_logic;
constant BOARD_FREQ : integer := 50000; -- Board frequency in KHz, used in clkgen
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV;
constant IOAEN : integer := 1;
constant OEPOL : integer := padoen_polarity(padtech);
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= (others => '1'); gnd <= (others => '0');
clk_pad : clkpad generic map (tech => padtech) port map (clock_50, lclk);
clkgen0 : entity work.clkgen_de0
generic map (clk_mul => CFG_CLKMUL, clk_div => CFG_CLKDIV,
clk_freq => BOARD_FREQ, sdramen => CFG_SDCTRL)
port map (inclk0 => lclk, c0 => clkm, c0_2x => open, e0 => sdclkl,
locked => clklck);
sdclk_pad : outpad generic map (tech => padtech, slew => 1)
port map (dram_clk, sdclkl);
resetn_pad : inpad generic map (tech => padtech) port map (key(0), rst);
rst0 : rstgen -- reset generator (reset is active LOW)
port map (rst, clkm, clklck, rstn, rstraw);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN,
nahbm => CFG_NCPU+CFG_AHB_JTAG,
nahbs => 6)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
----- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
cpu : for i in 0 to CFG_NCPU-1 generate
nosh : if CFG_GRFPUSH = 0 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU*(1-CFG_GRFPUSH), CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
0, 0, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
end generate;
sh : if CFG_GRFPUSH = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
u0 : leon3sh -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
0, 0, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i));
end generate;
grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech)
port map (clkm, rstn, fpi, fpo);
end generate;
errorn_pad : outpad generic map (tech => padtech) port map (led(6), dbgo(0).error);
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0,
kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsuen_pad : inpad generic map (tech => padtech) port map (sw(0), dsui.enable);
dsubre_pad : inpad generic map (tech => padtech) port map (key(1), dsubren);
dsui.break <= not dsubren;
dsuact_pad : outpad generic map (tech => padtech) port map (led(7), dsuo.active);
end generate;
nodsu : if CFG_DSU = 0 generate
ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0';
end generate;
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU),
open, open, open, open, open, open, open, gnd(0));
end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
sdctrl0 : if CFG_SDCTRL = 1 generate -- 16-bit SDRAM controller
sdc : entity work.sdctrl16
generic map (hindex => 3, haddr => 16#400#, hmask => 16#FE0#,
ioaddr => 1, fast => 0, pwron => 0, invclk => 0,
sdbits => 16, pageburst => 2)
port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo);
sa_pad : outpadv generic map (width => 13, tech => padtech)
port map (dram_addr, sdo.address(14 downto 2));
ba0_pad : outpadv generic map (tech => padtech, width => 2)
port map (dram_ba, sdo.address(16 downto 15));
sd_pad : iopadvv generic map (width => 16, tech => padtech, oepol => OEPOL)
port map (dram_dq(15 downto 0), sdo.data(15 downto 0), sdo.vbdrive(15 downto 0), sdi.data(15 downto 0));
sdcke_pad : outpad generic map (tech => padtech)
port map (dram_cke, sdo.sdcke(0));
sdwen_pad : outpad generic map (tech => padtech)
port map (dram_we_n, sdo.sdwen);
sdcsn_pad : outpad generic map (tech => padtech)
port map (dram_cs_n, sdo.sdcsn(0));
sdras_pad : outpad generic map (tech => padtech)
port map (dram_ras_n, sdo.rasn);
sdcas_pad : outpad generic map (tech => padtech)
port map (dram_cas_n, sdo.casn);
sddqm_pad : outpadv generic map (tech => padtech, width => 2)
port map (dram_dqm, sdo.dqm(1 downto 0));
end generate;
spimctrl0: if CFG_SPIMCTRL /= 0 generate -- SPI Memory Controller
spimc : spimctrl
generic map (hindex => 0, hirq => 10, faddr => 16#000#, fmask => 16#f00#,
ioaddr => 16#002#, iomask => 16#fff#,
spliten => CFG_SPLIT, oepol => OEPOL,sdcard => CFG_SPIMCTRL_SDCARD,
readcmd => CFG_SPIMCTRL_READCMD, dummybyte => CFG_SPIMCTRL_DUMMYBYTE,
dualoutput => CFG_SPIMCTRL_DUALOUTPUT, scaler => CFG_SPIMCTRL_SCALER,
altscaler => CFG_SPIMCTRL_ASCALER, pwrupcnt => CFG_SPIMCTRL_PWRUPCNT,
offset => CFG_SPIMCTRL_OFFSET)
port map (rstn, clkm, ahbsi, ahbso(0), spmi, spmo);
end generate;
nospimctrl0 : if CFG_SPIMCTRL = 0 generate spmo <= spimctrl_out_none; end generate;
miso_pad : inpad generic map (tech => padtech)
port map (epcs_data0, spmi.miso);
mosi_pad : outpad generic map (tech => padtech)
port map (epcs_asdo, spmo.mosi);
sck_pad : outpad generic map (tech => padtech)
port map (epcs_dclk, spmo.sck);
slvsel0_pad : outpad generic map (tech => padtech)
port map (epcs_ncso, spmo.csn);
----------------------------------------------------------------------
--- AHB ROM ---------------------------------------------------------
----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 and CFG_SPIMCTRL = 0 generate
brom : entity work.ahbrom
generic map (hindex => 0, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map (rstn, clkm, ahbsi, ahbso(0));
end generate;
noprom : if CFG_AHBROMEN = 0 and CFG_SPIMCTRL = 0 generate
ahbso(0) <= ahbs_none;
end generate;
----------------------------------------------------------------------
--- APB Bridge and various peripherals ------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo);
apbo(0) <= apb_none; -- Typically occupied by memory controller
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, flow => 0,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.extclk <= '0';
u1i.rxd <= '1';
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW,
ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW)
port map (rstn, clkm, apbi, apbo(3), gpti, open);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master
i2c0 : i2cmst
generic map (pindex => 4, paddr => 4, pmask => 16#FFF#,
pirq => 3, filter => 3, dynfilt => 1)
port map (rstn, clkm, apbi, apbo(4), i2ci, i2co);
end generate;
noi2cm: if CFG_I2C_ENABLE = 0 generate
i2co.scloen <= '1'; i2co.sdaoen <= '1';
i2co.scl <= '0'; i2co.sda <= '0';
end generate;
i2c_scl_pad : iopad generic map (tech => padtech)
port map (i2c_sclk, i2co.scl, i2co.scloen, i2ci.scl);
i2c_sda_pad : iopad generic map (tech => padtech)
port map (i2c_sdat, i2co.sda, i2co.sdaoen, i2ci.sda);
spic: if CFG_SPICTRL_ENABLE = 1 generate -- SPI controller
spi1 : spictrl
generic map (pindex => 5, paddr => 5, pmask => 16#fff#, pirq => 5,
fdepth => CFG_SPICTRL_FIFO, slvselen => CFG_SPICTRL_SLVREG,
slvselsz => CFG_SPICTRL_SLVS, odmode => 0, netlist => 0,
syncram => CFG_SPICTRL_SYNCRAM, ft => CFG_SPICTRL_FT)
port map (rstn, clkm, apbi, apbo(5), spii, spio, slvsel);
spii.spisel <= '1'; -- Master only
spii.astart <= '0';
miso_pad : inpad generic map (tech => padtech)
port map (adc_sdat, spii.miso);
mosi_pad : outpad generic map (tech => padtech)
port map (adc_saddr, spio.mosi);
sck_pad : outpad generic map (tech => padtech)
port map (adc_sclk, spio.sck);
slvsel_pad : outpad generic map (tech => padtech)
port map (adc_cs_n, slvsel(0));
end generate spic;
nospi: if CFG_SPICTRL_ENABLE = 0 generate
miso_pad : inpad generic map (tech => padtech)
port map (adc_sdat, spii.miso);
mosi_pad : outpad generic map (tech => padtech)
port map (adc_saddr, vcc(0));
sck_pad : outpad generic map (tech => padtech)
port map (adc_sclk, gnd(0));
slvsel_pad : outpad generic map (tech => padtech)
port map (adc_cs_n, vcc(0));
end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GRGPIO0 port
grgpio0: grgpio
generic map( pindex => 9, paddr => 9, imask => CFG_GRGPIO_IMASK, nbits => CFG_GRGPIO_WIDTH)
port map( rstn, clkm, apbi, apbo(9), gpio0i, gpio0o);
pio_pads : for i in 0 to CFG_GRGPIO_WIDTH-1 generate
pio_pad : iopad generic map (tech => padtech)
port map (gpio_0(i), gpio0o.dout(i), gpio0o.oen(i), gpio0i.din(i));
end generate;
end generate;
nogpio0: if CFG_GRGPIO_ENABLE = 0 generate apbo(9) <= apb_none; end generate;
gpio1 : if CFG_GRGPIO2_ENABLE /= 0 generate -- GRGPIO1 port
grgpio1: grgpio
generic map( pindex => 10, paddr => 10, imask => CFG_GRGPIO2_IMASK, nbits => CFG_GRGPIO2_WIDTH)
port map( rstn, clkm, apbi, apbo(10), gpio1i, gpio1o);
pio_pads : for i in 0 to CFG_GRGPIO2_WIDTH-1 generate
pio_pad : iopad generic map (tech => padtech)
port map (gpio_1(i), gpio1o.dout(i), gpio1o.oen(i), gpio1i.din(i));
end generate;
end generate;
nogpio1: if CFG_GRGPIO2_ENABLE = 0 generate apbo(10) <= apb_none; end generate;
grgpio2: grgpio -- GRGPIO2 port
generic map( pindex => 11, paddr => 11, imask => 2**30, nbits => 31)
port map( rstn, clkm, apbi, apbo(11), gpio2i, gpio2o);
gpio_2_pads : iopadvv generic map (tech => padtech, width => 13)
port map (gpio_2(12 downto 0), gpio2o.dout(12 downto 0), gpio2o.oen(12 downto 0),
gpio2i.din(12 downto 0));
gpio_2_inpads : inpadv generic map (tech => padtech, width => 3)
port map (gpio_2_in, gpio2i.din(15 downto 13));
gpio_0_pads : iopadvv generic map (tech => padtech, width => 2)
port map (gpio_0(33 downto 32), gpio2o.dout(17 downto 16), gpio2o.oen(17 downto 16),
gpio2i.din(17 downto 16));
gpio_0_inpads : inpadv generic map (tech => padtech, width => 2)
port map (gpio_0_in, gpio2i.din(19 downto 18));
gpio_1_pads : iopadvv generic map (tech => padtech, width => 2)
port map (gpio_1(33 downto 32), gpio2o.dout(21 downto 20), gpio2o.oen(21 downto 20),
gpio2i.din(21 downto 20));
gpio_1_inpads : inpadv generic map (tech => padtech, width => 2)
port map (gpio_1_in, gpio2i.din(23 downto 22));
led_pads : iopadvv generic map (tech => padtech, width => 6)
port map (led(5 downto 0), gpio2o.dout(29 downto 24), gpio2o.oen(29 downto 24),
gpio2i.din(29 downto 24));
g_sensor_int_pad : inpad generic map (tech => padtech)
port map (g_sensor_int, gpio2i.din(30));
-- g_sensor_cs_n_pad : outpad generic map (tech => padtech)
-- port map (g_sensor_cs_n, gpio2o.dout(31));
g_sensor_cs_n <= '1';
-- gpio2i.din(31) <= gpio2o.dout(31);
ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register
ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 1, nftslv => CFG_AHBSTATN)
port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
end generate;
nop2 : if CFG_AHBSTAT = 0 generate apbo(15) <= apb_none; end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
port map (rstn, clkm, ahbsi, ahbso(4));
end generate;
nram : if CFG_AHBRAMEN = 0 generate ahbso(4) <= ahbs_none; end generate;
-----------------------------------------------------------------------
--- Test report module ----------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
test0 : ahbrep generic map (hindex => 5, haddr => 16#200#)
port map (rstn, clkm, ahbsi, ahbso(5));
-- pragma translate_on
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Altera DE0-EP4CE22 Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep2s60-sdr/config.vhd | 1 | 5584 |
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := stratix2;
constant CFG_MEMTECH : integer := stratix2;
constant CFG_PADTECH : integer := stratix2;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := stratix2;
constant CFG_CLKMUL : integer := (8);
constant CFG_CLKDIV : integer := (10);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 2 + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 0;
constant CFG_SVT : integer := 0;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 0;
constant CFG_NWP : integer := (2);
constant CFG_PWD : integer := 0*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 2;
constant CFG_ISETSZ : integer := 8;
constant CFG_ILINE : integer := 8;
constant CFG_IREPL : integer := 0;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 2;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 0;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 1*2 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 1;
constant CFG_ITLBNUM : integer := 8;
constant CFG_DTLBNUM : integer := 8;
constant CFG_TLB_TYPE : integer := 0 + 1*2;
constant CFG_TLB_REP : integer := 0;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 2;
constant CFG_ATBSZ : integer := 2;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 1;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- PROM/SRAM controller
constant CFG_SRCTRL : integer := 0;
constant CFG_SRCTRL_PROMWS : integer := 0;
constant CFG_SRCTRL_RAMWS : integer := 0;
constant CFG_SRCTRL_IOWS : integer := 0;
constant CFG_SRCTRL_RMW : integer := 0;
constant CFG_SRCTRL_8BIT : integer := 0;
constant CFG_SRCTRL_SRBANKS : integer := 1;
constant CFG_SRCTRL_BANKSZ : integer := 0;
constant CFG_SRCTRL_ROMASEL : integer := 0;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 1;
constant CFG_MCTRL_RAM16BIT : integer := 0;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 1;
constant CFG_MCTRL_SEPBUS : integer := 1;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 1 + 0;
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 8;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#FFFF#;
constant CFG_GRGPIO_WIDTH : integer := (32);
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/gr1553b/gr1553b_2.in.vhd | 3 | 299 | -- Secondary GR1553B
constant CFG_GR1553B_ENABLE2 : integer := CONFIG_GR1553B_ENABLE2;
constant CFG_GR1553B_RTEN2 : integer := CONFIG_GR1553B_RTEN2;
constant CFG_GR1553B_BCEN2 : integer := CONFIG_GR1553B_BCEN2;
constant CFG_GR1553B_BMEN2 : integer := CONFIG_GR1553B_BMEN2;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-kc705/leon3mp.vhd | 1 | 37655 | -----------------------------------------------------------------------------
-- LEON3 Xilinx KC705 Demonstration design
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib, techmap;
use grlib.amba.all;
use grlib.stdlib.all;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library gaisler;
use gaisler.memctrl.all;
use gaisler.leon3.all;
use gaisler.uart.all;
use gaisler.misc.all;
use gaisler.i2c.all;
use gaisler.net.all;
use gaisler.jtag.all;
-- pragma translate_off
use gaisler.sim.all;
library unisim;
use unisim.all;
-- pragma translate_on
library esa;
use esa.memoryctrl.all;
use work.config.all;
entity leon3mp is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
testahb : boolean := false;
SIM_BYPASS_INIT_CAL : string := "OFF";
SIMULATION : string := "FALSE";
USE_MIG_INTERFACE_MODEL : boolean := false
);
port (
reset : in std_ulogic;
clk200p : in std_ulogic; -- 200 MHz clock
clk200n : in std_ulogic; -- 200 MHz clock
address : out std_logic_vector(25 downto 0);
data : inout std_logic_vector(15 downto 0);
oen : out std_ulogic;
writen : out std_ulogic;
romsn : out std_logic;
adv : out std_logic;
ddr3_dq : inout std_logic_vector(63 downto 0);
ddr3_dqs_p : inout std_logic_vector(7 downto 0);
ddr3_dqs_n : inout std_logic_vector(7 downto 0);
ddr3_addr : out std_logic_vector(13 downto 0);
ddr3_ba : out std_logic_vector(2 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(0 downto 0);
ddr3_ck_n : out std_logic_vector(0 downto 0);
ddr3_cke : out std_logic_vector(0 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(7 downto 0);
ddr3_odt : out std_logic_vector(0 downto 0);
dsurx : in std_ulogic;
dsutx : out std_ulogic;
dsuctsn : in std_ulogic;
dsurtsn : out std_ulogic;
button : in std_logic_vector(3 downto 0);
switch : inout std_logic_vector(3 downto 0);
led : out std_logic_vector(6 downto 0);
iic_scl : inout std_ulogic;
iic_sda : inout std_ulogic;
gtrefclk_p : in std_logic;
gtrefclk_n : in std_logic;
phy_gtxclk : out std_logic;
phy_txd : out std_logic_vector(3 downto 0);
phy_txctl_txen : out std_ulogic;
phy_rxd : in std_logic_vector(3 downto 0);
phy_rxctl_rxdv : in std_ulogic;
phy_rxclk : in std_ulogic;
phy_reset : out std_ulogic;
phy_mdio : inout std_logic;
phy_mdc : out std_ulogic;
phy_int : in std_ulogic
);
end;
architecture rtl of leon3mp is
component ahb2mig_series7
generic(
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
SIM_BYPASS_INIT_CAL : string := "OFF";
SIMULATION : string := "FALSE";
USE_MIG_INTERFACE_MODEL : boolean := false
);
port(
ddr3_dq : inout std_logic_vector(63 downto 0);
ddr3_dqs_p : inout std_logic_vector(7 downto 0);
ddr3_dqs_n : inout std_logic_vector(7 downto 0);
ddr3_addr : out std_logic_vector(13 downto 0);
ddr3_ba : out std_logic_vector(2 downto 0);
ddr3_ras_n : out std_logic;
ddr3_cas_n : out std_logic;
ddr3_we_n : out std_logic;
ddr3_reset_n : out std_logic;
ddr3_ck_p : out std_logic_vector(0 downto 0);
ddr3_ck_n : out std_logic_vector(0 downto 0);
ddr3_cke : out std_logic_vector(0 downto 0);
ddr3_cs_n : out std_logic_vector(0 downto 0);
ddr3_dm : out std_logic_vector(7 downto 0);
ddr3_odt : out std_logic_vector(0 downto 0);
ahbso : out ahb_slv_out_type;
ahbsi : in ahb_slv_in_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
calib_done : out std_logic;
rst_n_syn : in std_logic;
rst_n_async : in std_logic;
clk_amba : in std_logic;
sys_clk_p : in std_logic;
sys_clk_n : in std_logic;
clk_ref_i : in std_logic;
ui_clk : out std_logic;
ui_clk_sync_rst : out std_logic
);
end component ;
component ddr_dummy
port (
ddr_dq : inout std_logic_vector(63 downto 0);
ddr_dqs : inout std_logic_vector(7 downto 0);
ddr_dqs_n : inout std_logic_vector(7 downto 0);
ddr_addr : out std_logic_vector(13 downto 0);
ddr_ba : out std_logic_vector(2 downto 0);
ddr_ras_n : out std_logic;
ddr_cas_n : out std_logic;
ddr_we_n : out std_logic;
ddr_reset_n : out std_logic;
ddr_ck_p : out std_logic_vector(0 downto 0);
ddr_ck_n : out std_logic_vector(0 downto 0);
ddr_cke : out std_logic_vector(0 downto 0);
ddr_cs_n : out std_logic_vector(0 downto 0);
ddr_dm : out std_logic_vector(7 downto 0);
ddr_odt : out std_logic_vector(0 downto 0)
);
end component ;
-- pragma translate_off
component ahbram_sim
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#fff#;
tech : integer := DEFMEMTECH;
kbytes : integer := 1;
pipe : integer := 0;
maccsz : integer := AHBDW;
fname : string := "ram.dat"
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
end component ;
-- pragma translate_on
component IBUFDS_GTE2
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
component IDELAYCTRL
port (
RDY : out std_ulogic;
REFCLK : in std_ulogic;
RST : in std_ulogic
);
end component;
component IODELAYE1
generic (
DELAY_SRC : string := "I";
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0
);
port (
CNTVALUEOUT : out std_logic_vector(4 downto 0);
DATAOUT : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
CINVCTRL : in std_ulogic;
CLKIN : in std_ulogic;
CNTVALUEIN : in std_logic_vector(4 downto 0);
DATAIN : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic
);
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
--constant maxahbm : integer := CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH;
constant maxahbm : integer := 16;
--constant maxahbs : integer := 1+CFG_DSU+CFG_MCTRL_LEON2+CFG_AHBROMEN+CFG_AHBRAMEN+2;
constant maxahbs : integer := 16;
constant maxapbs : integer := CFG_IRQ3_ENABLE+CFG_GPT_ENABLE+CFG_GRGPIO_ENABLE+CFG_AHBSTAT+CFG_AHBSTAT;
signal vcc, gnd : std_logic;
signal memi : memory_in_type;
signal memo : memory_out_type;
signal wpo : wprot_out_type;
signal sdi : sdctrl_in_type;
signal sdo : sdram_out_type;
signal sdo2, sdo3 : sdctrl_out_type;
signal apbi : apb_slv_in_type;
signal apbo : apb_slv_out_vector := (others => apb_none);
signal ahbsi : ahb_slv_in_type;
signal ahbso : ahb_slv_out_vector := (others => ahbs_none);
signal ahbmi : ahb_mst_in_type;
signal vahbmi : ahb_mst_in_type;
signal ahbmo : ahb_mst_out_vector := (others => ahbm_none);
signal vahbmo : ahb_mst_out_type;
signal ui_clk : std_ulogic;
signal clkm : std_ulogic := '0';
signal rstn, rstraw, sdclkl : std_ulogic;
signal clk_200 : std_ulogic;
signal clk25, clk40, clk65 : std_ulogic;
signal cgi, cgi2 : clkgen_in_type;
signal cgo, cgo2 : clkgen_out_type;
signal u1i, u2i, dui : uart_in_type;
signal u1o, u2o, duo : uart_out_type;
signal irqi : irq_in_vector(0 to CFG_NCPU-1);
signal irqo : irq_out_vector(0 to CFG_NCPU-1);
signal dbgi : l3_debug_in_vector(0 to CFG_NCPU-1);
signal dbgo : l3_debug_out_vector(0 to CFG_NCPU-1);
signal dsui : dsu_in_type;
signal dsuo : dsu_out_type;
signal gmiii : eth_in_type;
signal gmiio : eth_out_type;
signal rgmiii,rgmiii_buf : eth_in_type;
signal rgmiio : eth_out_type;
signal sgmiii : eth_sgmii_in_type;
signal sgmiio : eth_sgmii_out_type;
signal sgmiirst : std_logic;
signal ethernet_phy_int : std_logic;
signal rxd1 : std_logic;
signal txd1 : std_logic;
signal ethi : eth_in_type;
signal etho : eth_out_type;
signal gtx_clk,gtx_clk_nobuf,gtx_clk90 : std_ulogic;
signal rstgtxn : std_logic;
signal gpti : gptimer_in_type;
signal gpto : gptimer_out_type;
signal gpioi : gpio_in_type;
signal gpioo : gpio_out_type;
signal clklock, elock, ulock : std_ulogic;
signal lock, calib_done, clkml, lclk, rst, ndsuact : std_ulogic;
signal tck, tckn, tms, tdi, tdo : std_ulogic;
signal lcd_datal : std_logic_vector(11 downto 0);
signal lcd_hsyncl, lcd_vsyncl, lcd_del, lcd_reset_bl : std_ulogic;
signal i2ci, dvi_i2ci : i2c_in_type;
signal i2co, dvi_i2co : i2c_out_type;
constant BOARD_FREQ : integer := 200000; -- input frequency in KHz
constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; -- cpu frequency in KHz
signal stati : ahbstat_in_type;
signal fpi : grfpu_in_vector_type;
signal fpo : grfpu_out_vector_type;
signal dsurx_int : std_logic;
signal dsutx_int : std_logic;
signal dsuctsn_int : std_logic;
signal dsurtsn_int : std_logic;
signal dsu_sel : std_logic;
signal idelay_reset_cnt : std_logic_vector(3 downto 0);
signal idelayctrl_reset : std_logic;
signal io_ref : std_logic;
signal clkref : std_logic;
signal migrstn : std_logic;
begin
----------------------------------------------------------------------
--- Reset and Clock generation -------------------------------------
----------------------------------------------------------------------
vcc <= '1'; gnd <= '0';
cgi.pllctrl <= "00"; cgi.pllrst <= rstraw;
clk_gen0 : if (CFG_MIG_SERIES7 = 0) generate
clk_pad_ds : clkpad_ds generic map (tech => padtech, level => sstl, voltage => x15v) port map (clk200p, clk200n, lclk);
clkgen0 : clkgen -- clock generator
generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_MCTRL_SDEN,CFG_CLK_NOFB, 0, 0, 0, BOARD_FREQ)
port map (lclk, lclk, clkm, open, open, open, open, cgi, cgo, open, open, open);
end generate;
reset_pad : inpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (reset, rst);
rst0 : rstgen -- reset generator
generic map (acthigh => 1, syncin => 1)
port map (rst, clkm, lock, rstn, rstraw);
lock <= calib_done when CFG_MIG_SERIES7 = 1 else cgo.clklock;
rst1 : rstgen -- reset generator
generic map (acthigh => 1)
port map (rst, clkm, '1', migrstn, open);
----------------------------------------------------------------------
--- AHB CONTROLLER --------------------------------------------------
----------------------------------------------------------------------
ahb0 : ahbctrl -- AHB arbiter/multiplexer
generic map (defmast => CFG_DEFMST, split => CFG_SPLIT,
rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, fpnpen => CFG_FPNPEN,
nahbm => maxahbm, nahbs => maxahbs)
port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso);
----------------------------------------------------------------------
--- LEON3 processor and DSU -----------------------------------------
----------------------------------------------------------------------
nosh : if CFG_GRFPUSH = 0 generate
cpu : for i in 0 to CFG_NCPU-1 generate
l3ft : if CFG_LEON3FT_EN /= 0 generate
leon3ft0 : leon3ft -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ,
CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), clkm);
end generate;
l3s : if CFG_LEON3FT_EN = 0 generate
u0 : leon3s -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i));
end generate;
end generate;
end generate;
sh : if CFG_GRFPUSH = 1 generate
cpu : for i in 0 to CFG_NCPU-1 generate
l3ft : if CFG_LEON3FT_EN /= 0 generate
leon3ft0 : leon3ftsh -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_IUFT_EN, CFG_FPUFT_EN, CFG_CACHE_FT_EN, CFG_RF_ERRINJ,
CFG_CACHE_ERRINJ, CFG_DFIXED, CFG_LEON3_NETLIST, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), clkm, fpi(i), fpo(i));
end generate;
l3s : if CFG_LEON3FT_EN = 0 generate
u0 : leon3sh -- LEON3 processor
generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8,
0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE,
CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ,
CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN,
CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP,
CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, CFG_NCPU-1,
CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE)
port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso,
irqi(i), irqo(i), dbgi(i), dbgo(i), fpi(i), fpo(i));
end generate;
end generate;
grfpush0 : grfpushwx generic map ((CFG_FPU-1), CFG_NCPU, fabtech)
port map (clkm, rstn, fpi, fpo);
end generate;
led1_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(1), dbgo(0).error);
-- LEON3 Debug Support Unit
dsugen : if CFG_DSU = 1 generate
dsu0 : dsu3 -- LEON3 Debug Support Unit
generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#,
ncpu => CFG_NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ)
port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo);
dsui.enable <= '1';
dsui_break_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (button(0), dsui.break);
dsuact_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v) port map (led(0), ndsuact);
ndsuact <= not dsuo.active;
end generate;
nodsu : if CFG_DSU = 0 generate
dsuo.tstop <= '0'; dsuo.active <= '0'; ahbso(2) <= ahbs_none;
end generate;
-- Debug UART
dcomgen : if CFG_AHB_UART = 1 generate
dcom0 : ahbuart
generic map (hindex => CFG_NCPU, pindex => 7, paddr => 7)
port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(CFG_NCPU));
dui.extclk <= '0';
end generate;
nouah : if CFG_AHB_UART = 0 generate
apbo(7) <= apb_none;
duo.txd <= '0';
duo.rtsn <= '0';
dui.extclk <= '0';
end generate;
sw4_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (switch(3), '0', '1', dsu_sel);
dsutx_int <= duo.txd when dsu_sel = '1' else u1o.txd;
dui.rxd <= dsurx_int when dsu_sel = '1' else '1';
u1i.rxd <= dsurx_int when dsu_sel = '0' else '1';
dsurtsn_int <= duo.rtsn when dsu_sel = '1' else u1o.rtsn;
dui.ctsn <= dsuctsn_int when dsu_sel = '1' else '1';
u1i.ctsn <= dsuctsn_int when dsu_sel = '0' else '1';
dsurx_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsurx, dsurx_int);
dsutx_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsutx, dsutx_int);
dsuctsn_pad : inpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsuctsn, dsuctsn_int);
dsurtsn_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech) port map (dsurtsn, dsurtsn_int);
ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate
ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => CFG_NCPU+1)
port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(CFG_NCPU+1),
open, open, open, open, open, open, open, gnd);
end generate;
nojtag : if CFG_AHB_JTAG = 0 generate apbo(CFG_NCPU+1) <= apb_none; end generate;
----------------------------------------------------------------------
--- Memory controllers ----------------------------------------------
----------------------------------------------------------------------
memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "01";
memi.brdyn <= '0'; memi.bexcn <= '1';
mctrl_gen : if CFG_MCTRL_LEON2 /= 0 generate
mctrl0 : mctrl generic map (hindex => 0, pindex => 0,
paddr => 0, srbanks => 2, ram8 => CFG_MCTRL_RAM8BIT,
ram16 => CFG_MCTRL_RAM16BIT, sden => CFG_MCTRL_SDEN,
invclk => CFG_CLK_NOFB, sepbus => CFG_MCTRL_SEPBUS,
pageburst => CFG_MCTRL_PAGE, rammask => 0, iomask => 0)
port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo);
addr_pad : outpadv generic map (width => 26, tech => padtech, level => cmos, voltage => x25v)
port map (address(25 downto 0), memo.address(26 downto 1));
roms_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (romsn, memo.romsn(0));
oen_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (oen, memo.oen);
adv_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (adv, '0');
wri_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (writen, memo.writen);
data_pad : iopadvv generic map (tech => padtech, width => 16, level => cmos, voltage => x25v)
port map (data(15 downto 0), memo.data(31 downto 16),
memo.vbdrive(31 downto 16), memi.data(31 downto 16));
end generate;
nomctrl : if CFG_MCTRL_LEON2 = 0 generate
roms_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (romsn, vcc); --ahbso(0) <= ahbso_none;
end generate;
----------------------------------------------------------------------
--- DDR3 memory controller ------------------------------------------
----------------------------------------------------------------------
mig_gen : if (CFG_MIG_SERIES7 = 1) generate
gen_mig : if (USE_MIG_INTERFACE_MODEL /= true) generate
ddrc : ahb2mig_series7 generic map(
hindex => 4, haddr => 16#400#, hmask => 16#C00#,
pindex => 4, paddr => 4,
SIM_BYPASS_INIT_CAL => SIM_BYPASS_INIT_CAL,
SIMULATION => SIMULATION, USE_MIG_INTERFACE_MODEL => USE_MIG_INTERFACE_MODEL)
port map(
ddr3_dq => ddr3_dq,
ddr3_dqs_p => ddr3_dqs_p,
ddr3_dqs_n => ddr3_dqs_n,
ddr3_addr => ddr3_addr,
ddr3_ba => ddr3_ba,
ddr3_ras_n => ddr3_ras_n,
ddr3_cas_n => ddr3_cas_n,
ddr3_we_n => ddr3_we_n,
ddr3_reset_n => ddr3_reset_n,
ddr3_ck_p => ddr3_ck_p,
ddr3_ck_n => ddr3_ck_n,
ddr3_cke => ddr3_cke,
ddr3_cs_n => ddr3_cs_n,
ddr3_dm => ddr3_dm,
ddr3_odt => ddr3_odt,
ahbsi => ahbsi,
ahbso => ahbso(4),
apbi => apbi,
apbo => apbo(4),
calib_done => calib_done,
rst_n_syn => migrstn,
rst_n_async => rstraw,
clk_amba => clkm,
sys_clk_p => clk200p,
sys_clk_n => clk200n,
clk_ref_i => clkref,
ui_clk => clkm,
ui_clk_sync_rst => open
);
clkgenmigref0 : clkgen
generic map (clktech, 16, 8, 0,CFG_CLK_NOFB, 0, 0, 0, 100000)
port map (clkm, clkm, clkref, open, open, open, open, cgi, cgo, open, open, open);
end generate gen_mig;
gen_mig_model : if (USE_MIG_INTERFACE_MODEL = true) generate
-- pragma translate_off
mig_ahbram : ahbram_sim
generic map (
hindex => 4,
haddr => 16#400#,
hmask => 16#C00#,
tech => 0,
kbytes => 1000,
pipe => 0,
maccsz => AHBDW,
fname => "ram.srec"
)
port map(
rst => rstn,
clk => clkm,
ahbsi => ahbsi,
ahbso => ahbso(4)
);
ddr3_dq <= (others => 'Z');
ddr3_dqs_p <= (others => 'Z');
ddr3_dqs_n <= (others => 'Z');
ddr3_addr <= (others => '0');
ddr3_ba <= (others => '0');
ddr3_ras_n <= '0';
ddr3_cas_n <= '0';
ddr3_we_n <= '0';
ddr3_reset_n <= '1';
ddr3_ck_p <= (others => '0');
ddr3_ck_n <= (others => '0');
ddr3_cke <= (others => '0');
ddr3_cs_n <= (others => '0');
ddr3_dm <= (others => '0');
ddr3_odt <= (others => '0');
--calib_done : out std_logic;
calib_done <= '1';
--ui_clk : out std_logic;
clkm <= not clkm after 5.0 ns;
--ui_clk_sync_rst : out std_logic
-- n/a
-- pragma translate_on
end generate gen_mig_model;
end generate;
no_mig_gen : if (CFG_MIG_SERIES7 = 0) generate
ahbram0 : ahbram
generic map (hindex => 4, haddr => 16#400#, tech => CFG_MEMTECH, kbytes => 32)
port map ( rstn, clkm, ahbsi, ahbso(4));
ddrdummy0 : ddr_dummy
port map (
ddr_dq => ddr3_dq,
ddr_dqs => ddr3_dqs_p,
ddr_dqs_n => ddr3_dqs_n,
ddr_addr => ddr3_addr,
ddr_ba => ddr3_ba,
ddr_ras_n => ddr3_ras_n,
ddr_cas_n => ddr3_cas_n,
ddr_we_n => ddr3_we_n,
ddr_reset_n => ddr3_reset_n,
ddr_ck_p => ddr3_ck_p,
ddr_ck_n => ddr3_ck_n,
ddr_cke => ddr3_cke,
ddr_cs_n => ddr3_cs_n,
ddr_dm => ddr3_dm,
ddr_odt => ddr3_odt
);
calib_done <= '1';
end generate;
led2_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v)
port map (led(2), calib_done);
led3_pad : outpad generic map (tech => padtech, level => cmos, voltage => x15v)
port map (led(3), lock);
led4_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (led(4), ahbso(4).hready);
-----------------------------------------------------------------------
--- ETHERNET ---------------------------------------------------------
-----------------------------------------------------------------------
eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC
e1 : grethm
generic map(
hindex => CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG,
pindex => 14, paddr => 16#C00#, pmask => 16#C00#, pirq => 14, memtech => memtech,
mdcscaler => CPU_FREQ/1000, rmii => 0, enable_mdio => 1, fifosize => CFG_ETH_FIFO,
nsync => 2, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, phyrstadr => 7,
macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, enable_mdint => 1,
ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL,
giga => CFG_GRETH1G, ramdebug => 2)
port map( rst => rstn, clk => clkm, ahbmi => ahbmi,
ahbmo => ahbmo(CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG),
apbi => apbi, apbo => apbo(14), ethi => ethi, etho => etho);
-----------------------------------------------------------------------------
-- An IDELAYCTRL primitive needs to be instantiated for the Fixed Tap Delay
-- mode of the IDELAY.
-- All IDELAYs in Fixed Tap Delay mode and the IDELAYCTRL primitives have
-- to be LOC'ed in the UCF file.
-----------------------------------------------------------------------------
dlyctrl0 : IDELAYCTRL port map (
RDY => OPEN,
REFCLK => io_ref,
RST => idelayctrl_reset
);
delay_rgmii_rx_ctl0 : IODELAYE1 generic map(
DELAY_SRC => "I",
IDELAY_TYPE => "FIXED",
IDELAY_VALUE => 20
)
port map(
IDATAIN => rgmiii_buf.rx_dv,
ODATAIN => '0',
DATAOUT => rgmiii.rx_dv,
DATAIN => '0',
C => '0',
T => '1',
CE => '0',
INC => '0',
CINVCTRL => '0',
CLKIN => '0',
CNTVALUEIN => "00000",
CNTVALUEOUT => OPEN,
RST => '0'
);
rgmii_rxd : for i in 0 to 3 generate
delay_rgmii_rxd0 : IODELAYE1 generic map(
DELAY_SRC => "I",
IDELAY_TYPE => "FIXED",
IDELAY_VALUE => 20
)
port map(
IDATAIN => rgmiii_buf.rxd(i),
ODATAIN => '0',
DATAOUT => rgmiii.rxd(i),
DATAIN => '0',
C => '0',
T => '1',
CE => '0',
INC => '0',
CINVCTRL => '0',
CLKIN => '0',
CNTVALUEIN => "00000",
CNTVALUEOUT => OPEN,
RST => '0'
);
end generate;
-- Generate a synchron delayed reset for Xilinx IO delay
rst1 : rstgen
generic map (acthigh => 1)
port map (rst, io_ref, lock, rstgtxn, OPEN);
process (io_ref,rstgtxn)
begin
if (rstgtxn = '0') then
idelay_reset_cnt <= (others => '0');
idelayctrl_reset <= '1';
elsif rising_edge(io_ref) then
if (idelay_reset_cnt > "1110") then
idelay_reset_cnt <= (others => '1');
idelayctrl_reset <= '0';
else
idelay_reset_cnt <= idelay_reset_cnt + 1;
idelayctrl_reset <= '1';
end if;
end if;
end process;
-- RGMII Interface
rgmii0 : rgmii generic map (pindex => 11, paddr => 16#010#, pmask => 16#ff0#, tech => fabtech,
gmii => CFG_GRETH1G, debugmem => 1, abits => 8, no_clk_mux => 1,
pirq => 11, use90degtxclk => 1)
port map (rstn, ethi, etho, rgmiii, rgmiio, clkm, rstn, apbi, apbo(11));
egtxc_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1)
port map (phy_gtxclk, rgmiio.tx_clk);
erxc_pad : clkpad generic map (tech => padtech, level => cmos, voltage => x25v, arch => 4)
port map (phy_rxclk, rgmiii.rx_clk);
erxd_pad : inpadv generic map (tech => padtech, level => cmos, voltage => x25v, width => 4)
port map (phy_rxd, rgmiii_buf.rxd(3 downto 0));
erxdv_pad : inpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_rxctl_rxdv, rgmiii_buf.rx_dv);
etxd_pad : outpadv generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1, width => 4)
port map (phy_txd, rgmiio.txd(3 downto 0));
etxen_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v, slew => 1)
port map (phy_txctl_txen, rgmiio.tx_en);
emdio_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_mdio, rgmiio.mdio_o, rgmiio.mdio_oe, rgmiii.mdio_i);
emdc_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_mdc, rgmiio.mdc);
eint_pad : inpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_int, rgmiii.mdint);
erst_pad : outpad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (phy_reset, rgmiio.reset);
-- GTX Clock
rgmiii.gtx_clk <= gtx_clk;
-- 125MHz input clock
ibufds_gtrefclk : IBUFDS_GTE2
port map (
I => gtrefclk_p,
IB => gtrefclk_n,
CEB => '0',
O => gtx_clk_nobuf,
ODIV2 => open
);
cgi2.pllctrl <= "00"; cgi2.pllrst <= rstraw;
clkgen_gtrefclk : clkgen
generic map (clktech, 8, 8, 0, 0, 0, 0, 0, 125000)
port map (gtx_clk_nobuf, gtx_clk_nobuf, gtx_clk, rgmiii.tx_clk_90, io_ref, open, open, cgi2, cgo2, open, open, open);
end generate;
noeth0 : if CFG_GRETH = 0 generate
-- TODO:
end generate;
----------------------------------------------------------------------
--- I2C Controller --------------------------------------------------
----------------------------------------------------------------------
--i2cm: if CFG_I2C_ENABLE = 1 generate -- I2C master
i2c0 : i2cmst generic map (pindex => 9, paddr => 9, pmask => 16#FFF#, pirq => 9, filter => 9)
port map (rstn, clkm, apbi, apbo(9), i2ci, i2co);
i2c_scl_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (iic_scl, i2co.scl, i2co.scloen, i2ci.scl);
i2c_sda_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (iic_sda, i2co.sda, i2co.sdaoen, i2ci.sda);
--end generate i2cm;
----------------------------------------------------------------------
--- APB Bridge and various periherals -------------------------------
----------------------------------------------------------------------
apb0 : apbctrl -- AHB/APB bridge
generic map (hindex => 1, haddr => CFG_APBADDR, nslaves => 16, debug => 2)
port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo );
irqctrl : if CFG_IRQ3_ENABLE /= 0 generate
irqctrl0 : irqmp -- interrupt controller
generic map (pindex => 2, paddr => 2, ncpu => CFG_NCPU)
port map (rstn, clkm, apbi, apbo(2), irqo, irqi);
end generate;
irq3 : if CFG_IRQ3_ENABLE = 0 generate
x : for i in 0 to CFG_NCPU-1 generate
irqi(i).irl <= "0000";
end generate;
apbo(2) <= apb_none;
end generate;
gpt : if CFG_GPT_ENABLE /= 0 generate
timer0 : gptimer -- timer unit
generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ,
sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM,
nbits => CFG_GPT_TW, wdog => CFG_GPT_WDOGEN*CFG_GPT_WDOG)
port map (rstn, clkm, apbi, apbo(3), gpti, gpto);
gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0';
end generate;
nogpt : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate;
gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GPIO unit
grgpio0: grgpio
generic map(pindex => 10, paddr => 10, imask => CFG_GRGPIO_IMASK, nbits => 7)
port map(rst => rstn, clk => clkm, apbi => apbi, apbo => apbo(10),
gpioi => gpioi, gpioo => gpioo);
pio_pads : for i in 0 to 2 generate
pio_pad : iopad generic map (tech => padtech, level => cmos, voltage => x25v)
port map (switch(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i));
end generate;
pio_pads2 : for i in 3 to 5 generate
pio_pad : inpad generic map (tech => padtech, level => cmos, voltage => x15v)
port map (button(i-2), gpioi.din(i));
end generate;
end generate;
ua1 : if CFG_UART1_ENABLE /= 0 generate
uart1 : apbuart -- UART 1
generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart,
fifosize => CFG_UART1_FIFO)
port map (rstn, clkm, apbi, apbo(1), u1i, u1o);
u1i.extclk <= '0';
serrx_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech)
port map (led(5), rxd1);
sertx_pad : outpad generic map (level => cmos, voltage => x25v, tech => padtech)
port map (led(6), txd1);
end generate;
noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate;
ahbs : if CFG_AHBSTAT = 1 generate -- AHB status register
ahbstat0 : ahbstat generic map (pindex => 15, paddr => 15, pirq => 7,
nftslv => CFG_AHBSTATN)
port map (rstn, clkm, ahbmi, ahbsi, stati, apbi, apbo(15));
end generate;
-----------------------------------------------------------------------
--- AHB ROM ----------------------------------------------------------
-----------------------------------------------------------------------
bpromgen : if CFG_AHBROMEN /= 0 generate
brom : entity work.ahbrom
generic map (hindex => 7, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP)
port map ( rstn, clkm, ahbsi, ahbso(7));
end generate;
-----------------------------------------------------------------------
--- AHB RAM ----------------------------------------------------------
-----------------------------------------------------------------------
ocram : if CFG_AHBRAMEN = 1 generate
ahbram0 : ahbram generic map (hindex => 5, haddr => CFG_AHBRADDR,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
port map ( rstn, clkm, ahbsi, ahbso(5));
end generate;
-----------------------------------------------------------------------
--- Test report module ----------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
test0_gen : if (testahb = true) generate
test0 : ahbrep generic map (hindex => 3, haddr => 16#200#)
port map (rstn, clkm, ahbsi, ahbso(3));
end generate;
-- pragma translate_on
test1_gen : if (testahb = false) generate
ahbram0 : ahbram generic map (hindex => 3, haddr => 16#200#,
tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ)
port map ( rstn, clkm, ahbsi, ahbso(3));
end generate;
-----------------------------------------------------------------------
--- Drive unused bus elements ---------------------------------------
-----------------------------------------------------------------------
nam1 : for i in (CFG_NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_GRETH+1) to NAHBMST-1 generate
ahbmo(i) <= ahbm_none;
end generate;
-----------------------------------------------------------------------
--- Boot message ----------------------------------------------------
-----------------------------------------------------------------------
-- pragma translate_off
x : report_design
generic map (
msg1 => "LEON3 Xilinx KC705 Demonstration design",
fabtech => tech_table(fabtech), memtech => tech_table(memtech),
mdel => 1
);
-- pragma translate_on
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/tech/dware/simprims/DW_Foundation_comp_arith.vhd | 4 | 2072 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_misc.all;
package DW_Foundation_comp_arith is
component DW_mult_pipe
generic (
a_width : positive; -- multiplier word width
b_width : positive; -- multiplicand word width
num_stages : positive := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1; -- '0': non-stallable; '1': stallable
rst_mode : natural range 0 to 2 := 1; -- '0': none; '1': async; '2': sync
op_iso_mode : natural range 0 to 4 := 0); -- '0': apply Power Compiler user setting; '1': noop; '2': and; '3': or; '4' preferred style...'and'
port (
clk : in std_logic; -- register clock
rst_n : in std_logic; -- register reset
en : in std_logic; -- register enable
tc : in std_logic; -- '0' : unsigned, '1' : signed
a : in std_logic_vector(a_width-1 downto 0); -- multiplier
b : in std_logic_vector(b_width-1 downto 0); -- multiplicand
product : out std_logic_vector(a_width+b_width-1 downto 0)); -- product
end component;
component DW02_mult
generic( A_width: NATURAL; -- multiplier wordlength
B_width: NATURAL); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
component DW02_mult_2_stage
generic( A_width: POSITIVE; -- multiplier wordlength
B_width: POSITIVE); -- multiplicand wordlength
port(A : in std_logic_vector(A_width-1 downto 0);
B : in std_logic_vector(B_width-1 downto 0);
TC : in std_logic; -- signed -> '1', unsigned -> '0'
CLK : in std_logic; -- clock for the stage registers.
PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0));
end component;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep2s60-sdr/testbench.vhd | 1 | 9465 | ------------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library micron;
use micron.components.all;
use work.debug.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 20; -- system clock period
romwidth : integer := 8; -- rom data width (8/32)
romdepth : integer := 23; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 1 -- number of ram banks
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal clk : std_logic := '0';
signal clkout, pllref : std_ulogic;
signal Rst : std_logic := '0'; -- Reset
constant ct : integer := clkperiod/2;
signal address : std_logic_vector(23 downto 0);
signal data : std_logic_vector(31 downto 0);
signal ramsn : std_ulogic;
signal ramoen : std_ulogic;
signal rwen : std_ulogic;
signal mben : std_logic_vector(3 downto 0);
--signal rwenx : std_logic_vector(3 downto 0);
signal romsn : std_ulogic;
signal iosn : std_ulogic;
signal oen : std_ulogic;
--signal read : std_ulogic;
signal writen : std_ulogic;
signal brdyn : std_ulogic;
signal bexcn : std_ulogic;
signal wdog : std_ulogic;
signal dsuen, dsutx, dsurx, dsubren, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal test : std_ulogic;
signal error : std_logic;
signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk2 : std_ulogic := '1';
signal sdcke : std_ulogic; -- clk en
signal sdcsn : std_ulogic; -- chip sel
signal sdwen : std_ulogic; -- write en
signal sdrasn : std_ulogic; -- row addr stb
signal sdcasn : std_ulogic; -- col addr stb
signal sddqm : std_logic_vector (3 downto 0); -- data i/o mask
signal sdclk : std_ulogic;
signal sdba : std_logic_vector(1 downto 0);
signal plllock : std_ulogic;
signal txd1, rxd1 : std_ulogic;
--signal txd2, rxd2 : std_ulogic;
-- for smc lan chip
signal eth_aen : std_ulogic; -- for smsc eth
signal eth_readn : std_ulogic; -- for smsc eth
signal eth_writen : std_ulogic; -- for smsc eth
signal eth_nbe : std_logic_vector(3 downto 0); -- for smsc eth
signal eth_datacsn : std_ulogic;
constant lresp : boolean := false;
signal sa : std_logic_vector(14 downto 0);
signal sd : std_logic_vector(31 downto 0);
begin
-- clock and reset
clk <= not clk after ct * 1 ns;
rst <= dsurst;
dsubren <= '1'; rxd1 <= '1';
d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech,
ncpu, disas, dbguart, pclow )
port map (rst, clk, error, address, data, ramsn, ramoen, rwen, mben, iosn,
romsn, oen, writen, open, open, sa(11 downto 0), sd, sdclk, sdcke,
sdcsn, sdwen, sdrasn, sdcasn, sddqm, sdba, dsutx, dsurx, dsubren,
dsuact, rxd1, txd1, eth_aen, eth_readn,
eth_writen, eth_nbe);
sd1 : if (CFG_MCTRL_SDEN = 1) and (CFG_MCTRL_SEPBUS = 1) generate
u0: mt48lc16m16a2 generic map (index => 0, fname => sdramfile)
PORT MAP(
Dq => sd(31 downto 16), Addr => sa(12 downto 0),
Ba => sdba, Clk => sdclk, Cke => sdcke,
Cs_n => sdcsn, Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(3 downto 2));
u1: mt48lc16m16a2 generic map (index => 16, fname => sdramfile)
PORT MAP(
Dq => sd(15 downto 0), Addr => sa(12 downto 0),
Ba => sdba, Clk => sdclk, Cke => sdcke,
Cs_n => sdcsn, Ras_n => sdrasn, Cas_n => sdcasn, We_n => sdwen,
Dqm => sddqm(1 downto 0));
end generate;
-- 8 bit prom
prom0 : sram generic map (index => 6, abits => romdepth, fname => promfile)
port map (address(romdepth-1 downto 0), data(31 downto 24),
romsn, rwen, oen);
sram0 : for i in 0 to (sramwidth/8)-1 generate
sr0 : sram generic map (index => i, abits => sramdepth, fname => sramfile)
port map (address(sramdepth+1 downto 2), data(31-i*8 downto 24-i*8), ramsn,
rwen, ramoen);
end generate;
error <= 'H'; -- ERROR pull-up
iuerr : process
begin
wait for 2500 ns;
if to_x01(error) = '1' then wait on error; end if;
assert (to_x01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
data <= buskeep(data), (others => 'H') after 250 ns;
sd <= buskeep(sd), (others => 'H') after 250 ns;
test0 : grtestmod
port map ( rst, clk, error, address(21 downto 2), data,
iosn, oen, writen, brdyn);
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 160 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 500 ns;
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp);
txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/pci/grpci1/pci_mtf.vhd | 1 | 99259 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: pci_mtf
-- File: pci_mtf.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Modified: Alf Vaerneus - Gaisler Research
-- Description: PCI master and target interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.pci.all;
use gaisler.pcilib.all;
entity pci_mtf is
generic (
memtech : integer := DEFMEMTECH;
hmstndx : integer := 0;
dmamst : integer := NAHBMST;
readpref : integer := 0;
abits : integer := 21;
dmaabits : integer := 26;
fifodepth : integer := 3; -- FIFO depth
device_id : integer := 0; -- PCI device ID
vendor_id : integer := 0; -- PCI vendor ID
master : integer := 1; -- Enable PCI Master
hslvndx : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
haddr : integer := 16#F00#;
hmask : integer := 16#F00#;
ioaddr : integer := 16#000#;
irq : integer := 0;
irqmask : integer := 0;
nsync : integer range 1 to 2 := 2; -- 1 or 2 sync regs between clocks
oepol : integer := 0;
endian : integer := 0; -- 0 little, 1 big
class_code: integer := 16#0B4000#;
rev : integer := 0;
scanen : integer := 0;
syncrst : integer := 0;
hostrst : integer := 0);
port(
rst : in std_logic;
clk : in std_logic;
pciclk : in std_logic;
pcii : in pci_in_type;
pcio : out pci_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type
);
attribute sync_set_reset of rst : signal is "true";
end;
architecture rtl of pci_mtf is
function byte_twist(di : in std_logic_vector(31 downto 0); enable : in std_logic) return std_logic_vector is
variable do : std_logic_vector(31 downto 0);
begin
if enable = '1' then
for i in 0 to 3 loop
do(31-i*8 downto 24-i*8) := di(31-(3-i)*8 downto 24-(3-i)*8);
end loop;
else
do := di;
end if;
return do;
end function;
function nr_of_1(di : in integer) return integer is
variable vec : unsigned(31 downto 0);
variable ones : integer;
begin
ones := 0;
vec := to_unsigned(di,32);
for i in 0 to 31 loop
if vec(i) = '1' then
ones := ones + 1;
end if;
end loop;
return ones;
end function;
constant REVISION : amba_version_type := rev;
constant CSYNC : integer := nsync-1;
constant HADDR_WIDTH : integer := 28;
constant MADDR_WIDTH : integer := abits;
constant DMAMADDR_WIDTH : integer := dmaabits;
constant FIFO_DEPTH : integer := fifodepth;
constant FIFO_FULL : std_logic_vector(FIFO_DEPTH - 2 downto 0) := (others => '1');
constant FIFO_DATA_BITS : integer := 32; -- One valid bit
constant NO_CPU_REGS : integer := 6; -- Number of CPU sync registers (pci->ahb)
constant NO_PCI_REGS : integer := 6; -- Number of PCI sync registers (ahb->pci)
constant HMASK_WIDTH : integer := nr_of_1(hmask);
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_PCIFBRG, 0, REVISION, irq),
1 => apb_iobar(paddr, pmask));
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_PCIFBRG, 0, REVISION, 0),
4 => ahb_membar(haddr, '0', '0', hmask),
5 => ahb_iobar (ioaddr, 16#E00#),
others => zero32);
type pci_input_type is record
ad : std_logic_vector(31 downto 0);
cbe : std_logic_vector(3 downto 0);
frame : std_logic;
devsel : std_logic;
idsel : std_logic;
trdy : std_logic;
irdy : std_logic;
par : std_logic;
stop : std_logic;
gnt : std_logic;
host : std_logic;
end record;
type pci_fifo_in_type is record
ren : std_logic;
raddr : std_logic_vector(FIFO_DEPTH - 1 downto 0);
wen : std_logic;
waddr : std_logic_vector(FIFO_DEPTH - 1 downto 0);
wdata : std_logic_vector(FIFO_DATA_BITS - 1 downto 0);
end record;
type pci_fifo_out_type is record
rdata : std_logic_vector(FIFO_DATA_BITS - 1 downto 0);
end record;
type fifo_type is record
side : std_logic; -- Owner access side. Receiver accesses the other side
raddr : std_logic_vector(FIFO_DEPTH - 2 downto 0);
waddr : std_logic_vector(FIFO_DEPTH - 2 downto 0);
end record;
type pci_target_state_type is (idle, b_busy, s_data, backoff, turn_ar);
type pci_master_state_type is (idle, addr, m_data, turn_ar, s_tar, dr_bus);
type pci_master_fifo_state_type is (idle, addr, incr, last1, sync, t_retry, ttermwd, ttermnd, abort, done, wdone);
type pci_target_type is record
state : pci_target_state_type;
cnt : std_logic_vector(2 downto 0);
csel : std_logic; -- Configuration chip select
msel : std_logic; -- Memory hit
barsel : std_logic; -- Memory hit
psel : std_logic; -- Page hit
addr : std_logic_vector(31 downto 0);
laddr : std_logic_vector(31 downto 0);
lsize : std_logic_vector(1 downto 0);
lcbe : std_logic_vector(3 downto 0);
lwrite : std_logic;
lburst : std_logic;
lmult : std_logic;
mult : std_logic;
read : std_logic; -- PCI target read
burst : std_logic;
pending : std_logic;
wdel : std_logic;
last : std_logic;
fifo : fifo_type;
trdy_del : std_logic; -- (delay trdy to send last word in fifo) bug fix ***
thold : std_logic; -- hold target while last word is transfered
thold2 : std_logic; -- hold target while last word is transfered
ready_del: std_logic; -- delayed ready
detectperr : std_logic_vector(1 downto 0);
end record;
type pci_master_type is record
state : pci_master_state_type;
fstate : pci_master_fifo_state_type;
cnt : std_logic_vector(2 downto 0);
ltim : std_logic_vector(7 downto 0); -- Latency timer
request : std_logic;
hwrite : std_logic;
stop_req : std_logic;
last : std_logic;
valid : std_logic;
split : std_logic;
first : std_logic;
firstw : std_logic;
fifo : fifo_type;
rmdone : std_logic; -- bug fix ***
stopframe: std_logic;
lto : std_logic; -- bug fix latency timer timeout
detectperr : std_logic_vector(1 downto 0);
end record;
type pci_sync_regs is array (0 to NO_PCI_REGS - 1) of std_logic_vector(csync downto 0);
type pci_reg_type is record
pci : pci_sigs_type;
noe_par : std_logic;
noe_ad : std_logic;
noe_ctrl : std_logic;
noe_cbe : std_logic;
noe_frame : std_logic;
noe_irdy : std_logic;
noe_req : std_logic;
noe_perr : std_logic;
noe_serr : std_logic;
m : pci_master_type;
t : pci_target_type;
comm : pci_config_command_type; -- Command register
stat : pci_config_status_type; -- Status register
bar0 : std_logic_vector(31 downto MADDR_WIDTH); -- Base Address register 0
bar1 : std_logic_vector(31 downto DMAMADDR_WIDTH); -- Base Address register 1
bar0_conf : std_logic;
bar1_conf : std_logic;
page : std_logic_vector(31 downto MADDR_WIDTH-1); -- AHB page
bt_enable : std_logic; -- Byte twist enable, page0 bit 0
ltim : std_logic_vector(7 downto 0); -- Latency timer
cline : std_logic_vector(7 downto 0); -- Cache Line Size
intline : std_logic_vector(7 downto 0); -- Interrupt Line
syncs : pci_sync_regs;
trans : std_logic_vector(NO_CPU_REGS - 1 downto 0);
end record;
type cpu_master_state_type is (idle, cbe_prepare, write, read_w, read, stop);
type cpu_slave_state_type is (idle, w_wait, t_data, r_hold, r_wait, w_done, t_done);
type cpu_master_type is record
state : cpu_master_state_type; -- AMBA master state machine
dmaddr : std_logic_vector(31 downto 0);
fifo : fifo_type;
cbe_fifo : fifo_type;
cur_cbe : std_logic_vector(3 downto 0);
cbe_prep_cnt : std_ulogic;
read_half : std_logic;
last_side_wr : std_ulogic;
end record;
type cpu_slave_type is record
state : cpu_slave_state_type; -- AMBA slave state machine
maddr : std_logic_vector(31 downto 0);
mdata : std_logic_vector(31 downto 0);
be : std_logic_vector(3 downto 0);
perror : std_logic;
hresp : std_logic_vector(1 downto 0);
hready : std_logic;
htrans : std_logic_vector(1 downto 0);
hmaster : std_logic_vector(3 downto 0);
pcicomm : std_logic_vector(3 downto 0);
hold : std_logic;
fifos_write : std_logic;
fifo : fifo_type;
last_side : std_logic;
hold_retry : std_logic_vector(1 downto 0); -- Used to detect non-burst accesses in r_hold state -- ***
end record;
type cpu_sync_regs is array (0 to NO_CPU_REGS - 1) of std_logic_vector(csync downto 0);
type cpu_reg_type is record
m : cpu_master_type;
s : cpu_slave_type;
syncs : cpu_sync_regs;
trans : std_logic_vector(NO_PCI_REGS - 1 downto 0);
pciba : std_logic_vector(HMASK_WIDTH-1 downto 0);
cfto : std_logic;
wcomm : std_logic;
rcomm : std_logic;
werr : std_logic;
clscnt : std_logic_vector(8 downto 0);
dmapage : std_logic_vector(31 downto DMAMADDR_WIDTH); -- DMA page
ioba : std_logic_vector(15 downto 0);
bus_nr : std_logic_vector(3 downto 0);
irq : std_logic_vector(9 downto 0);
irq_en : std_logic_vector(9 downto 0);
pirq : std_logic_vector(0 to 1);
end record;
signal clk_int : std_logic;
signal pr : pci_input_type;
signal r, rin : pci_reg_type;
signal r2, r2in : cpu_reg_type;
signal dmai : pci_ahb_dma_in_type;
signal dmao : pci_ahb_dma_out_type;
signal fifo1i, fifo2i, fifo3i, fifo4i, cbe_fifoi : pci_fifo_in_type;
signal fifo1o, fifo2o, fifo3o, fifo4o, cbe_fifoo : pci_fifo_out_type;
signal roe_ad, rioe_ad, ad, adin : std_logic_vector(31 downto 0);
signal pcirst : std_logic;
signal prrst : std_logic;
signal pcirstin : std_logic;
--attribute sync_set_reset : string;
attribute sync_set_reset of prrst : signal is "true";
attribute async_set_reset : string;
attribute async_set_reset of pcirst : signal is "true";
attribute sync_set_reset of pcirst : signal is "true";
attribute syn_preserve : boolean;
attribute syn_preserve of roe_ad : signal is true;
attribute syn_ramstyle : string;
attribute syn_ramstyle of ad : signal is "registers";
attribute syn_preserve of ad : signal is true;
begin
-----------------------------------------------
-- Back-end state machine (AHB clock domain) --
-----------------------------------------------
comb : process (rst, r2, r, dmao, ahbsi, fifo2o, fifo4o, apbi, pr,
cbe_fifoo, dmai, pcii)
variable vdmai : pci_ahb_dma_in_type;
variable v : cpu_reg_type;
variable hready : std_logic;
variable hresp, hsize : std_logic_vector(1 downto 0);
variable p_done, wsdone, wmdone, rtdone, rmdone : std_logic;
variable pstart, habort, hstart_ack : std_logic;
variable hstart, pabort, pstart_ack, pcidc : std_logic;
variable i : integer range 0 to NO_CPU_REGS;
variable fifom_write, fifos_write : std_logic;
variable prdata : std_logic_vector(31 downto 0);
variable wmvalid, wsvalid, rmvalid, rsvalid, burst_read, hold : std_logic;
variable fifors_limit, fifows_limit,fiform_limit, fifowm_limit, fifows_stop : std_logic;
variable comp, request, s_read_side, m_read_side : std_logic;
variable ahb_access : std_logic; -- *** access control fix
variable start, single_access : std_logic;
variable next_cbe : std_logic_vector(3 downto 0);
variable byteaddr : std_logic_vector(1 downto 0);
begin
v := r2;
vdmai.start := '0';
vdmai.irq := '0'; vdmai.busy := '0'; vdmai.burst := '1';
vdmai.wdata := fifo2o.rdata(31 downto 0); vdmai.write := r.t.lwrite;
rmvalid := '1'; wmvalid := '1'; request := '0'; hold := '0';
rsvalid := '1'; wsvalid := '1'; burst_read := '0';
hready := '1'; hresp := HRESP_OKAY; hsize := "10";
fifom_write := '0'; v.s.fifos_write := '0';
comp := '0'; prdata := (others => '0'); v.s.hold := '0';
s_read_side := not r.m.fifo.side; m_read_side := not r.t.fifo.side;
ahb_access := '0'; -- *** access control fix
-- Synch registers
pstart := r2.trans(0);
habort := r2.trans(1);
hstart_ack := r2.trans(2);
-- fifows_limit := r2.trans(3);
wsdone := r2.trans(4);
wmdone := r2.trans(5);
for i in 0 to NO_CPU_REGS - 1 loop
v.syncs(i)(csync) := r.trans(i);
if csync /= 0 then v.syncs(i)(0) := r2.syncs(i)(csync); end if;
end loop;
hstart := r2.syncs(0)(0);
pabort := r2.syncs(1)(0);
pstart_ack := r2.syncs(2)(0);
pcidc := r2.syncs(3)(0);
rtdone := r2.syncs(4)(0);
rmdone := r2.syncs(5)(0);
p_done := pstart_ack or pabort;
-- Interrupts
if irq /= 0 then
if to_x01(pcii.host) = '0' then
v.irq(3 downto 0) := (not pcii.int);
end if;
end if;
v.irq(9 downto 4) := r.stat.dpe & r.stat.sse & r.stat.rma & r.stat.rta & r.stat.sta & r.stat.dped;
apbo.pirq <= (others => '0');
apbo.pirq(irq) <= orv(r2.irq and r2.irq_en);
if r2.m.fifo.raddr = FIFO_FULL then fiform_limit := '1'; else fiform_limit := '0'; end if;
if r2.m.fifo.waddr = FIFO_FULL then fifowm_limit := '1'; else fifowm_limit := '0'; end if;
if r2.s.fifo.raddr = FIFO_FULL then fifors_limit := '1'; else fifors_limit := '0'; end if;
if r2.s.fifo.waddr = FIFO_FULL then fifows_limit := '1'; else fifows_limit := '0'; end if;
if r2.s.fifo.waddr(FIFO_DEPTH - 2 downto 1) = FIFO_FULL(FIFO_DEPTH - 2 downto 1) then fifows_stop := '1'; else fifows_stop := '0'; end if;
-----------------------------------
---- APB Control & Status regs ----
-----------------------------------
if (apbi.psel(pindex) and apbi.penable) = '1' then
case apbi.paddr(4 downto 2) is
when "000" =>
if apbi.pwrite = '1' then
v.pciba := apbi.pwdata(31 downto 31-HMASK_WIDTH+1);
v.bus_nr := apbi.pwdata(26 downto 23);
v.werr := r2.werr and not apbi.pwdata(14);
v.wcomm := apbi.pwdata(10) and r.comm.mwie;
v.rcomm := apbi.pwdata(9);
end if;
prdata(31 downto 31-HMASK_WIDTH+1) := r2.pciba;
prdata(26 downto 23) := r2.bus_nr;
prdata(22 downto 0) := r.ltim & r2.werr & not pr.host & r.comm.msen & r.comm.men & r2.wcomm & r2.rcomm & r2.cfto & r.cline;
when "001" =>
prdata := r.bar0(31 downto MADDR_WIDTH) & addzero(MADDR_WIDTH-1 downto 0);
when "010" =>
prdata := r.page(31 downto MADDR_WIDTH-1) & addzero(MADDR_WIDTH-2 downto 1) & r.bt_enable;
when "011" =>
prdata := r.bar1(31 downto DMAMADDR_WIDTH) & addzero(DMAMADDR_WIDTH-1 downto 0);
when "100" =>
if apbi.pwrite = '1' then
v.dmapage(31 downto DMAMADDR_WIDTH) := apbi.pwdata(31 downto DMAMADDR_WIDTH);
end if;
prdata := r2.dmapage(31 downto DMAMADDR_WIDTH) & addzero(DMAMADDR_WIDTH-1 downto 0);
when "101" =>
if apbi.pwrite = '1' then
v.ioba := apbi.pwdata(31 downto 16);
end if;
prdata := r2.ioba & addzero(15 downto 4) & hstart & hstart_ack & pstart & pstart_ack;
when "110" =>
prdata(1) := r.comm.men;
prdata(2) := r.comm.msen;
prdata(4) := r.comm.mwie;
prdata(6) := r.comm.per;
prdata(8) := r.comm.ser;
prdata(24) := r.stat.dped;
prdata(26) := '1';
prdata(27) := r.stat.sta;
prdata(28) := r.stat.rta;
prdata(29) := r.stat.rma;
prdata(30) := r.stat.sse;
prdata(31) := r.stat.dpe;
when "111" =>
if apbi.pwrite = '1' then
v.irq_en := apbi.pwdata(25 downto 16);
end if;
prdata(31 downto 26) := (others => '0');
prdata(25 downto 16) := r2.irq_en;
prdata(15 downto 10) := (others => '0');
prdata(9 downto 0) := r2.irq;
when others =>
end case;
end if;
---------------------
---- AHB MASTER ----
---------------------
-- Burst control
if (r2.m.state = read or r2.m.state = read_w) then
if r.t.lmult = '1' then
comp := fifowm_limit and r2.m.fifo.side;
elsif r.t.lburst = '1' then
if r2.clscnt(8) = '1' then comp := '1';
else v.clscnt := r2.clscnt - (dmao.active and dmao.ready); end if;
else comp := '1'; end if;
else
v.clscnt := '0' & (r.cline - '1'); -- set burst counter to cache line size
end if;
if (rtdone = '1' and (r2.m.fifo.raddr + '1') = r.t.fifo.waddr) then rmvalid := '0'; end if;
-- step DMA address
if dmao.ready = '1' then
v.m.dmaddr(31 downto 2) := r2.m.dmaddr(31 downto 2) + '1';
end if;
-- Translate current CBE to hsize and address
byteaddr := "00";
if endian = 0 then -- pci is little endian
case r2.m.cur_cbe is
when "0000" => -- 32 bit access
vdmai.size := "10"; byteaddr := "00";
when "1100" => -- 16 bit
vdmai.size := "01"; byteaddr := "00";
when "0011" =>
vdmai.size := "01"; byteaddr := "10";
when "1110" => -- 8 bit
vdmai.size := "00"; byteaddr := "00";
when "1101" =>
vdmai.size := "00"; byteaddr := "01";
when "1011" =>
vdmai.size := "00"; byteaddr := "10";
when "0111" =>
vdmai.size := "00"; byteaddr := "11";
when others => vdmai.size := "10";
end case;
else -- big endian
case r2.m.cur_cbe is
when "0000" => -- 32 bit access
vdmai.size := "10"; byteaddr := "00";
when "0011" => -- 16 bit
vdmai.size := "01"; byteaddr := "00";
when "1100" =>
vdmai.size := "01"; byteaddr := "10";
when "0111" => -- 8 bit
vdmai.size := "00"; byteaddr := "00";
when "1011" =>
vdmai.size := "00"; byteaddr := "01";
when "1101" =>
vdmai.size := "00"; byteaddr := "10";
when "1110" =>
vdmai.size := "00"; byteaddr := "11";
when others => vdmai.size := "10";
end case;
end if;
vdmai.address := r2.m.dmaddr(31 downto 2) & byteaddr;
next_cbe := cbe_fifoo.rdata(3 downto 0);
-- AHB master state machine
case r2.m.state is
when idle =>
v.m.read_half := '0';
v.m.last_side_wr := '0';
v.m.cur_cbe := (others => '0');
v.m.fifo.waddr := (others => '0');
if hstart = '1' then
wmdone := '0';
fifowm_limit := '0';
-- v.m.fifo.waddr := (others => '0');
if r.t.lwrite = '1' then
v.m.dmaddr := r.t.laddr;
v.m.state := write;
v.m.cur_cbe := cbe_fifoo.rdata(3 downto 0);
-- burst access
if rtdone = '0' or conv_integer(r.t.fifo.waddr) /= 1 then
v.m.cbe_fifo.raddr := r2.m.cbe_fifo.raddr + 1;
v.m.state := cbe_prepare;
v.m.cbe_prep_cnt := '1';
end if;
-- vdmai.busy := '1';
-- if rmvalid = '1' then v.m.state := write;
-- else vdmai.start := '0'; v.m.state := stop; end if;
else
--vdmai.start := '1';
v.m.state := read_w;
v.m.dmaddr := r.t.laddr;
end if;
-- Latching dmaddr is now only done when hstart = 1 [nisse]
else --v.m.dmaddr := r.t.laddr;
end if;
when cbe_prepare =>
v.m.cur_cbe := next_cbe;
-- Need to wait for correct cycle to sample next
-- cbe if we have switched FIFO side.
if r2.m.cbe_prep_cnt = '1' then
v.m.state := write;
else
v.m.cbe_prep_cnt := '1';
end if;
when write =>
start := '0';
--if fiform_limit = '1' then
--if fiform_limit = '1' and dmao.start = '1' then -- 1k bug fix (store last word in first
-- v.m.read_half := '1'; -- fifo half if addr = 0x400 ...)
--end if;
--if fiform_limit = '1' and dmao.start = '1' and dmao.ready = '1' then -- 1k bug fix (store last word in first
-- Need to check dmao active and ready to handle retry/split on last word (check dmao start instead of active result in lockup if waitstates on AHB)
if fiform_limit = '1' and dmao.active = '1' and dmao.ready = '1' then -- 1k bug fix (store last word in first
v.m.read_half := '1'; -- fifo half if addr = 0x400 ...)
end if;
-- Don't start again until PCI side is done filling second half of fifo (bug fix kc)
if r2.m.read_half = '1' then
if rtdone = '1' then
start := ((rmvalid and not fiform_limit) or (not dmao.active and not rmvalid));
end if;
else
-- vdmai.start := ((rmvalid and not fiform_limit) or (not dmao.active and not rmvalid));
-- 1k bug fix (store last word in first fifo half if addr = 0x400 ...)
start := ((rmvalid and not v.m.read_half) or (not dmao.active and not rmvalid));
end if;
if (fiform_limit and dmao.active) = '1' then start := '0'; end if; -- [nisse]
-- Burst CBE handling
if rtdone = '0' or conv_integer(r.t.fifo.waddr) /= 1 then
-- Current or access is subword. Must be forced to single access
if r2.m.cur_cbe /= "0000" then
vdmai.burst := '0';
if dmao.active = '1' then
start := '0';
end if;
end if;
-- Next access is subword. Make current access last in burst
if rmvalid = '1' and next_cbe /= "0000" then
if dmao.active = '1' then
start := '0';
end if;
end if;
end if;
vdmai.start := start;
-- End of data phase for access with cur_cbe
if (dmao.active and dmao.ready) = '1' then
v.m.fifo.raddr := r2.m.fifo.raddr + (rmvalid and not fiform_limit and not dmao.mexc);
v.m.cbe_fifo.raddr := r2.m.cbe_fifo.raddr + (rmvalid and not fiform_limit and not dmao.mexc);
v.m.last_side_wr := m_read_side;
-- First half of FIFO
if v.m.read_half = '0' then
v.m.cur_cbe := next_cbe;
-- FIFO side switch
elsif r2.m.read_half = '0' then
v.m.cbe_prep_cnt := '0';
v.m.state := cbe_prepare;
elsif v.m.last_side_wr = '0' then
v.m.cbe_prep_cnt := '0';
v.m.state := cbe_prepare;
-- Second side of FIFO
else
v.m.cur_cbe := next_cbe;
end if;
if (dmao.mexc = '1' or rmvalid = '0') then
habort := dmao.mexc and not r.t.lwrite;
v.werr := r2.werr or (dmao.mexc and r.t.lwrite);
v.m.state := stop;
end if;
end if;
when read_w =>
vdmai.start := not (comp and dmao.active);
if dmao.mexc = '1' then
habort := not r.t.lwrite;
v.werr := '1';
v.m.state := stop;
elsif dmao.ready = '1' then
fifom_write := '1';
wmvalid := not (comp or dmao.mexc);
if comp = '1' then
v.m.state := stop;
v.m.fifo.waddr := r2.m.fifo.waddr + '1';
else
v.m.fifo.waddr := r2.m.fifo.waddr + (not fifowm_limit);
v.m.state := read; end if;
end if;
when read =>
vdmai.start := not (comp and dmao.active);
fifom_write := dmao.ready; wmvalid := not (comp or dmao.mexc);
-- if ((comp and dmao.ready) or dmao.retry) = '1' then
if (comp and dmao.ready) = '1' then
v.m.state := stop; v.m.fifo.waddr := r2.m.fifo.waddr + '1';
elsif (dmao.active and dmao.ready) = '1' then
v.m.fifo.waddr := r2.m.fifo.waddr + (not dmao.mexc and not fifowm_limit);
if dmao.mexc = '1' then habort := not r.t.lwrite; v.werr := r2.werr or r.t.lwrite; v.m.state := stop; end if;
end if;
when stop =>
if hstart = '0' and ((r.t.lwrite and not fiform_limit) = '1' or wmdone = '1') then
v.m.state := idle; hstart_ack := '0';
v.m.fifo.side := '0'; habort := '0';
v.m.fifo.raddr := (others => '0');
v.m.cbe_fifo.raddr := (others => '0');
else
comp := '1';
fiform_limit := r.t.lwrite;
fifowm_limit := not r.t.lwrite;
end if;
end case;
-- FIFO control
if fifowm_limit = '1' then
-- if (((r2.m.fifo.side or hstart_ack or (not hstart)) = '0' and not (dmao.active and not dmao.ready) = '1')
if (((r2.m.fifo.side or hstart_ack or (not hstart)) = '0' and (dmao.ready or comp) = '1')
or ((hstart_ack and not hstart) = '1' and v.m.state = stop)) then
if v.m.state = stop then wmdone := '1';
else v.m.fifo.waddr := (others => '0'); end if;
hstart_ack := '1';
v.m.fifo.side := not r2.m.fifo.side;
end if;
elsif fiform_limit = '1' then
-- if dmao.active = '0' then
if dmao.active = '0' and dmai.start = '0' then -- 1k bug fix ***
m_read_side := '1';
hstart_ack := '1';
-- v.m.fifo.raddr := (others => hstart);
v.m.fifo.raddr := (others => '0'); -- 1k bug fix ***
v.m.cbe_fifo.raddr := conv_std_logic_vector(1, FIFO_DEPTH-1);
end if;
end if;
-----------------------
--- AHB MASTER END ----
-----------------------
-------------------
---- AHB SLAVE ----
-------------------
-- if MASTER = 1 then
-- Access decode
if (ahbsi.hready and ahbsi.hsel(hslvndx)) = '1' then
if (ahbsi.hmbsel(0) or ahbsi.hmbsel(1)) = '1' then
hsize := ahbsi.hsize(1 downto 0); v.s.htrans := ahbsi.htrans;
--if (v.s.htrans(1) and r.comm.msen) = '1' then request := '1'; end if;
if (v.s.htrans(1) and r.comm.msen) = '1' then -- fix access control ***
ahb_access := '1';
--if (r2.s.state /= r_wait and r2.s.state /= r_hold) or r2.s.hmaster = ahbsi.hmaster then
--if (r2.s.state = idle or r2.s.state = t_done) or r2.s.hmaster = ahbsi.hmaster then
if (r2.s.state = idle) or r2.s.hmaster = ahbsi.hmaster then
request := '1';
end if;
end if;
end if;
end if;
-- Access latches
if (request = '1' and r2.s.state = idle) then
if ahbsi.hmbsel(1) = '1' then
if ahbsi.haddr(16) = '1' then -- Configuration cycles
v.s.maddr := (others => '0');
if r2.bus_nr = "0000" then -- Type 0
v.s.maddr(conv_integer(ahbsi.haddr(15 downto 11)) + 10) := '1';
v.s.maddr(10 downto 0) := ahbsi.haddr(10 downto 2) & "00";
else -- Type 1
v.s.maddr(19 downto 0) := r2.bus_nr & ahbsi.haddr(15 downto 2) & "01";
end if;
v.s.pcicomm := "101" & ahbsi.hwrite;
else -- I/O space access
v.s.maddr(31 downto 16) := r2.ioba;
v.s.maddr(15 downto 0) := ahbsi.haddr(15 downto 0);
v.s.pcicomm := "001" & ahbsi.hwrite;
end if;
else -- Memory space access
if conv_integer(ahbsi.hmaster) = dmamst then
v.s.maddr := ahbsi.haddr;
else
v.s.maddr := r2.pciba & ahbsi.haddr(31-HMASK_WIDTH downto 2) & "00";
end if;
if ahbsi.hwrite = '1' then
v.s.pcicomm := r2.wcomm & "111";
else
v.s.pcicomm := ahbsi.hburst(0) & '1' & (r2.rcomm or not ahbsi.hburst(0)) & '0';
end if;
end if;
-- Decode HSIZE and HADDR
if endian = 0 then -- pci is little endian
case hsize is
when "00" => -- Decode byte enable
case ahbsi.haddr(1 downto 0) is
when "00" => v.s.be := "1110";
when "01" => v.s.be := "1101";
when "10" => v.s.be := "1011";
when "11" => v.s.be := "0111";
when others => v.s.be := "1111";
end case;
when "01" =>
case ahbsi.haddr(1 downto 0) is
when "00" => v.s.be := "1100";
when "10" => v.s.be := "0011";
when others => v.s.be := "1111";
end case;
when "10" => v.s.be := "0000";
when others => v.s.be := "1111";
end case;
else -- pci is big endian
case hsize is
when "00" => -- Decode byte enable
case ahbsi.haddr(1 downto 0) is
when "00" => v.s.be := "0111";
when "01" => v.s.be := "1011";
when "10" => v.s.be := "1101";
when "11" => v.s.be := "1110";
when others => v.s.be := "1111";
end case;
when "01" =>
case ahbsi.haddr(1 downto 0) is
when "00" => v.s.be := "0011";
when "10" => v.s.be := "1100";
when others => v.s.be := "1111";
end case;
when "10" => v.s.be := "0000";
when others => v.s.be := "1111";
end case;
end if;
end if;
if ((rmdone and not r2.s.pcicomm(0)) = '1' and (r2.s.fifo.raddr + '1' + pcidc) = r.m.fifo.waddr) then rsvalid := '0'; end if;
-- FIFO address counters
-- if (r2.s.state = t_data or r2.s.state = w_wait) then
if (r2.s.state = t_data or r2.s.state = w_wait or -- bug fix ***
--(r2.s.state = r_hold and fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1')) then -- (r_hold -> t_data) bug fix ***
(r2.s.state = r_hold and fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' and rmdone = '1')) then -- (r_hold -> t_data) bug fix ***
v.s.fifos_write := r2.s.pcicomm(0) and r2.s.htrans(1);
v.s.fifo.waddr := r2.s.fifo.waddr + r2.s.fifos_write;
v.s.fifo.raddr := r2.s.fifo.raddr + ((ahbsi.htrans(1) and not r2.s.pcicomm(0) and not fifors_limit and rsvalid) or not ahbsi.hready);
end if;
if pstart_ack = '1' then
if pabort = '1' then
if (r2.s.pcicomm = CONF_WRITE or r2.s.pcicomm = CONF_READ) then v.cfto := '1';
else v.s.perror := '1'; end if;
else v.s.perror := '0'; v.cfto := '0'; end if;
end if;
--
-- AHB slave state machine
case r2.s.state is
when idle =>
v.s.hold_retry := "00";
if request = '1' and p_done = '0' then
if ahbsi.hwrite = '1' then
v.s.state := w_wait;
v.s.fifo.side := '0';
else
pstart := '1'; v.s.state := r_wait;
end if;
v.s.hmaster := ahbsi.hmaster;
end if;
when w_wait =>
if ((ahbsi.hready and not ahbsi.htrans(0)) = '1') then
v.s.state := w_done; fifows_limit := not wsvalid;
else
v.s.state := t_data;
end if;
when t_data =>
if ahbsi.htrans(1) = '1' then v.s.hold_retry := "00"; end if;
burst_read := ahbsi.htrans(1) and not fifors_limit;
if (fifows_stop and r2.s.fifos_write) = '1' then
if r2.s.fifo.side = '1' then
v.s.state := w_done;
end if;
elsif ((fifors_limit or not rsvalid) = '1' and v.s.htrans(1) = '1') then
if (r.m.fifo.side = '0') or (rsvalid = '0') then
v.s.state := t_done;
--else v.s.state := r_hold; end if;
else v.s.state := r_hold; v.s.hold_retry := "00"; end if; -- reset hold_retry ***
end if;
if ((ahbsi.hready and not ahbsi.htrans(0)) = '1') then
if r2.s.pcicomm(0) = '1' then
--v.s.state := w_done; wsvalid := '0';
v.s.state := w_done;
if ahbsi.htrans /= "00" then wsvalid := '0'; end if; -- fix dont set wsvalid if amba idle
else -- (if wsvalid = 0 side is changed before last write
v.s.state := t_done; -- to fifo if hrans = 00)
wsvalid := '0'; -- Bug fix, must give RETRY here! /KC
end if;
end if;
when r_hold =>
s_read_side := '1';
if r2.s.hold_retry(1) = '0' then -- only check this once (first access)
if ahbsi.htrans = "11" then
v.s.hold_retry := "11"; -- Seq Burst access
elsif ahbsi.htrans /= "01" then -- if busy, wait to decide
v.s.hold_retry := "10"; -- New nonseq or idle
end if;
end if;
if v.s.hold_retry = "10" then
v.s.state := t_done;
--elsif fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' and v.s.hold_retry = "11" then
elsif rmdone = '1' and fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' and v.s.hold_retry = "11" then
v.s.state := t_data;
burst_read := ahbsi.htrans(1) and not fifors_limit; -- bug fix ***
else
v.s.hold := '1';
end if;
--if fifors_limit = '0' and ((pstart_ack or pstart) = '0') and request = '1' then
-- --if rmdone = '0' then -- bug fix ***
-- v.s.state := t_data;
-- burst_read := ahbsi.htrans(1) and not fifors_limit; -- bug fix ***
-- --else
-- -- v.s.state := t_done;
-- --end if;
--elsif (ahbsi.hready = '1' and ahbsi.htrans = "00" and r2.s.hresp = HRESP_OKAY) then -- (idle -> t_done) bug fix ***
-- v.s.state := t_done;
--else v.s.hold := '1'; end if;
when r_wait =>
s_read_side := '0';
if (pstart_ack and request) = '1' then
v.s.state := t_data; hready := '0';
end if;
if r2.s.hmaster /= ahbsi.hmaster and conv_integer(ahbsi.hmaster) = dmamst and pstart_ack = '1' then -- if pcidma cancel read
v.s.state := t_done;
end if;
when w_done =>
v.s.state := t_done; wsvalid := '0';
-- if (r2.s.htrans(1) or not fifows_limit) = '1' then
-- if (r2.s.htrans(1) and fifows_limit) = '1' then
v.s.fifo.waddr := r2.s.fifo.waddr + r2.s.fifos_write;
-- end if;
fifows_limit := '1';
when t_done =>
wsvalid := '0';
fifors_limit := not r2.s.pcicomm(0);
if (pstart or pstart_ack) = '0' then
v.s.state := idle; v.s.perror := '0';
v.s.fifo.waddr := (others => '0'); wsdone := '0'; fifows_limit := '0';
v.s.pcicomm := (0 => '1', others => '0'); -- default write
else fifows_limit := r2.s.pcicomm(0); end if;
end case;
-- Respond encoder
if v.s.state = t_data
or (v.s.state = r_hold and v.s.hold = '0') -- bug fix ***
or (v.s.state = t_done and r2.s.state = t_data) -- (end of trans) bug fix ***
or (v.s.state = w_wait and ahbsi.hwrite = '1') then
if r2.s.perror = '1' then hresp := HRESP_ERROR;
elsif wsvalid = '1' then hresp := HRESP_OKAY;
else hresp := HRESP_RETRY; end if;
v.s.perror := '0';
else hresp := HRESP_RETRY; end if;
-- added to provent read from unvalid fifo address
if r2.s.state = t_data and rsvalid = '0' and r2.s.hold_retry /= "00" then hresp := HRESP_RETRY; end if;
if r.comm.msen = '0' then hresp := HRESP_ERROR; end if; -- Master disabled
--if (v.s.htrans(1) and request) = '0' then hresp := HRESP_OKAY; end if; -- Response OK for BUSY and IDLE
if (v.s.htrans(1) and ahb_access) = '0' then hresp := HRESP_OKAY; end if; -- Response OK for BUSY and IDLE -- *** access control fix
if (hresp /= HRESP_OKAY or hready = '0') then v.s.hready := '0'; else v.s.hready := '1'; end if;
-- Dont change hresp during wait states
if ahbsi.hready = '0' then hresp := r2.s.hresp; end if;
v.s.hresp := hresp;
-- FIFO controller
if fifows_limit = '1' then
if (r2.s.fifos_write or not wsvalid) = '1' and (r2.s.fifo.side = '0' or pstart_ack = '1') then
--if wsvalid = '0' then wsdone := '1';
if wsvalid = '0' or v.s.state = w_done then wsdone := '1'; -- fix set wsdone and pstart at the same time
else v.s.fifo.waddr := (others => '0'); end if;
pstart := not pstart_ack;
v.s.fifo.side := pstart;
end if;
elsif ((r2.s.state = t_done or r2.s.state = r_hold) and fifors_limit = '1') then
if pstart_ack = '1' then pstart := '0'; v.s.fifo.raddr := (others => '0');
else v.s.fifo.raddr := (others => '0'); end if;
end if;
-- Set last fifo side written so that PCI master knows when to stop
if (r2.s.fifos_write = '1') then
v.s.last_side := r2.s.fifo.side;
end if;
-- end if;
-----------------------
---- AHB SLAVE END ----
-----------------------
-- Sync registers
v.trans(0) := pstart;
v.trans(1) := habort;
v.trans(2) := hstart_ack;
v.trans(3) := fifows_limit;
v.trans(4) := wsdone;
v.trans(5) := wmdone;
-- input data for write accesses
if r2.s.pcicomm(0) = '1' then v.s.mdata := ahbreadword(ahbsi.hwdata); end if;
-- output data for read accesses
-- if (ahbsi.htrans(1) and not r2.s.hold and not r2.s.pcicomm(0)) = '1' then v.s.mdata := fifo4o.rdata(31 downto 0); end if;
if (ahbsi.htrans(1) and not r2.s.pcicomm(0)) = '1' then v.s.mdata := fifo4o.rdata(31 downto 0); end if; -- bug fix ***
if rst = '0' then
v.s.state := idle;
v.m.state := idle;
v.s.perror := '0';
v.pciba := (others => '0');
v.trans := (others => '0');
v.m.cbe_fifo.waddr := (others => '0');
v.m.cbe_fifo.raddr := (others => '0');
v.m.fifo.waddr := (others => '0');
v.m.fifo.raddr := (others => '0');
v.s.fifo.waddr := (others => '0');
v.s.fifo.raddr := (others => '0');
v.m.fifo.side := '0';
v.s.fifo.side := '0';
v.wcomm := '0';
v.rcomm := '0';
v.werr := '0';
v.cfto := '0';
v.dmapage := (others => '0');
v.ioba := (others => '0');
v.bus_nr := (others => '0');
v.irq := (others => '0');
v.irq_en := (others => '0');
v.m.cbe_prep_cnt := '0';
end if;
apbo.prdata <= prdata;
ahbso.hready <= r2.s.hready;
ahbso.hresp <= r2.s.hresp;
ahbso.hrdata <= ahbdrivedata(byte_twist(r2.s.mdata, r.bt_enable));
ahbso.hindex <= hslvndx;
fifo1i.wen <= fifom_write;
fifo1i.waddr <= r2.m.fifo.side & r2.m.fifo.waddr;
fifo1i.wdata <= dmao.rdata;
fifo2i.ren <= '1';
fifo2i.raddr <= m_read_side & (r2.m.fifo.raddr + dmao.ready);
fifo3i.wen <= r2.s.fifos_write;
fifo3i.waddr <= r2.s.fifo.side & r2.s.fifo.waddr;
fifo3i.wdata <= byte_twist(r2.s.mdata, r.bt_enable);
fifo4i.ren <= '1';
fifo4i.raddr <= s_read_side & (r2.s.fifo.raddr + burst_read);
cbe_fifoi.ren <= '1';
cbe_fifoi.raddr <= m_read_side & (r2.m.cbe_fifo.raddr + dmao.ready); -- read one cycle before data fifo
r2in <= v; dmai <= vdmai;
end process;
ahbso.hconfig <= hconfig when MASTER = 1 else (others => zero32);
apbo.pconfig <= pconfig;
apbo.pindex <= pindex;
ahbso.hsplit <= (others => '0');
ahbso.hirq <= (others => '0');
---------------------------------
-- PCI core (PCI clock domain) --
---------------------------------
pcicomb : process(pr, pcii, r, r2, fifo1o, fifo3o, roe_ad, prrst, ahbmi,
pcirstin, ad)
variable v : pci_reg_type;
variable chit, mhit0, mhit1, phit, hit, hosthit, ready, cwrite, retry : std_logic;
variable cdata, cwdata : std_logic_vector(31 downto 0);
variable comp : std_logic; -- Last transaction cycle on PCI bus
variable mto, tto, term, ben_err, lto : std_logic;
variable i : integer range 0 to NO_PCI_REGS;
variable tad, mad : std_logic_vector(31 downto 0);
variable pstart, habort, hstart_ack, wsdone, wmdone : std_logic;
variable hstart, pabort, pstart_ack, pcidc, rtdone, rmdone : std_logic;
variable fifort_limit, fifowt_limit, fiform_limit, fifowm_limit, fifowm_stop, t_valid : std_logic;
variable d_ready, tabort, backendnr : std_logic;
variable m_fifo_write, t_fifo_write, grant : std_logic;
variable write_access, memwrite, memread, read_match, m_read_side, t_read_side : std_logic;
variable readt_dly : std_logic; -- 1 turnaround cycle
variable bus_idle, data_transfer, data_transfer_r, data_phase, targ_d_w_data, targ_abort, m_request : std_logic;
variable voe_ad : std_logic_vector(31 downto 0);
variable oe_par : std_logic;
variable oe_ad : std_logic;
variable oe_ctrl : std_logic;
variable oe_cbe : std_logic;
variable oe_frame : std_logic;
variable oe_irdy : std_logic;
variable oe_req : std_logic;
variable oe_perr : std_logic;
variable oe_serr : std_logic;
begin
-- Process defaults
v := r; v.pci.trdy := '1'; v.pci.stop := '1'; v.pci.frame := '1';
v.pci.oe_ad := '1'; v.pci.devsel := '1'; v.pci.oe_frame := '1';
v.pci.irdy := '1'; v.pci.req := '1'; hosthit := '0'; m_request := '0';
v.pci.oe_req := '0'; v.pci.oe_cbe := '1'; v.pci.oe_irdy := '1';
mto := '0'; tto := '0'; v.m.stop_req := '0'; lto := '0';
cdata := (others => '0'); retry := '0'; t_fifo_write := '0';
chit := '0'; phit := '0'; mhit0 := '0'; mhit1 := '0'; tabort := '0';
readt_dly := '0'; m_fifo_write := '0'; voe_ad := roe_ad;
tad := r.pci.ad; mad := r.pci.ad; grant := pcii.gnt; d_ready := '0';
m_read_side := not r2.s.fifo.side; t_read_side := not r2.m.fifo.side;
v.m.rmdone := '0';
write_access := not r.t.read and not pr.irdy and not pr.trdy;
memwrite := r.t.msel and r.t.lwrite and not r.t.read;
memread := r.t.msel and not r.t.lwrite and r.t.read;
-- Synch registers
hstart := r.trans(0);
pabort := r.trans(1);
pstart_ack := r.trans(2);
pcidc := r.trans(3);
rtdone := r.trans(4);
rmdone := r.trans(5);
for i in 0 to NO_PCI_REGS - 1 loop
v.syncs(i)(csync) := r2.trans(i);
if csync /= 0 then v.syncs(i)(0) := r.syncs(i)(csync); end if;
end loop;
pstart := r.syncs(0)(0);
habort := r.syncs(1)(0);
hstart_ack := r.syncs(2)(0);
backendnr := r.syncs(3)(0);
wsdone := r.syncs(4)(0);
wmdone := r.syncs(5)(0);
-- FIFO limit detector
if r.t.fifo.raddr = FIFO_FULL then fifort_limit := '1'; else fifort_limit := '0'; end if;
if r.t.fifo.waddr = FIFO_FULL then fifowt_limit := '1'; else fifowt_limit := '0'; end if;
if r.m.fifo.raddr = FIFO_FULL then fiform_limit := '1'; else fiform_limit := '0'; end if;
if r.m.fifo.waddr = FIFO_FULL then fifowm_limit := '1'; else fifowm_limit := '0'; end if;
if r.m.fifo.waddr(FIFO_DEPTH - 2 downto 1) = FIFO_FULL(FIFO_DEPTH - 2 downto 1) then fifowm_stop := '1'; else fifowm_stop := '0'; end if;
-- useful control variables
--if (r.t.laddr = r.page & r.t.addr(MADDR_WIDTH-2 downto 0) or r.t.laddr = r2.dmapage & r.t.addr(DMAMADDR_WIDTH-1 downto 0))
if (r.t.laddr(31 downto 2) = r.page & r.t.addr(MADDR_WIDTH-2 downto 2) -- bug fix match if byte access
or r.t.laddr(31 downto 2) = r2.dmapage & r.t.addr(DMAMADDR_WIDTH-1 downto 2))
and (r.t.lcbe = pr.cbe) -- bug fix match byte access
and (r.t.lburst = r.t.burst) then read_match := r.t.pending; else read_match := r.t.csel or r.t.psel; end if;
-- if (pr.cbe = "0000" and r.t.lsize = "10") or (pr.cbe = "1100" and r.t.lsize = "01") or (pr.cbe = "1110" and r.t.lsize = "00")
-- pragma translate_off
-- or (pr.cbe = "XXXX") -- For simulation purposes
-- pragma translate_on
-- then ben_err := '0'; else ben_err := '1'; end if;
ben_err := '0';
--if r.stat.dpe = '0' then v.stat.dpe := not (r.pci.perr and r.pci.serr); end if;
if r.stat.dpe = '0' and (r.m.detectperr(1) = '1' or r.t.detectperr(1) = '1' or r.pci.serr = '0') then v.stat.dpe := not (r.pci.perr and r.pci.serr); end if;
-------------------------
----- PCI TARGET --------
-------------------------
-- Data valid?
if ((wmdone and not r.t.lwrite) = '1' and (r.t.fifo.raddr + '1') = r2.m.fifo.waddr) then t_valid := '0';
else t_valid := not fifowt_limit or not r.t.fifo.side; end if;
-- Step addresses
if (r.t.state = s_data or r.t.state = turn_ar or r.t.state = backoff) then
--if (pcii.irdy or r.pci.trdy) = '0' then
if (pcii.irdy or r.t.trdy_del) = '0' then
v.t.addr := r.t.addr + ((r.t.csel and r.t.read) & "00");
readt_dly := '1';
if r.t.msel = '1' then
-- **** ???? **** Is r2.m.fifo.side really synced here ??? *** may need to be changed *** [nisse]
v.t.wdel := (fifort_limit and r2.m.fifo.side) or r.t.lwrite;
v.t.fifo.raddr := r.t.fifo.raddr + (r.t.read and not fifort_limit and t_valid);
end if;
end if;
if write_access = '1' then
v.t.fifo.waddr := r.t.fifo.waddr + (r.t.msel and not r.t.read and not ben_err);
t_fifo_write := r.t.msel;
v.t.addr := r.t.addr + ((r.t.csel and not r.t.read) & "00");
end if;
tabort := habort;
else v.t.wdel := '0'; end if;
-- signal to hold target while last word is transfered
if (fifort_limit and not (pcii.irdy or r.t.trdy_del) and not r.t.thold) = '1' then -- should be r.pci.trdy
v.t.thold := '1';
elsif (r.t.thold and not (pcii.irdy or r.t.trdy_del)) = '1' then -- should be r.pci.trdy
v.t.thold := '0';
end if;
-- Config space read access
case r.t.addr(7 downto 2) is
when "000000" => -- 0x00, device & vendor id
cdata := conv_std_logic_vector(DEVICE_ID, 16) &
conv_std_logic_vector(VENDOR_ID, 16);
when "000001" => -- 0x04, status & command
cdata(1) := r.comm.men;
cdata(2) := r.comm.msen;
cdata(4) := r.comm.mwie;
cdata(6) := r.comm.per;
cdata(8) := r.comm.ser;
cdata(24) := r.stat.dped;
cdata(26) := '1';
cdata(27) := r.stat.sta;
cdata(28) := r.stat.rta;
cdata(29) := r.stat.rma;
cdata(30) := r.stat.sse;
cdata(31) := r.stat.dpe;
when "000010" => -- 0x08, class code & revision
cdata(31 downto 0) := conv_std_logic_vector(CLASS_CODE,24) & conv_std_logic_vector(REV,8) ;
when "000011" => -- 0x0C, latency & cacheline size
cdata(7 downto 0) := r.cline;
cdata(15 downto 8) := r.ltim;
when "000100" => -- 0x10, BAR0
cdata(31 downto MADDR_WIDTH) := r.bar0;
when "000101" => -- 0x14, BAR1
cdata(31 downto DMAMADDR_WIDTH) := r.bar1;
when "001111" => -- 0x3C, Interrupts & Latency timer settings
cdata(7 downto 0) := r.intline; -- Interrupt line
cdata(8) := '1'; -- Use interrupt pin INTA#
if fifodepth < 11 then cdata(fifodepth+13) := '1'; end if; --Define wanted burst period
when others =>
end case;
-- Config space write access
cwdata := pr.ad;
if pr.cbe(3) = '1' then cwdata(31 downto 24) := cdata(31 downto 24); end if;
if pr.cbe(2) = '1' then cwdata(23 downto 16) := cdata(23 downto 16); end if;
if pr.cbe(1) = '1' then cwdata(15 downto 8) := cdata(15 downto 8); end if;
if pr.cbe(0) = '1' then cwdata( 7 downto 0) := cdata( 7 downto 0); end if;
if (r.t.csel and write_access) = '1' then
case r.t.addr(7 downto 2) is
when "000001" => -- 0x04, status & command
-- Command register
v.comm.men := cwdata(1);
if MASTER = 1 then v.comm.msen := cwdata(2); end if;
v.comm.mwie := cwdata(4);
v.comm.per := cwdata(6);
v.comm.ser := cwdata(8);
-- Status register, sticky bits
v.stat.dped := r.stat.dped and not cwdata(24);
v.stat.sta := r.stat.sta and not cwdata(27);
v.stat.rta := r.stat.rta and not cwdata(28);
v.stat.rma := r.stat.rma and not cwdata(29);
v.stat.sse := r.stat.sse and not cwdata(30);
v.stat.dpe := r.stat.dpe and not cwdata(31);
when "000011" => -- 0x0c, latency & cacheline size
if FIFO_DEPTH <= 7 then v.cline(FIFO_DEPTH - 1 downto 0) := cwdata(FIFO_DEPTH - 1 downto 0);
else v.cline := cwdata(7 downto 0); end if;
v.ltim := cwdata(15 downto 8);
when "000100" => -- 0x10, BAR0
v.bar0 := cwdata(31 downto MADDR_WIDTH);
if v.bar0 = zero(31 downto MADDR_WIDTH) then v.bar0_conf := '0'; else v.bar0_conf := '1'; end if;
when "000101" => -- 0x14, BAR1
v.bar1 := cwdata(31 downto DMAMADDR_WIDTH);
if v.bar1 = zero(31 downto DMAMADDR_WIDTH) then v.bar1_conf := '0'; else v.bar1_conf := '1'; end if;
when "001111" => -- 0x3C, Interrupts & Latency timer settings
v.intline := cwdata(7 downto 0); -- Interrupt line
when others =>
end case;
end if;
-- Page bar write
if (r.t.psel and write_access) = '1' then
v.page := pr.ad(31 downto MADDR_WIDTH - 1);
v.bt_enable := pr.ad(0);
end if;
-- Command and address decode
case pr.cbe is
when CONF_READ | CONF_WRITE =>
if pr.ad(1 downto 0) = "00" then chit := '1'; end if;
if pr.host = '0' then --Active low
if pr.ad(31 downto 11) = "000000000000000000000" then hosthit := '1'; end if;
end if;
when MEM_READ | MEM_WRITE =>
if pr.ad(31 downto MADDR_WIDTH) = r.bar0 then
phit := r.bar0_conf and pr.ad(MADDR_WIDTH - 1);
mhit0 := r.bar0_conf and not pr.ad(MADDR_WIDTH - 1);
elsif pr.ad(31 downto DMAMADDR_WIDTH) = r.bar1 then
mhit1 := r.bar1_conf;
end if;
when MEM_R_MULT | MEM_R_LINE | MEM_W_INV =>
if pr.ad(31 downto MADDR_WIDTH - 1) = r.bar0 & '0' then mhit0 := r.bar0_conf;
elsif pr.ad(31 downto DMAMADDR_WIDTH) = r.bar1 then mhit1 := r.bar1_conf; end if;
when others => phit := '0'; mhit0 := '0'; chit := '0'; mhit1 := '0';
end case;
-- SERR, address phase parity error. Treat as non hit.
v.pci.serr := '1';
v.pci.oe_serr := '1';
--if pr.frame = '0' then
if pr.frame = '0' and (r.t.state = idle or r.t.state = turn_ar) then -- Only signal address parity error on SERR#
if ( (pcii.par xor xorv(pr.ad & pr.cbe)) = '1') then
v.pci.serr := '0';
chit := '0'; phit := '0'; mhit0 := '0'; mhit1 := '0';
--if r.comm.ser = '1' then
if r.comm.ser = '1' and r.comm.per = '1' then -- Address parity error only if "Parity Error Response" and "SERR# enable" is enabled.
v.pci.oe_serr := '0';
v.stat.sse := '1';
end if;
end if;
end if;
-- Hit detect
hit := r.t.csel or r.t.msel or r.t.psel;
if (hstart and r.pci.devsel) = '1' then
if (r.t.pending or r.t.lwrite) = '0' then
hstart := not hstart_ack;
v.t.fifo.raddr := (others => '0');
end if;
end if;
-- Ready to transfer data
if ((r.t.csel and not readt_dly) or r.t.psel) = '1'
or ((((memwrite and not r.pci.devsel) = '1')
-- Changed to transfer last word (instead of delaying trdy) [nisse]
--or (memread = '1' and not (hstart_ack and v.t.wdel) = '1')) and ben_err = '0')
or (memread = '1' and not (hstart_ack and r.t.wdel) = '1')) and ben_err = '0')
then ready := '1'; else ready := '0'; t_read_side := r.t.read and not hstart; end if;
v.t.ready_del := ready;
-- Target timeout counter
--if (hit and pr.trdy and not (pr.frame and pr.irdy)) = '1' then
--if (hit and pr.trdy and not (pr.frame and pr.irdy) and v.t.wdel) = '1' then
if (hit and pr.trdy and not (pr.frame and pr.irdy) and not ready) = '1' then
if r.t.cnt /= "000" then v.t.cnt := r.t.cnt - 1;
else tto := '1'; end if;
else v.t.cnt := (0 => '0', others => '1'); end if;
-- -- Ready to transfer data
-- if ((r.t.csel and not readt_dly) or r.t.psel) = '1'
-- or ((((memwrite and not r.pci.devsel) = '1')
-- or (memread = '1' and not (hstart_ack and v.t.wdel) = '1')) and ben_err = '0')
-- then ready := '1'; else ready := '0'; t_read_side := r.t.read and not hstart; end if;
-- Terminate current transaction
if (((r.t.fifo.waddr >= (FIFO_FULL - "10") and r.t.fifo.side = '1')
or (t_valid = '0') or r.pci.stop = '0') and pcii.frame = '0')
or ((r.t.read xor r.t.lwrite) = '0' and r.pci.devsel = '0')
or (tto = '1') or (ben_err = '1')
then
term := '1';
else term := '0'; end if;
-- Retry transfer
if r.t.state = b_busy then
if not ((r.t.read and not r.t.lwrite and hstart_ack and read_match) = '1'
or (r.t.read or hstart or hstart_ack) = '0'
or ((r.t.csel or r.t.psel) and not hstart and not hstart_ack) = '1')
then
retry := '1';
end if;
end if;
-- Target state machine
case r.t.state is
when idle =>
v.t.detectperr(0) := '0';
v.t.thold := '0';
v.t.thold2 := '0';
if pr.frame = '0' then v.t.state := b_busy; end if; -- !HIT ?
v.t.addr := pr.ad;
if readpref = 1 then v.t.burst := '1';
else v.t.burst := pr.cbe(3); end if;
v.t.read := not pr.cbe(0); v.t.mult := not pr.cbe(1);
v.t.csel := (pr.idsel or hosthit) and chit; v.t.psel := phit;
v.t.msel := r.comm.men and (mhit0 or mhit1); v.t.barsel := mhit1;
when turn_ar =>
v.t.detectperr(0) := '0';
if pr.frame = '1' then
v.t.state := idle;
v.t.fifo.raddr := (others => '0'); -- fix reset fifo read address
else v.t.state := b_busy; end if; -- !HIT ?
v.t.addr := pr.ad; v.t.wdel := '1';
if readpref = 1 then v.t.burst := '1';
else v.t.burst := pr.cbe(3); end if;
v.t.read := not pr.cbe(0); v.t.mult := not pr.cbe(1);
v.t.csel := (pr.idsel or hosthit) and chit; v.t.psel := phit;
v.t.msel := r.comm.men and (mhit0 or mhit1); v.t.barsel := mhit1;
when b_busy =>
v.t.thold := '0';
v.t.thold2 := '0';
if (pr.frame and pr.irdy) = '1' then
v.t.state := idle;
elsif hit = '1' then
v.t.detectperr(0) := '1';
v.t.state := s_data;
v.t.fifo.raddr := r.t.fifo.raddr + (r.t.read and r.t.msel);
readt_dly := '1';
if r.t.pending = '0' then
v.t.pending := retry and not hstart_ack;
end if;
end if;
-- else v.t.state := backoff; end if;
-- We should not go to back off if the access wasn't to us
when s_data =>
if r.t.pending = '1' then v.t.pending := not ((habort or not r.pci.trdy) and read_match); end if;
if (pcii.frame = '0' and r.pci.stop ='0' and (r.pci.trdy or not pcii.irdy) = '1') then
v.t.state := backoff;
if r.t.last = '0' then v.t.last := r.t.msel and r.t.lwrite and v.t.wdel; end if;
v.t.fifo.raddr := r.t.fifo.raddr - (r.t.read and r.t.msel and not fifort_limit);
-- elsif (pcii.frame = '1' and (r.pci.trdy = '0' or r.pci.stop = '0')) then
elsif (pcii.frame = '1' and (r.t.trdy_del = '0' or r.pci.stop = '0')) then -- (send last word in fifo) bug fix ***
v.t.state := turn_ar;
if r.t.last = '0' then v.t.last := r.t.msel and r.t.lwrite and v.t.wdel; end if;
v.t.fifo.raddr := r.t.fifo.raddr - (r.t.read and r.t.msel and not fifort_limit);
end if;
when backoff =>
v.t.detectperr(0) := '0';
if pcii.frame = '1' then v.t.state := turn_ar; end if;
end case;
-- #TRDY assert
--if (v.t.state = s_data and habort = '0' and ready = '1' and retry = '0') then v.pci.trdy := '0'; end if;
-- Changed to only deassert trdy when irdy is asserted [nisse]
if (v.t.state = s_data and habort = '0' and (ready or (pcii.irdy and not r.pci.trdy)) = '1' and retry = '0') then v.pci.trdy := '0'; end if;
-- #STOP assert
--if (v.t.state = backoff or (v.t.state = s_data and ((tabort or ((term or retry) and not habort)) = '1'))) then
-- Changed to only deassert stop when irdy is asserted [nisse]
if (v.t.state = backoff or (v.t.state = s_data and ((tabort or (((term and (not pcii.irdy or not r.pci.stop)) or retry) and not habort)) = '1'))) then
v.pci.stop := '0'; end if;
-- #DEVSEL assert
if (((v.t.state = backoff and r.pci.devsel = '0') or v.t.state = s_data) and (read_match and tabort) = '0') then v.pci.devsel := '0'; end if;
-- Enable #TRDY, #STOP and #DEVSEL
if (v.t.state = s_data) or (v.t.state = backoff) or (v.t.state = turn_ar) then
v.pci.oe_ctrl := not hit;
else v.pci.oe_ctrl := '1'; end if;
-- Signaled target abort
if (r.pci.devsel and not (r.pci.stop or r.pci.oe_ctrl)) = '1' then v.stat.sta := '1'; end if;
if (fifort_limit and v.t.thold) = '1' then --v.pci.trdy := '0';
elsif (r.t.thold and not v.t.thold) = '1' then --v.pci.trdy := '1';
end if;
-- Removed, (ready is delayed instead) [nisse]
--if r.t.state = s_data and v.t.state = s_data and r.pci.trdy = '0'
-- and v.pci.trdy = '1' and v.t.wdel = '1' and pcii.frame = '0' then -- (send last word in fifo) bug fix ***
-- v.t.trdy_del := '0';
--v.pci.trdy := '0';
--v.t.trdy_del := v.pci.trdy;
--else
v.t.trdy_del := v.pci.trdy;
--end if;
if r.t.state = s_data and r.pci.trdy = '1' and v.pci.trdy = '0' and pcii.frame = '0' then -- bug fix ***
readt_dly := '1';
v.t.fifo.raddr := r.t.fifo.raddr + (r.t.read and not fifort_limit and t_valid);
end if;
-- Latched signals to AHB backend
if (r.t.state = b_busy) then
if (hstart or hstart_ack) = '0' then -- must be idle
v.t.lwrite := not r.t.read;
if r.t.msel = '1' then
v.t.lburst := r.t.burst;
v.t.lcbe := pr.cbe;
if r.t.barsel = '0' then v.t.laddr := r.page & r.t.addr(MADDR_WIDTH-2 downto 2) & "00";
else v.t.laddr := r2.dmapage & r.t.addr(DMAMADDR_WIDTH-1 downto 2) & "00"; end if;
v.t.lmult := r.t.mult;
rtdone := '0'; v.t.fifo.waddr := (others => '0');
hstart := r.t.read and r.t.msel;
end if;
end if;
end if;
-- Read data mux
if r.t.csel = '1' then tad := cdata;
elsif r.t.psel = '1' then
tad(31 downto MADDR_WIDTH-1) := r.page;
tad(MADDR_WIDTH-2 downto 0) := zero32(MADDR_WIDTH-2 downto 1) & r.bt_enable;
-- elsif (r.t.state = b_busy or (r.pci.trdy or pcii.irdy) = '0') then tad := fifo1o.rdata(31 downto 0);
elsif (r.t.state = b_busy or (r.pci.trdy or pcii.irdy) = '0' or r.t.wdel = '1') then tad := byte_twist(fifo1o.rdata(31 downto 0), r.bt_enable); -- bug fix ***
end if;
-- FIFO controller
if ((fifowt_limit and write_access) = '1' or (r.t.last or rtdone) = '1') then
if hstart = hstart_ack then
if rtdone = '0' then hstart := not hstart_ack; v.t.fifo.side := hstart; end if;
if r.t.last = '1' then rtdone := '1'; v.t.last := '0';
else v.t.fifo.waddr := (others => '0');
if rtdone = '1' then
rtdone := '0'; hstart := '0'; v.t.fifo.side := '0';
end if;
end if;
end if;
end if;
-- Changed to only reset address counter when last word is transfered [nisse]
--if (fifort_limit and v.t.wdel) = '1' then
-- if hstart_ack = '1' then hstart := '0'; v.t.fifo.raddr := (others => '0');
-- else v.t.fifo.raddr := (others => '0'); end if;
--end if;
if hstart_ack = '1' and (fifort_limit and r.t.thold and not v.t.thold) = '1' then
hstart := '0'; v.t.fifo.raddr := (others => '0');
end if;
-- Hold AD if irdy waitstates after fifo switch [nisse]
if r.t.state = s_data and pcii.irdy = '1' and r.pci.trdy = '1' and v.pci.trdy = '0' and r.t.thold2 = '0' then v.t.thold2 := '1';
elsif r.t.thold2 = '1' and pcii.irdy = '0' then v.t.thold2 := '0'; end if;
----------------------
--- PCI TARGET END ---
----------------------
------------------
--- PCI MASTER ---
------------------
if MASTER = 1 then
bus_idle := pcii.frame and pcii.irdy;
data_transfer := not (pcii.trdy or r.pci.irdy);
data_transfer_r := not (pr.trdy or pr.irdy);
data_phase := not ((pcii.trdy and pcii.stop) or r.pci.irdy);
targ_d_w_data := not (pr.stop or pr.trdy);
targ_abort := pr.devsel and not pr.stop;
-- Request from AHB backend to start PCI transaction
if (pstart and not pstart_ack) = '1' then
if (r.m.fstate = idle and r.m.request = '0') then
v.m.request := '1';
rmdone := '0'; v.m.valid := '1';
v.m.fifo.waddr := (others => '0');
v.m.hwrite := r2.s.pcicomm(0);
end if;
end if;
-- Master timeout and DEVSEL timeout
if ((pr.irdy and not pr.frame) or (pr.devsel and not r.pci.oe_frame)) = '1' then
if r.m.cnt /= "000" then v.m.cnt := r.m.cnt - 1;
else mto := '1'; end if;
else v.m.cnt := (others => '1'); end if;
-- Latency counter
if r.pci.frame = '0' then
if r.m.ltim > "00000000" then v.m.ltim := r.m.ltim - '1';
else lto := '1'; end if;
else
v.m.ltim := r.ltim;
end if;
-- Last data
case r2.s.pcicomm is
when MEM_R_MULT | MEM_R_LINE =>
if (r.m.fifo.waddr >= (FIFO_FULL - "10") and r.m.fifo.side = '1') then
comp := '1';
else comp := '0'; end if;
when MEM_WRITE | MEM_W_INV => comp := not r.m.valid;
when others => comp := '1';
end case;
-- Minimun latency
--if lto = '0' then grant := '0'; end if;
if lto = '0' then grant := '0'; -- latency timer bug fix
elsif pcii.gnt = '1' then v.m.lto := '1'; end if;
-- Data parity error detected
if (r.m.fstate /= idle and r.stat.dped = '0') then v.stat.dped := r.comm.per and not pcii.perr; end if;
-- FIFO control state machine
case r.m.fstate is
when idle =>
v.m.lto := '0';
if (r.m.request and bus_idle and not pcii.gnt) = '1' and (r.m.state = idle or r.m.state = dr_bus) then
v.m.fstate := addr; v.m.fifo.waddr := (others => '0'); v.m.fifo.side := '0'; m_request := '1';
end if;
when addr =>
-- if (wsdone = '1' and (r.m.fifo.raddr + '1') = r2.s.fifo.waddr) then v.m.valid := '0'; end if;
if (wsdone = '1' and ((r.m.fifo.raddr + '1') = r2.s.fifo.waddr) and (m_read_side = r2.s.last_side)) then v.m.valid := '0'; end if; --bug fix kc
if fiform_limit = '1' then v.m.fstate := last1;
else v.m.fstate := incr; end if;
v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite;
v.m.first := '1'; v.m.firstw := '1';
when incr =>
d_ready := '1';
if r.m.valid = '0' then v.m.lto := '0'; end if; -- dont look at latency timer if done
if data_transfer = '1' then
--if fiform_limit = '1' then v.m.fstate := last1; v.m.split := not backendnr; end if;
if fiform_limit = '1' and r.m.lto = '0' then v.m.fstate := last1; v.m.split := not backendnr; end if; -- bug fix latency timer
-- if (wsdone = '1' and (r.m.fifo.raddr + pcii.stop) = r2.s.fifo.waddr) then v.m.valid := '0'; end if;
if (wsdone = '1' and ((r.m.fifo.raddr + pcii.stop) = r2.s.fifo.waddr) and (m_read_side = r2.s.last_side)) then v.m.valid := '0'; end if; --bug fix kc
v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite;
v.m.first := '0';
end if;
if data_transfer_r = '1' then
if fifowm_stop = '1' then
if r.m.firstw = '1' then
if (fifowm_limit and pr.stop) = '1' then v.m.fifo.side := not r.m.fifo.side; v.m.firstw := '0'; pstart_ack := pstart; end if;
end if;
end if;
v.m.fifo.waddr := r.m.fifo.waddr + (not r.m.hwrite);
end if;
if pr.stop = '0' then
if targ_abort = '1' then v.m.fstate := abort;
elsif targ_d_w_data = '1' then v.m.fstate := ttermwd;
elsif r.m.first = '1' then v.m.fstate := t_retry;
-- else v.m.fstate := ttermnd; end if;
else -- bug fix ***
-- if r.m.fifo.waddr = "0000000" then v.m.rmdone := '1'; end if;
if r.m.fifo.waddr = zero32(FIFO_DEPTH - 2 downto 0) then v.m.rmdone := '1'; end if;
v.m.fstate := ttermnd;
end if;
elsif mto = '1' then v.m.fstate := abort;
--elsif grant = '1' then -- pci_gnt bug fix
-- if r.m.hwrite = '0' then rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; v.m.fstate := done; pstart_ack := pstart;
-- else v.m.fstate := idle; end if;
--elsif (pr.frame and not r.m.first) = '1' then
elsif (pr.frame and not pr.trdy and not r.m.first) = '1' then -- not done if target not ready *** bug fix
if r.m.hwrite = '0' then rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; v.m.fstate := done; pstart_ack := pstart;
--else v.m.fstate := done; pstart_ack := pstart; end if;
else
if r.m.lto = '1' then -- latency timer bug fix
v.m.fifo.raddr := r.m.fifo.raddr - r.m.hwrite;
v.m.fstate := idle;
else
v.m.fstate := done; pstart_ack := pstart;
end if;
end if;
elsif (pr.devsel and not r.m.first) = '1' then
if r.m.hwrite = '0' then rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; v.m.fstate := done; pstart_ack := pstart;
else v.m.fstate := idle; end if;
end if;
when last1 =>
if (pr.trdy and not pr.stop) = '1' then
if targ_abort = '1' then v.m.fstate := abort;
elsif targ_d_w_data = '1' then v.m.fstate := ttermwd;
else v.m.fstate := ttermnd; v.m.valid := '1'; end if;
--elsif (pr.frame and not r.m.first and not r.m.split) = '1' then v.m.fstate := done; rmdone := not r.m.fifo.side; pstart_ack := pstart;
-- not done if target not ready *** bug fix
elsif (pr.frame and not pr.trdy and not r.m.first and not r.m.split) = '1' then v.m.fstate := done; rmdone := not r.m.fifo.side; pstart_ack := pstart;
elsif data_transfer = '1' then
if r.m.valid = '1' then v.m.fstate := sync; pstart_ack := pstart;
else v.m.fstate := done; rmdone := not r.m.fifo.side; pstart_ack := pstart; end if;
else d_ready := '1';
end if;
when sync =>
if pstart = not pstart_ack then
v.m.split := '0';
if ((r.m.split or (pr.trdy and not pr.stop and not r.m.split)) = '1' or r.m.state /= m_data) then v.m.fstate := idle; d_ready := '1';
else
--if (wsdone = '1' and (r.m.fifo.raddr + '1') = r2.s.fifo.waddr) then v.m.valid := '0'; end if;
if (r2.trans(4) = '1' and (r.m.fifo.raddr + '1') = r2.s.fifo.waddr) then v.m.valid := '0'; end if; -- not synced wsdone
v.m.fstate := incr; data_transfer := '1'; v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite; d_ready := '1';
end if;
else m_read_side := '1';
end if;
when t_retry =>
v.m.fifo.raddr := r.m.fifo.raddr - r.m.hwrite; v.m.fstate := idle;
when ttermwd =>
if data_transfer = '1' then v.m.fifo.raddr := r.m.fifo.raddr + r.m.hwrite;
elsif pr.trdy = '1' then v.m.fifo.raddr := r.m.fifo.raddr - r.m.hwrite;
if (r.m.hwrite and r.m.valid) = '1' then v.m.fstate := idle;
else v.m.fstate := done; rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; pstart_ack := pstart; end if;
end if;
when ttermnd =>
if r.m.hwrite = '1' then
v.m.fifo.raddr := r.m.fifo.raddr - '1';
-- if (r.m.fifo.raddr /= (r2.s.fifo.waddr + '1') or wsdone = '0') then v.m.valid := '1'; v.m.fstate := idle; -- bug fix ***
if (r.m.fifo.raddr /= (r2.s.fifo.waddr + '1') or wsdone = '0' or r.m.valid = '1') then v.m.valid := '1'; v.m.fstate := idle;
else v.m.fstate := done; rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; pstart_ack := pstart; end if;
-- else v.m.fstate := done; rmdone := not r.m.fifo.side; v.m.fifo.side := '1'; pstart_ack := pstart; end if;
else v.m.fstate := done; rmdone := (not r.m.fifo.side or r.m.rmdone); v.m.fifo.side := '1'; pstart_ack := pstart; end if; -- bug fix ***
when abort =>
v.m.fifo.raddr := (others => '0'); v.m.fifo.waddr := (others => '0');
v.m.fstate := done; pstart_ack := pstart; pabort := '1';
when done =>
d_ready := '1'; comp := '1'; v.m.request := '0';
if (pstart or pstart_ack) = '0' then
v.m.fstate := wdone; v.m.fifo.raddr := (others => '0'); v.m.fifo.side := '0'; rmdone := '1';
else pstart_ack := pstart; end if;
when wdone =>
d_ready := '1'; comp := '1';
if (r.m.state = idle or r.m.state = dr_bus) then v.m.fstate := idle; pabort := '0'; end if;
end case;
-- PCI master state machine
case r.m.state is
when idle => -- Master idle
v.m.stopframe := '0';
if (pcii.gnt = '0' and bus_idle = '1') then
if m_request = '1' then v.m.state := addr;
else v.m.state := dr_bus; end if;
end if;
when addr => -- Always one address cycle at the beginning of an transaction
v.m.stopframe := '0';
v.m.state := m_data;
when m_data => -- Master transfers data
if r.m.hwrite = '0' then v.m.detectperr(0) := '1'; end if; -- Only detect perr on read
if r.pci.frame = '1' then v.m.stopframe := '1'; end if; -- ***
if (r.pci.frame = '0') or ((r.pci.frame and pcii.trdy and pcii.stop and not mto) = '1') then
v.m.state := m_data;
if (r.pci.frame and not d_ready) = '1' then d_ready := '1'; end if;
elsif ((r.pci.frame and (mto or not pcii.stop)) = '1') then
v.m.state := s_tar;
v.m.stop_req := '1';
else v.m.state := turn_ar; end if;
when turn_ar => -- Transaction complete
v.m.detectperr(0) := '0';
if pcii.gnt = '0' then
if m_request = '1' then v.m.state := addr;
else v.m.state := dr_bus; end if;
else v.m.state := idle; end if;
when s_tar => -- Stop was asserted
v.m.detectperr(0) := '0';
if pcii.gnt = '0' then v.m.state := dr_bus;
else v.m.state := idle; end if;
when dr_bus => -- Drive bus when parked on this agent
if pcii.gnt = '1' then v.m.state := idle;
elsif m_request = '1' then v.m.state := addr; end if;
end case;
-- FIFO write strobe
m_fifo_write := not r.m.hwrite and not pr.irdy and not (pr.trdy and (pr.stop or not r.trans(3))) and not r.pci.oe_irdy;
-- PCI data mux
if v.m.state = addr then
if r.m.hwrite = '1' then mad := (r2.s.maddr + ((((not r2.s.fifo.side) & r.m.fifo.raddr)) & "00"));
else mad := r2.s.maddr; end if;
elsif (r.m.state = addr or data_transfer = '1') then mad := fifo3o.rdata(31 downto 0);
end if;
-- Target abort
if ((pr.devsel and pr.trdy and not pr.gnt and not pr.stop) = '1') then v.stat.rta := '1'; end if;
-- Master abort
if mto = '1' then v.stat.rma := '1'; end if;
-- Drive FRAME# and IRDY#
if (v.m.state = addr or v.m.state = m_data) then v.pci.oe_frame := '0'; end if;
-- Drive CBE#
if (v.m.state = addr or v.m.state = m_data or v.m.state = dr_bus) then v.pci.oe_cbe := '0'; end if;
-- Drive IRDY# (FRAME# delayed one pciclk)
v.pci.oe_irdy := r.pci.oe_frame;
-- FRAME# assert
if (v.m.state = addr or (v.m.state = m_data and mto = '0' and v.m.stopframe = '0' -- stopframe fix frame when pci_gnt is deasserted
--and ((((pcii.stop or not d_ready) and not (comp or v.m.split or not v.m.valid)) and not grant)) = '1')) -- dont change frame when gnt = 1 if not irdy and trdy or stop
and ((((pcii.stop or not d_ready) and not (comp or v.m.split or not v.m.valid)) and not (grant and not pr.irdy and (not pcii.trdy or not pcii.stop) ) )) = '1'))
then
v.pci.frame := '0';
end if;
-- IRDY# assert
if (v.m.state = m_data and ((d_ready or mto or (not r.m.valid) or (v.pci.frame and not r.pci.frame)) = '1')) then v.pci.irdy := '0'; end if;
-- REQ# assert
if ((v.m.request = '1' and (r.m.fstate = idle or comp = '0')) and (v.m.stop_req or r.m.stop_req) = '0') then v.pci.req := '0'; end if;
-- C/BE# assert
if v.m.state = addr then v.pci.cbe := r2.s.pcicomm; else v.pci.cbe := r2.s.be; end if;
end if;
---------------------
---PCI MASTER END ---
---------------------
----------------------
--- SHARED SIGNALS ---
----------------------
v.m.detectperr(1) := r.m.detectperr(0);
v.t.detectperr(1) := r.t.detectperr(0);
-- Drive PAR one clock after AD
v.pci.oe_par := r.pci.oe_ad;
v.pci.par := xorv(r.pci.ad & r.pci.cbe); -- Default asserted by master
-- PERR error
if (r.m.detectperr(0) = '1' or (r.m.detectperr(1) and not r.pci.perr) = '1') -- Drive perr for master:read
or (r.t.detectperr(0) = '1' or (r.t.detectperr(1) and not r.pci.perr) = '1') then -- Drive perr for target:write
v.pci.oe_perr := not(r.comm.per and r.pci.oe_par and not (pr.irdy and pr.trdy)) and (r.pci.oe_perr or r.pci.perr);
else
v.pci.oe_perr := (r.pci.oe_perr or r.pci.perr);
end if;
v.pci.perr := not (pcii.par xor xorv(pr.ad & pr.cbe)) or pr.irdy or pr.trdy; -- Detect parity error
v.pci.ad := mad; -- Default asserted by master
-- Master drives AD
if (v.m.state = addr or (v.m.state = m_data and r.m.hwrite = '1') or v.m.state = dr_bus) then
v.pci.oe_ad := '0';
end if;
-- Target drives AD
if r.t.read = '1' then
if v.t.state = s_data then
v.pci.oe_ad := '0';
--v.pci.ad := tad; end if;
-- Hold AD when master adds waitstates [nisse]
if (v.t.thold = '0' or (v.t.trdy_del = '0' and r.t.trdy_del = '0')) and v.t.thold2 = '0' and (pcii.irdy and not r.pci.trdy) = '0' then v.pci.ad := tad; end if;
end if;
if r.t.state = s_data then
v.pci.par := xorv(r.pci.ad & pcii.cbe);
end if;
end if;
adin <= v.pci.ad;
v.noe_ad := not v.pci.oe_ad;
v.noe_ctrl := not v.pci.oe_ctrl;
v.noe_par := not v.pci.oe_par;
v.noe_req := not v.pci.oe_req;
v.noe_frame := not v.pci.oe_frame;
v.noe_cbe := not v.pci.oe_cbe;
v.noe_irdy := not v.pci.oe_irdy;
v.noe_perr := not v.pci.oe_perr;
v.noe_serr := not v.pci.oe_serr;
if (scanen = 1) and (syncrst = 1) and (ahbmi.testen = '1') then
voe_ad := (others => ahbmi.testoen);
oe_ad := '1';
oe_ctrl := '1';
oe_par := '1';
oe_req := '1';
oe_frame := '1';
oe_cbe := '1';
oe_irdy := '1';
oe_perr := '1';
oe_serr := '1';
elsif oepol = 0 then
if (syncrst = 1) and (pcirstin = '0') then
voe_ad := (others => '1');
oe_ad := '1';
oe_ctrl := '1';
oe_par := '1';
oe_req := '1';
oe_frame := '1';
oe_cbe := '1';
oe_irdy := '1';
oe_perr := '1';
else
voe_ad := (others => v.pci.oe_ad);
oe_ad := r.pci.oe_ad;
oe_ctrl := r.pci.oe_ctrl;
oe_par := r.pci.oe_par;
oe_req := r.pci.oe_req;
oe_frame := r.pci.oe_frame;
oe_cbe := r.pci.oe_cbe;
oe_irdy := r.pci.oe_irdy;
oe_perr := r.pci.oe_perr;
oe_serr := r.pci.oe_serr;
end if;
else -- oepol = 1
if (syncrst = 1) and (pcirstin = '0') then
voe_ad := (others => '0');
oe_ad := '0';
oe_ctrl := '0';
oe_par := '0';
oe_req := '0';
oe_frame := '0';
oe_cbe := '0';
oe_irdy := '0';
oe_perr := '0';
else
voe_ad := (others => v.noe_ad);
oe_ad := r.noe_ad;
oe_ctrl := r.noe_ctrl;
oe_par := r.noe_par;
oe_req := r.noe_req;
oe_frame := r.noe_frame;
oe_cbe := r.noe_cbe;
oe_irdy := r.noe_irdy;
oe_perr := r.noe_perr;
oe_serr := r.noe_serr;
end if;
end if;
--------------------------
--- SHARED SIGNALS END ---
--------------------------
v.trans(0) := hstart;
v.trans(1) := pabort;
v.trans(2) := pstart_ack;
v.trans(3) := pcidc;
v.trans(4) := rtdone;
v.trans(5) := rmdone;
if prrst = '0' then
v.t.state := idle; v.m.state := idle; v.m.fstate := idle;
v.bar0 := (others => '0'); v.bar0_conf := '0';
v.bar1 := (others => '0'); v.bar1_conf := '0';
v.t.msel := '0'; v.t.csel := '0';
v.t.pending := '0'; v.t.lwrite := '0';
v.bt_enable := '1'; -- twisting enabled by default, changed through page0
v.page(31 downto 30) := "01";
v.page(29 downto MADDR_WIDTH-1) := zero32(29 downto MADDR_WIDTH-1);
v.pci.par := '0';
v.comm.msen := not pr.host;
v.comm.men := '0';
v.comm.mwie := '0';
v.comm.per := '0';
v.comm.ser := '0';
v.stat.rta := '0';
v.stat.rma := '0';
v.stat.sta := '0';
v.stat.dped := '0';
v.stat.dpe := '0';
v.stat.sse := '0';
v.cline := (others => '0');
v.ltim := (others => '0');
v.intline := (others => '0');
v.trans := (others => '0');
v.t.fifo.waddr := (others => '0');
v.t.fifo.raddr := (others => '0');
v.m.fifo.waddr := (others => '0');
v.m.fifo.raddr := (others => '0');
v.t.fifo.side := '0';
v.m.fifo.side := '0';
v.m.request := '0';
v.m.hwrite := '0';
v.m.valid := '1';
v.m.split := '0';
v.m.last := '0'; v.t.last := '0';
v.t.laddr := (others => '0'); -- to remove x problem in gate-simulation
v.m.detectperr(0) := '0'; v.t.detectperr(0) := '0';
end if;
cbe_fifoi.wen <= t_fifo_write;
cbe_fifoi.waddr <= r.t.fifo.side & r.t.fifo.waddr;
cbe_fifoi.wdata(3 downto 0) <= pr.cbe;
fifo2i.wen <= t_fifo_write;
fifo2i.waddr <= r.t.fifo.side & r.t.fifo.waddr;
fifo2i.wdata <= byte_twist(pr.ad, r.bt_enable);
fifo1i.ren <= '1';
fifo1i.raddr <= t_read_side & (r.t.fifo.raddr + readt_dly);
fifo4i.wen <= m_fifo_write;
fifo4i.waddr <= r.m.fifo.side & r.m.fifo.waddr;
fifo4i.wdata <= pr.ad;
fifo3i.ren <= '1';
fifo3i.raddr <= m_read_side & (r.m.fifo.raddr + data_transfer);
rin <= v;
rioe_ad <= voe_ad;
pcio.cbeen <= (others => oe_cbe);
pcio.cbe <= r.pci.cbe;
pcio.vaden <= roe_ad;
pcio.aden <= oe_ad;
pcio.ad <= ad;
-- pcio.trdy <= r.pci.trdy;
pcio.trdy <= r.t.trdy_del; -- (send last word in fifo) bug fix ***
pcio.ctrlen <= oe_ctrl;
pcio.trdyen <= oe_ctrl;
pcio.devselen <= oe_ctrl;
pcio.stopen <= oe_ctrl;
pcio.stop <= r.pci.stop;
pcio.devsel <= r.pci.devsel;
pcio.par <= r.pci.par;
pcio.paren <= oe_par;
pcio.perren <= oe_perr;
pcio.perr <= r.pci.perr;
pcio.serr <= r.pci.serr;
pcio.serren <= oe_serr;
pcio.reqen <= oe_req;
pcio.req <= r.pci.req;
pcio.frameen <= oe_frame;
pcio.frame <= r.pci.frame;
pcio.irdyen <= oe_irdy;
pcio.irdy <= r.pci.irdy;
end process;
rstinputgen : if hostrst = 0 generate
pcirstin <= pcii.rst;
pcio.rst <= '1';
end generate;
hostrstgen : if hostrst = 1 generate
--pcirstin <= rst when pcii.host = '0' else pcii.rst;
pcirstin <= pcii.rst;
pcio.rst <= rst when pcii.host = '0' else '1';
end generate;
pcirst <= ahbmi.testrst when (scanen = 1) and (ahbmi.testen = '1')
else pcirstin;
pr_regs : process (pciclk)
begin
if rising_edge (pciclk) then
pr.ad <= to_x01(pcii.ad);
pr.cbe <= to_x01(pcii.cbe);
pr.devsel <= to_x01(pcii.devsel);
pr.frame <= to_x01(pcii.frame);
pr.idsel <= to_x01(pcii.idsel);
pr.irdy <= to_x01(pcii.irdy);
pr.trdy <= to_x01(pcii.trdy);
pr.par <= to_x01(pcii.par);
pr.stop <= to_x01(pcii.stop);
prrst <= to_x01(pcirstin);
pr.gnt <= to_x01(pcii.gnt);
pr.host <= to_x01(pcii.host);
end if;
end process;
regs : process (pciclk, pcirst)
begin
if rising_edge (pciclk) then
r <= rin; ad <= adin;
end if;
if (syncrst = 0) and (pcirst = '0') then -- asynch reset required
r.pci.oe_ad <= '1'; r.pci.oe_ctrl <= '1'; r.pci.oe_par <= '1';
r.pci.oe_req <= '1'; r.pci.oe_frame <= '1'; r.pci.oe_cbe <= '1';
r.pci.oe_irdy <= '1'; r.pci.oe_perr <= '1';
r.noe_ad <= '0'; r.noe_ctrl <= '0'; r.noe_par <= '0';
r.noe_req <= '0'; r.noe_frame <= '0'; r.noe_cbe <= '0';
r.noe_irdy <= '0'; r.noe_perr <= '0';
end if;
end process;
oeregs_pol0 : if oepol = 0 generate
oeregs : process (pciclk, pcirst)
begin
if rising_edge (pciclk) then
roe_ad <= rioe_ad;
end if;
if (syncrst = 0) and (pcirst = '0') then -- asynch reset required
roe_ad <= (others => '1');
end if;
end process;
end generate;
oeregs_pol1 : if oepol = 1 generate
oeregs : process (pciclk, pcirst)
begin
if rising_edge (pciclk) then
roe_ad <= rioe_ad;
end if;
if (syncrst = 0) and (pcirst = '0') then -- asynch reset required
roe_ad <= (others => '0');
end if;
end process;
end generate;
cpur : process (clk)
begin
if rising_edge (clk) then
r2 <= r2in;
end if;
end process;
oe0 : if oepol = 0 generate
pcio.inten <= '1';
pcio.vinten <= (others => '1');
pcio.locken <= '1';
end generate;
oe1 : if oepol = 1 generate
pcio.inten <= '0';
pcio.vinten <= (others => '0');
pcio.locken <= '0';
end generate;
pcio.int <= '1';
pcio.lock <= '1';
pcio.power_state <= (others => '0');
pcio.pme_enable <= '0';
pcio.pme_clear <= '0';
msttgt : if MASTER = 1 generate
ahbmst0 : pciahbmst generic map (hindex => hmstndx, devid => GAISLER_PCIFBRG, incaddr => 1)
port map (rst, clk, dmai, dmao, ahbmi, ahbmo);
fifo1 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1)
port map (pciclk, fifo1i.ren, fifo1i.raddr, fifo1o.rdata, clk, fifo1i.wen, fifo1i.waddr, fifo1i.wdata);
fifo2 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1)
port map (clk, fifo2i.ren, fifo2i.raddr, fifo2o.rdata, pciclk, fifo2i.wen, fifo2i.waddr, fifo2i.wdata);
fifo3 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1)
port map (pciclk, fifo3i.ren, fifo3i.raddr, fifo3o.rdata, clk, fifo3i.wen, fifo3i.waddr, fifo3i.wdata);
fifo4 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1)
port map (clk, fifo4i.ren, fifo4i.raddr, fifo4o.rdata, pciclk, fifo4i.wen, fifo4i.waddr, fifo4i.wdata);
cbe_fifo : syncram_2p generic map (tech => 0, abits => FIFO_DEPTH, dbits => 4, sepclk => 1)
port map (clk, cbe_fifoi.ren, cbe_fifoi.raddr, cbe_fifoo.rdata(3 downto 0), pciclk, cbe_fifoi.wen, cbe_fifoi.waddr, cbe_fifoi.wdata(3 downto 0));
-- pragma translate_off
bootmsg : report_version
generic map ("pci_mtf" & tost(hslvndx) &
": 32-bit PCI/AHB bridge rev " & tost(REVISION) &
", " & tost(2**abits/2**20) & " Mbyte PCI memory BAR, " &
tost(2**FIFO_DEPTH) & "-word FIFOs" );
-- pragma translate_on
end generate;
tgtonly : if MASTER = 0 generate
ahbmst0 : pciahbmst generic map (hindex => hmstndx, devid => GAISLER_PCIFBRG, incaddr => 1)
port map (rst, clk, dmai, dmao, ahbmi, ahbmo);
fifo1 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1)
port map (pciclk, fifo1i.ren, fifo1i.raddr, fifo1o.rdata, clk, fifo1i.wen, fifo1i.waddr, fifo1i.wdata);
fifo2 : syncram_2p generic map (tech => memtech, abits => FIFO_DEPTH, dbits => FIFO_DATA_BITS, sepclk => 1)
port map (clk, fifo2i.ren, fifo2i.raddr, fifo2o.rdata, pciclk, fifo2i.wen, fifo2i.waddr, fifo2i.wdata);
cbe_fifo : syncram_2p generic map (tech => 0, abits => FIFO_DEPTH, dbits => 4, sepclk => 1)
port map (clk, cbe_fifoi.ren, cbe_fifoi.raddr, cbe_fifoo.rdata(3 downto 0), pciclk, cbe_fifoi.wen, cbe_fifoi.waddr, cbe_fifoi.wdata(3 downto 0));
-- pragma translate_off
bootmsg : report_version
generic map ("pci_mtf" & tost(hmstndx) &
": 32-bit PCI/AHB bridge rev, target-only, " & tost(REVISION) &
", " & tost(2**abits/2**20) & " Mbyte PCI memory BAR, " &
tost(2**FIFO_DEPTH) & "-word FIFOs" );
-- pragma translate_on
end generate;
end;
| gpl-2.0 |
a4a881d4/ringbus4xilinx | src/dma/AAI.vhd | 2 | 1825 | ---------------------------------------------------------------------------------------------------
--
-- Title : auto add cpu interface
-- Design : Ring Bus
-- Author : Zhao Ming
-- Company : a4a881d4
--
---------------------------------------------------------------------------------------------------
--
-- File : AAI.vhd
-- Generated : 2013/9/5
-- From :
-- By :
--
---------------------------------------------------------------------------------------------------
--
-- Description : auto add cpu interface
--
-- Rev: 3.1
--
---------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
use work.dma_config.all;
entity AAI is
generic(
width : natural := 32;
CPUDBwidth : natural := 8;
Baddr : std_logic_vector( 3 downto 0 ) := "0000"
);
port(
-- system signal
rst : in STD_LOGIC;
-- CPU bus
CS : in std_logic;
addr : in std_logic_vector( 3 downto 0 );
Din : in std_logic_vector( CPUDBwidth-1 downto 0 );
cpuClk : in std_logic;
Q : out std_logic_vector( width-1 downto 0 )
);
end AAI;
architecture behave of AAI is
signal start : natural range 0 to width+CPUDBwidth-1;
signal D : std_logic_vector( CPUDBwidth*32 downto 0 );
begin
writeP:process( cpuClk, rst )
begin
if rst='1' then
D<=( others=>'0' );
start<=0;
elsif rising_edge(cpuClk) then
if CS='1' then
if addr=reg_RESET and Din(0)='1' then
start<=0;
elsif addr=Baddr then
D( start+CPUDBwidth-1 downto start )<=Din;
start<=start+CPUDBwidth;
end if;
end if;
end if;
end process;
Q<=D(width-1 downto 0 );
end behave;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/techmap/gencomp/gencomp.vhd | 1 | 85952 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: gencomp
-- File: gencomp.vhd
-- Author: Jiri Gaisler et al. - Aeroflex Gaisler
-- Description: Declaration of portable memory modules, pads, e.t.c.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config.grlib_config_array;
use grlib.config_types.grlib_techmap_testin_extra;
package gencomp is
---------------------------------------------------------------------------
-- BASIC DECLARATIONS
---------------------------------------------------------------------------
-- technologies and libraries
constant NTECH : integer := 54;
type tech_ability_type is array (0 to NTECH) of integer;
constant inferred : integer := 0;
constant virtex : integer := 1;
constant virtex2 : integer := 2;
constant memvirage : integer := 3;
constant axcel : integer := 4;
constant proasic : integer := 5;
constant atc18s : integer := 6;
constant altera : integer := 7;
constant umc : integer := 8;
constant rhumc : integer := 9;
constant apa3 : integer := 10;
constant spartan3 : integer := 11;
constant ihp25 : integer := 12;
constant rhlib18t : integer := 13;
constant virtex4 : integer := 14;
constant lattice : integer := 15;
constant ut25 : integer := 16;
constant spartan3e : integer := 17;
constant peregrine : integer := 18;
constant memartisan : integer := 19;
constant virtex5 : integer := 20;
constant custom1 : integer := 21;
constant ihp25rh : integer := 22;
constant stratix1 : integer := 23;
constant stratix2 : integer := 24;
constant eclipse : integer := 25;
constant stratix3 : integer := 26;
constant cyclone3 : integer := 27;
constant memvirage90 : integer := 28;
constant tsmc90 : integer := 29;
constant easic90 : integer := 30;
constant atc18rha : integer := 31;
constant smic013 : integer := 32;
constant tm65gplus : integer := 33;
constant axdsp : integer := 34;
constant spartan6 : integer := 35;
constant virtex6 : integer := 36;
constant actfus : integer := 37;
constant stratix4 : integer := 38;
constant st65lp : integer := 39;
constant st65gp : integer := 40;
constant easic45 : integer := 41;
constant cmos9sf : integer := 42;
constant apa3e : integer := 43;
constant apa3l : integer := 44;
constant ut130 : integer := 45;
constant ut90 : integer := 46;
constant gf65 : integer := 47;
constant virtex7 : integer := 48;
constant kintex7 : integer := 49;
constant artix7 : integer := 50;
constant zynq7000 : integer := 51;
constant rhlib13t : integer := 52;
constant saed32 : integer := 53;
constant dare : integer := 54;
constant DEFMEMTECH : integer := inferred;
constant DEFPADTECH : integer := inferred;
constant DEFFABTECH : integer := inferred;
constant is_fpga : tech_ability_type :=
(inferred => 1, virtex => 1, virtex2 => 1, axcel => 1,
proasic => 1, altera => 1, apa3 => 1, spartan3 => 1,
virtex4 => 1, lattice => 1, spartan3e => 1, virtex5 => 1,
stratix1 => 1, stratix2 => 1, eclipse => 1,
stratix3 => 1, cyclone3 => 1, axdsp => 1,
spartan6 => 1, virtex6 => 1, actfus => 1,
stratix4 => 1, apa3e => 1, apa3l => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1,
others => 0);
constant infer_mul : tech_ability_type := is_fpga;
constant syncram_2p_write_through : tech_ability_type :=
(rhumc => 1, eclipse => 1, others => 0);
constant regfile_3p_write_through : tech_ability_type :=
(rhumc => 1, ihp25 => 1, ihp25rh => 1, eclipse => 1, others => 0);
constant regfile_3p_infer : tech_ability_type :=
(inferred => 1, rhumc => 1, ihp25 => 1, rhlib18t => 0, ut90 => 1,
peregrine => 1, ihp25rh => 1, umc => 1, custom1 => 0, others => 0);
constant syncram_2p_dest_rw_collision : tech_ability_type :=
(memartisan => 1, smic013 => 1, easic45 => 1, ut130 => 1, others => 0);
constant syncram_dp_dest_rw_collision : tech_ability_type :=
(memartisan => 1, smic013 => 1, easic45 => 1, others => 0);
constant syncram_has_customif : tech_ability_type := (others => 0);
constant syncram_customif_maxwidth: integer := 64; -- Expand as needed
constant has_sram : tech_ability_type :=
(atc18s => 0, others => 1);
constant has_2pram : tech_ability_type :=
( atc18s => 0, umc => 0, rhumc => 0, ihp25 => 0, others => 1);
constant has_dpram : tech_ability_type :=
(virtex => 1, virtex2 => 1, memvirage => 1, axcel => 0,
altera => 1, apa3 => 1, spartan3 => 1, virtex4 => 1,
lattice => 1, spartan3e => 1, memartisan => 1, virtex5 => 1,
custom1 => 1, stratix1 => 1, stratix2 => 1, stratix3 => 1,
cyclone3 => 1, memvirage90 => 1, atc18rha => 1, smic013 => 1,
tm65gplus => 1, axdsp => 0, spartan6 => 1, virtex6 => 1,
actfus => 1, stratix4 => 1, easic45 => 1, apa3e => 1,
apa3l => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, dare => 1, others => 0);
constant has_sram64 : tech_ability_type :=
(inferred => 0, virtex2 => 1, spartan3 => 1, virtex4 => 1,
spartan3e => 1, memartisan => 1, virtex5 => 1, smic013 => 1,
spartan6 => 1, virtex6 => 1, easic45 => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1, others => 0);
constant has_sram128bw : tech_ability_type := (
virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1,
spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1,
altera => 1, cyclone3 => 1, stratix2 => 1, stratix3 => 1, stratix4 => 1,
ut90 => 1, others => 0);
constant has_sram128 : tech_ability_type := (
virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1,
spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1,
tm65gplus => 0, easic45 => 1, others => 0);
constant has_sram156bw : tech_ability_type := (
virtex2 => 0, virtex4 => 0, virtex5 => 0, spartan3 => 0,
spartan3e => 0, spartan6 => 0, virtex6 => 0, virtex7 => 0, kintex7 => 0,
altera => 0, cyclone3 => 0, stratix2 => 0, stratix3 => 0, stratix4 => 0,
tm65gplus => 0, custom1 => 1, ut90 => 1, others => 0);
constant has_sram256bw : tech_ability_type := (
virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1,
spartan3e => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1,
altera => 1, cyclone3 => 1, stratix2 => 1, stratix3 => 1, stratix4 => 1,
tm65gplus => 0, cmos9sf => 1, others => 0);
constant has_sram_2pbw : tech_ability_type := (
easic45 => 1, others => 0);
constant has_srambw : tech_ability_type := (easic45 => 1, others => 0);
constant has_2pfifo : tech_ability_type := (
altera => 1, stratix1 => 1, stratix2 => 1, stratix3 => 1,
stratix4 => 1, others => 0);
-- ram_raw_latency - describes how many edges on the write-port clock that
-- must pass before data is commited to memory. for example, if the write data
-- is commited to memory on the falling edge after a write cycle, and is
-- available to the read port after a short T_{raw} then ram_raw_latency
-- should be set to 1. If the data is available to the read port immediately
-- after the write-port clock rising edge that latches the write operation then
-- ram_raw_latency(tech) should return 0. If T_{raw} cannot be assumed to be
-- negligible (for instance, it is longer than a clock cycle on the read port)
-- then the ram_raw_latency value should be increased to cover also T_{raw}.
-- this value is important for cores that use DP or 2P memories in CDC.
constant ram_raw_latency : tech_ability_type := (easic45 => 1, others => 0);
constant padoen_polarity : tech_ability_type :=
(axcel => 1, proasic => 1, umc => 1, rhumc => 1, saed32 => 1, dare => 1, apa3 => 1,
ihp25 => 1, ut25 => 1, peregrine => 1, easic90 => 1, axdsp => 1,
actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1,
ut90 => 1, others => 0);
constant has_pads : tech_ability_type :=
(inferred => 0, virtex => 1, virtex2 => 1, memvirage => 0,
axcel => 1, proasic => 1, atc18s => 1, altera => 0,
umc => 1, rhumc => 1, saed32 => 1, dare => 1, apa3 => 1, spartan3 => 1,
ihp25 => 1, rhlib18t => 1, virtex4 => 1, lattice => 0,
ut25 => 1, spartan3e => 1, peregrine => 1, virtex5 => 1, axdsp => 1,
easic90 => 1, atc18rha => 1, spartan6 => 1, virtex6 => 1,
actfus => 1, apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1,
ut90 => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1, others => 0);
constant has_ds_pads : tech_ability_type :=
(inferred => 0, virtex => 1, virtex2 => 1, memvirage => 0,
axcel => 1, proasic => 0, atc18s => 0, altera => 0,
umc => 0, rhumc => 0, saed32 => 0, dare => 0, apa3 => 1, spartan3 => 1,
ihp25 => 0, rhlib18t => 1, virtex4 => 1, lattice => 0,
ut25 => 1, spartan3e => 1, virtex5 => 1, axdsp => 1,
spartan6 => 1, virtex6 => 1, actfus => 1,
apa3e => 1, apa3l => 1, ut130 => 0, easic45 => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1, others => 0);
constant has_ds_combo : tech_ability_type :=
( rhumc => 1, ut25 => 1, ut130 => 1, others => 0);
constant has_clkand : tech_ability_type :=
( virtex => 1, virtex2 => 1, spartan3 => 1, spartan3e => 1, virtex4 => 1,
virtex5 => 1, ut25 => 1, rhlib18t => 1,
spartan6 => 1, virtex6 => 1, ut130 => 1, easic45 => 1,
ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, saed32 => 1, dare => 1, others => 0);
constant has_clkmux : tech_ability_type :=
( virtex => 1, virtex2 => 1, spartan3 => 1, spartan3e => 1,
virtex4 => 1, virtex5 => 1, rhlib18t => 1,
spartan6 => 1, virtex6 => 1, ut130 => 1, easic45 => 1,
ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, saed32 => 1, dare => 1, rhumc => 1, others => 0);
constant has_clkinv : tech_ability_type :=
( saed32 => 1, dare => 1, others => 0);
constant has_techbuf : tech_ability_type :=
( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1,
spartan3 => 1, spartan3e => 1, axcel => 1, ut25 => 1,
apa3 => 1, easic90 => 1, axdsp => 1, actfus => 1,
apa3e => 1, apa3l => 1, ut130 => 1, easic45 => 1,
ut90 => 1, spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1, others => 0);
constant has_tapsel : tech_ability_type :=
( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1,
spartan3 => 1, spartan3e => 1,
spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1, others => 0);
constant tap_tck_gated : tech_ability_type :=
( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1, spartan3 => 1, spartan3e => 1,
spartan6 => 0, others => 0);
constant need_extra_sync_reset : tech_ability_type :=
(axcel => 1, atc18s => 1, ut25 => 1, rhumc => 1, saed32 => 1, dare => 1, tsmc90 => 1,
rhlib18t => 1, atc18rha => 1, easic90 => 1, tm65gplus => 1,
axdsp => 1, cmos9sf => 1, apa3 => 1, apa3e => 1, apa3l => 1,
ut130 => 1, easic45 => 1, ut90 => 1, others => 0);
constant is_unisim : tech_ability_type :=
( virtex => 1, virtex2 => 1, virtex4 => 1, virtex5 => 1,
spartan3 => 1, spartan3e => 1,
spartan6 => 1, virtex6 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0);
constant has_tap : tech_ability_type :=
(inferred => 0, virtex => 1, virtex2 => 1, axcel => 0,
proasic => 0, altera => 1, apa3 => 1, spartan3 => 1,
virtex4 => 1, lattice => 0, spartan3e => 1, virtex5 => 1,
stratix1 => 1, stratix2 => 1, eclipse => 0,
stratix3 => 1, cyclone3 => 1, axdsp => 0,
spartan6 => 1, virtex6 => 1, actfus => 1,
stratix4 => 1, easic45 => 0, apa3e => 1, apa3l => 1, virtex7 => 1, kintex7 => 1,
artix7 => 1, zynq7000 => 1,
others => 0);
constant has_clkgen : tech_ability_type :=
(inferred => 0, virtex => 1, virtex2 => 1, axcel => 1,
proasic => 1, altera => 1, apa3 => 1, spartan3 => 1,
virtex4 => 1, lattice => 0, spartan3e => 1, virtex5 => 1,
stratix1 => 1, stratix2 => 1, eclipse => 0, rhumc => 1, saed32 => 1, dare => 1,
stratix3 => 1, cyclone3 => 1, axdsp => 1,
spartan6 => 1, virtex6 => 1, actfus => 1, easic90 => 1,
stratix4 => 1, easic45 => 1, apa3e => 1, apa3l => 1,
rhlib18t => 1, ut130 => 1, ut90 => 1, virtex7 => 1, kintex7 => 1, artix7 => 1, zynq7000 => 1, others => 0);
constant has_ddr2phy: tech_ability_type :=
(inferred => 0, stratix2 => 1, stratix3 => 1, spartan3 => 1,
easic90 => 1, spartan6 => 1, easic45 => 1,
virtex4 => 1, virtex5 => 1, virtex6 => 1, others => 0);
constant ddr2phy_builtin_pads: tech_ability_type := (
-- Wrapped DDR2 IP cores with builtin pads
easic45 => 1,
-- Below techs have builtin pads for legacy reasons, can be converted if needed
easic90 => 1, spartan3 => 1, stratix3 => 1, stratix2 => 1,
others => 0);
constant ddr2phy_has_fbclk: tech_ability_type :=
(inferred => 1, others => 0);
constant ddrphy_has_fbclk: tech_ability_type :=
(others => 0);
constant ddr2phy_has_reg: tech_ability_type :=
(easic45 => 1, others => 0);
constant ddr2phy_has_custom: tech_ability_type :=
(easic45 => 1, others => 0);
constant ddr2phy_refclk_type: tech_ability_type :=
(virtex4 => 1, virtex5 => 1, virtex6 => 1, -- 1: 200 MHz reference
easic45 => 2, -- 2: 270 degree shifted clock
others => 0); -- 0: None
constant ddr2phy_has_datavalid: tech_ability_type :=
(easic45 => 1,
others => 0);
constant ddrphy_has_datavalid: tech_ability_type :=
(ut90 => 1, others => 0);
constant ddrphy_builtin_pads: tech_ability_type := (
inferred => 0,
-- Most techs have builtin pads for legacy reasons, can be converted if needed
others => 1);
constant ddrphy_latency: tech_ability_type := (
-- extra read latency, only used when not datavalid signal is available
inferred => 1,
others => 0
);
-- If the PHY passes through the control signals directly to the pads
-- and therefore needs them to be set asynchronously at reset
constant ddr2phy_ptctrl: tech_ability_type := (
inferred => 1, others => 0
);
constant ddrphy_ptctrl: tech_ability_type := (
inferred => 1, others => 0
);
constant has_syncreg: tech_ability_type := (
inferred => 0, others => 0);
-- pragma translate_off
subtype tech_description is string(1 to 10);
type tech_table_type is array (0 to NTECH) of tech_description;
-------------------------------------------------------------------------------
constant tech_table : tech_table_type := (
inferred => "inferred ", virtex => "virtex ",
virtex2 => "virtex2 ", memvirage => "virage ",
axcel => "axcel ", proasic => "proasic ",
atc18s => "atc18s ", altera => "altera ",
umc => "umc18 ", rhumc => "rhumc ",
apa3 => "proasic3 ", spartan3 => "spartan3 ",
ihp25 => "ihp25 ", rhlib18t => "rhlib18t ",
virtex4 => "virtex4 ", lattice => "lattice ",
ut25 => "ut025crh ", spartan3e => "spartan3e ",
peregrine => "peregrine ", memartisan => "artisan ",
virtex5 => "virtex5 ", custom1 => "custom1 ",
ihp25rh => "ihp25rh ", stratix1 => "stratix ",
stratix2 => "stratixii ", eclipse => "eclipse ",
stratix3 => "stratixiii", cyclone3 => "cycloneiii",
memvirage90 => "virage90 ", tsmc90 => "tsmc90 ",
easic90 => "nextreme ", atc18rha => "atc18rha ",
smic013 => "smic13 ", tm65gplus => "tm65gplus ",
axdsp => "axdsp ", spartan6 => "spartan6 ",
virtex6 => "virtex6 ", actfus => "fusion ",
stratix4 => "stratix4 ", st65lp => "st65lp ",
st65gp => "st65gp ", easic45 => "nextreme2 ",
cmos9sf => "cmos9sf ", apa3e => "proasic3e ",
apa3l => "proasic3l ", ut130 => "ut130hbd ",
ut90 => "ut90nhbd ", gf65 => "gf65g ",
virtex7 => "virtex7 ", kintex7 => "kintex7 ",
artix7 => "artix7 ", zynq7000 => "zynq7000 ",
rhlib13t => "rhlib13t ", saed32 => "saed32 ",
dare => "dare ");
-- pragma translate_on
-- input/output voltage
constant x12v : integer := 12;
constant x15v : integer := 15;
constant x18v : integer := 1;
constant x25v : integer := 2;
constant x33v : integer := 3;
constant x50v : integer := 5;
-- input/output levels
constant ttl : integer := 0;
constant cmos : integer := 1;
constant pci33 : integer := 2;
constant pci66 : integer := 3;
constant lvds : integer := 4;
constant sstl2_i : integer := 5;
constant sstl2_ii : integer := 6;
constant sstl3_i : integer := 7;
constant sstl3_ii : integer := 8;
constant sstl18_i : integer := 9;
constant sstl18_ii: integer := 10;
constant lvpecl : integer := 11;
constant sstl : integer := 12;
-- pad types
constant normal : integer := 0;
constant pullup : integer := 1;
constant pulldown : integer := 2;
constant opendrain: integer := 3;
constant schmitt : integer := 4;
constant dci : integer := 5;
---------------------------------------------------------------------------
-- MEMORY
---------------------------------------------------------------------------
-- testin vector is testen & scanen & (tech-dependent...)
constant TESTIN_WIDTH : integer := 4 + GRLIB_CONFIG_ARRAY(grlib_techmap_testin_extra);
constant testin_none : std_logic_vector(TESTIN_WIDTH-1 downto 0) := (others => '0');
-- synchronous single-port ram
component syncram
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
testen : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
enable : in std_ulogic;
write : in std_ulogic;
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(custombits-1 downto 0));
end component;
-- synchronous two-port ram (1 read, 1 write port)
component syncram_2p
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0;
words : integer := 0; custombits : integer := 1);
port (
rclk : in std_ulogic;
renable : in std_ulogic;
raddress : in std_logic_vector((abits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
wclk : in std_ulogic;
write : in std_ulogic;
waddress : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(custombits-1 downto 0));
end component;
-- synchronous dual-port ram (2 read/write ports)
component syncram_dp
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
testen : integer := 0; custombits : integer := 1);
port (
clk1 : in std_ulogic;
address1 : in std_logic_vector((abits -1) downto 0);
datain1 : in std_logic_vector((dbits -1) downto 0);
dataout1 : out std_logic_vector((dbits -1) downto 0);
enable1 : in std_ulogic;
write1 : in std_ulogic;
clk2 : in std_ulogic;
address2 : in std_logic_vector((abits -1) downto 0);
datain2 : in std_logic_vector((dbits -1) downto 0);
dataout2 : out std_logic_vector((dbits -1) downto 0);
enable2 : in std_ulogic;
write2 : in std_ulogic;
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(custombits-1 downto 0));
end component;
-- synchronous 3-port regfile (2 read, 1 write port)
component regfile_3p
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
wrfst : integer := 0; numregs : integer := 64; testen : integer := 0;
custombits : integer := 1);
port (
wclk : in std_ulogic;
waddr : in std_logic_vector((abits -1) downto 0);
wdata : in std_logic_vector((dbits -1) downto 0);
we : in std_ulogic;
rclk : in std_ulogic;
raddr1 : in std_logic_vector((abits -1) downto 0);
re1 : in std_ulogic;
rdata1 : out std_logic_vector((dbits -1) downto 0);
raddr2 : in std_logic_vector((abits -1) downto 0);
re2 : in std_ulogic;
rdata2 : out std_logic_vector((dbits -1) downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(2*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(2*custombits-1 downto 0));
end component;
-- 64-bit synchronous single-port ram with 32-bit write strobe
component syncram64
generic (tech : integer := 0; abits : integer := 6; testen : integer := 0;
paren : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (63+8*paren downto 0);
dataout : out std_logic_vector (63+8*paren downto 0);
enable : in std_logic_vector (1 downto 0);
write : in std_logic_vector (1 downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(2*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(2*custombits-1 downto 0));
end component;
-- 128-bit synchronous single-port ram with 32-bit write strobe
component syncram128
generic (tech : integer := 0; abits : integer := 6; testen : integer := 0;
paren : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (127+16*paren downto 0);
dataout : out std_logic_vector (127+16*paren downto 0);
enable : in std_logic_vector (3 downto 0);
write : in std_logic_vector (3 downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(4*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(4*custombits-1 downto 0));
end component;
component syncramft
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
ft : integer range 0 to 3 := 0; testen : integer := 0; custombits : integer := 1 );
port (
clk : in std_ulogic;
address : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
write : in std_ulogic;
enable : in std_ulogic;
error : out std_logic_vector(((dbits + 7) / 8)-1 downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
errinj : in std_logic_vector(((dbits + 7)/8)*2-1 downto 0) := (others => '0');
customclk: in std_ulogic := '0';
customin : in std_logic_vector(3*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(3*custombits-1 downto 0));
end component;
component syncram_2pft
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
sepclk : integer := 0; wrfst : integer := 0; ft : integer := 0;
testen : integer := 0; words : integer := 0; custombits : integer := 1);
port (
rclk : in std_ulogic;
renable : in std_ulogic;
raddress : in std_logic_vector((abits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
wclk : in std_ulogic;
write : in std_ulogic;
waddress : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
error : out std_logic_vector(((dbits + 7) / 8)-1 downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(3*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(3*custombits-1 downto 0));
end component;
component syncram128bw
generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (127 downto 0);
dataout : out std_logic_vector (127 downto 0);
enable : in std_logic_vector (15 downto 0);
write : in std_logic_vector (15 downto 0);
testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(16*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(16*custombits-1 downto 0));
end component;
component syncram156bw
generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (155 downto 0);
dataout : out std_logic_vector (155 downto 0);
enable : in std_logic_vector (15 downto 0);
write : in std_logic_vector (15 downto 0);
testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(20*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(20*custombits-1 downto 0));
end component;
component syncram256bw is
generic (tech : integer := 0; abits : integer := 6; testen : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits -1 downto 0);
datain : in std_logic_vector (255 downto 0);
dataout : out std_logic_vector (255 downto 0);
enable : in std_logic_vector (31 downto 0);
write : in std_logic_vector (31 downto 0);
testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(32*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(32*custombits-1 downto 0));
end component;
component syncrambw
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
testen : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits-1 downto 0);
datain : in std_logic_vector (dbits-1 downto 0);
dataout : out std_logic_vector (dbits-1 downto 0);
enable : in std_logic_vector (dbits/8-1 downto 0);
write : in std_logic_vector (dbits/8-1 downto 0);
testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector((dbits/8)*custombits-1 downto 0));
end component;
component syncram_2pbw
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0;
words : integer := 0; custombits : integer := 1);
port (
rclk : in std_ulogic;
renable : in std_logic_vector((dbits/8-1) downto 0);
raddress : in std_logic_vector((abits-1) downto 0);
dataout : out std_logic_vector((dbits-1) downto 0);
wclk : in std_ulogic;
write : in std_logic_vector((dbits/8-1) downto 0);
waddress : in std_logic_vector((abits-1) downto 0);
datain : in std_logic_vector((dbits-1) downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector((dbits/8)*custombits-1 downto 0));
end component;
component syncrambwft is
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
ft : integer range 0 to 3 := 0; testen : integer := 0; custombits : integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector (abits-1 downto 0);
datain : in std_logic_vector (dbits-1 downto 0);
dataout : out std_logic_vector (dbits-1 downto 0);
enable : in std_logic_vector (dbits/8-1 downto 0);
write : in std_logic_vector (dbits/8-1 downto 0);
error : out std_logic_vector (dbits/8-1 downto 0);
testin : in std_logic_vector (TESTIN_WIDTH-1 downto 0) := testin_none;
errinj : in std_logic_vector((dbits/8)*2-1 downto 0) := (others => '0');
customclk : in std_ulogic := '0';
customin : in std_logic_vector(3*(dbits/8)*custombits-1 downto 0) := (others => '0');
customout : out std_logic_vector(3*(dbits/8)*custombits-1 downto 0));
end component;
component from is
generic (
timingcheckson: boolean := True;
instancepath: string := "*";
xon: boolean := False;
msgon: boolean := True;
data_x: integer := 1;
memoryfile: string := "";
progfile: string := "");
port (
clk: in std_ulogic;
addr: in std_logic_vector(6 downto 0);
data: out std_logic_vector(7 downto 0));
end component;
component syncfifo_2p is
generic (
tech : integer := 0;
abits : integer := 6;
dbits : integer := 8
);
port (
rclk : in std_logic;
renable : in std_logic;
rfull : out std_logic;
rempty : out std_logic;
rusedw : out std_logic_vector(abits-1 downto 0);
datain : in std_logic_vector(dbits-1 downto 0);
wclk : in std_logic;
write : in std_logic;
wfull : out std_logic;
wempty : out std_logic;
wusedw : out std_logic_vector(abits-1 downto 0);
dataout : out std_logic_vector(dbits-1 downto 0)
);
end component;
---------------------------------------------------------------------------
-- PADS
---------------------------------------------------------------------------
component inpad
generic (tech : integer := 0; level : integer := 0;
voltage : integer := x33v; filter : integer := 0;
strength : integer := 0);
port (pad : in std_ulogic; o : out std_ulogic);
end component;
component inpadv
generic (tech : integer := 0; level : integer := 0;
voltage : integer := x33v; width : integer := 1;
filter : integer := 0; strength : integer := 0);
port (
pad : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0));
end component;
component iopad
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0; filter : integer := 0);
port (pad : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic;
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component iopadv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0; filter : integer := 0);
port (
pad : inout std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_ulogic;
o : out std_logic_vector(width-1 downto 0);
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component iopadvv is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0; filter : integer := 0);
port (
pad : inout std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0);
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000"
);
end component;
component iodpad
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0);
port (pad : inout std_ulogic; i : in std_ulogic; o : out std_ulogic);
end component;
component iodpadv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
pad : inout std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0));
end component;
component outpad
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12);
port (pad : out std_ulogic; i : in std_ulogic;
cfgi : in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component outpadv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1);
port (
pad : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component odpad
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0);
port (pad : out std_ulogic; i : in std_ulogic;
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component odpadv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
pad : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component toutpad
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0);
port (pad : out std_ulogic; i, en : in std_ulogic;
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component toutpadv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
pad : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_ulogic;
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component toutpadvv is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
pad : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_logic_vector(width-1 downto 0);
cfgi: in std_logic_vector(19 downto 0) := "00000000000000000000");
end component;
component toutpad_ds
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0);
port (padp, padn : out std_ulogic; i, en : in std_ulogic);
end component;
component toutpad_dsv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
padp : out std_logic_vector(width-1 downto 0);
padn : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_ulogic);
end component;
component toutpad_dsvv is
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
padp : out std_logic_vector(width-1 downto 0);
padn : out std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_logic_vector(width-1 downto 0));
end component;
component skew_outpad
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; skew : integer := 0);
port (pad : out std_ulogic; i : in std_ulogic; rst : in std_ulogic;
o : out std_ulogic);
end component;
component clkpad
generic (tech : integer := 0; level : integer := 0;
voltage : integer := x33v; arch : integer := 0;
hf : integer := 0; filter : integer := 0);
port (pad : in std_ulogic; o : out std_ulogic; rstn : std_ulogic := '1'; lock : out std_ulogic);
end component;
component inpad_ds
generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0);
port (padp, padn : in std_ulogic; o : out std_ulogic);
end component;
component clkpad_ds
generic (tech : integer := 0; level : integer := lvds; voltage : integer := x33v; term : integer := 0);
port (padp, padn : in std_ulogic; o : out std_ulogic);
end component;
component inpad_dsv
generic (tech : integer := 0; level : integer := lvds;
voltage : integer := x33v; width : integer := 1; term : integer := 0);
port (
padp : in std_logic_vector(width-1 downto 0);
padn : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0));
end component;
component iopad_ds
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0; term : integer := 0);
port (padp, padn : inout std_ulogic; i, en : in std_ulogic; o : out std_ulogic);
end component;
component iopad_dsv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
padp, padn : inout std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_ulogic;
o : out std_logic_vector(width-1 downto 0));
end component;
component iopad_dsvv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (
padp, padn : inout std_logic_vector(width-1 downto 0);
i : in std_logic_vector(width-1 downto 0);
en : in std_logic_vector(width-1 downto 0);
o : out std_logic_vector(width-1 downto 0));
end component;
component outpad_ds
generic (tech : integer := 0; level : integer := lvds;
voltage : integer := x33v; oepol : integer := 0; slew : integer := 0);
port (padp, padn : out std_ulogic; i, en : in std_ulogic);
end component;
component outpad_dsv
generic (tech : integer := 0; level : integer := lvds;
voltage : integer := x33v; width : integer := 1; slew : integer := 0);
port (
padp : out std_logic_vector(width-1 downto 0);
padn : out std_logic_vector(width-1 downto 0);
i, en: in std_logic_vector(width-1 downto 0));
end component;
component lvds_combo is
generic (tech : integer := 0; voltage : integer := 0; width : integer := 1;
oepol : integer := 0; term : integer := 0);
port (odpadp, odpadn, ospadp, ospadn : out std_logic_vector(0 to width-1);
odval, osval, en : in std_logic_vector(0 to width-1);
idpadp, idpadn, ispadp, ispadn : in std_logic_vector(0 to width-1);
idval, isval : out std_logic_vector(0 to width-1);
powerdown : in std_logic_vector(0 to width-1) := (others => '0');
powerdownrx : in std_logic_vector(0 to width-1) := (others => '0');
lvdsref : in std_logic := '1'; lvdsrefo : out std_logic
);
end component;
-------------------------------------------------------------------------------
-- DDR PADS (bundles PAD and DDR register(s))
-------------------------------------------------------------------------------
component inpad_ddr
generic (tech : integer := 0; level : integer := 0; voltage : integer := x33v;
filter : integer := 0; strength : integer := 0 );
port (pad : in std_ulogic; o1, o2 : out std_ulogic; c1, c2 : in std_ulogic;
ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic);
end component;
component inpad_ddrv
generic (tech : integer := 0; level : integer := 0; voltage : integer := 0;
filter : integer := 0; strength : integer := 0; width : integer := 1);
port (pad : in std_logic_vector(width-1 downto 0);
o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic;
ce : in std_ulogic; r: in std_ulogic; s : in std_ulogic);
end component;
component outpad_ddr
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12);
port (pad : out std_ulogic; i1, i2 : in std_ulogic; c1, c2 : in std_ulogic;
ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic);
end component;
component outpad_ddrv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 12;
width : integer := 1);
port (pad : out std_logic_vector(width-1 downto 0);
i1, i2 : in std_logic_vector(width-1 downto 0);
c1, c2 : in std_ulogic; ce : in std_ulogic;
r : in std_ulogic; s : in std_ulogic);
end component;
component iopad_ddr
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12;
oepol : integer := 0);
port (pad : inout std_ulogic; i1, i2 : in std_ulogic; en : in std_ulogic;
o1, o2 : out std_ulogic; c1, c2 : in std_ulogic; ce : in std_ulogic;
r : in std_ulogic; s : in std_ulogic);
end component;
component iopad_ddrv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (pad : inout std_logic_vector(width-1 downto 0);
i1, i2 : in std_logic_vector(width-1 downto 0); en : in std_ulogic;
o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic;
ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic);
end component;
component iopad_ddrvv
generic (tech : integer := 0; level : integer := 0; slew : integer := 0;
voltage : integer := x33v; strength : integer := 12; width : integer := 1;
oepol : integer := 0);
port (pad : inout std_logic_vector(width-1 downto 0);
i1, i2 : in std_logic_vector(width-1 downto 0);
en : in std_logic_vector(width-1 downto 0);
o1, o2 : out std_logic_vector(width-1 downto 0); c1, c2 : in std_ulogic;
ce : in std_ulogic; r : in std_ulogic; s : in std_ulogic);
end component;
---------------------------------------------------------------------------
-- BUFFERS
---------------------------------------------------------------------------
component techbuf is
generic(
buftype : integer range 0 to 6 := 0;
tech : integer range 0 to NTECH := inferred);
port(
i : in std_ulogic;
o : out std_ulogic
);
end component;
---------------------------------------------------------------------------
-- CLOCK GENERATION
---------------------------------------------------------------------------
type clkgen_in_type is record
pllref : std_logic; -- optional reference for PLL
pllrst : std_logic; -- optional reset for PLL
pllctrl : std_logic_vector(1 downto 0); -- optional control for PLL
clksel : std_logic_vector(1 downto 0); -- optional clock select
end record;
type clkgen_out_type is record
clklock : std_logic;
pcilock : std_logic;
end record;
component clkgen
generic (
tech : integer := DEFFABTECH;
clk_mul : integer := 1;
clk_div : integer := 1;
sdramen : integer := 0;
noclkfb : integer := 1;
pcien : integer := 0;
pcidll : integer := 0;
pcisysclk: integer := 0;
freq : integer := 25000;
clk2xen : integer := 0;
clksel : integer := 0; -- enable clock select
clk_odiv : integer := 1; -- Proasic3/Fusion output divider clkA
clkb_odiv: integer := 0; -- Proasic3/Fusion output divider clkB
clkc_odiv: integer := 0); -- Proasic3/Fusion output divider clkC
port (
clkin : in std_logic;
pciclkin: in std_logic;
clk : out std_logic; -- main clock
clkn : out std_logic; -- inverted main clock
clk2x : out std_logic; -- 2x clock
sdclk : out std_logic; -- SDRAM clock
pciclk : out std_logic; -- PCI clock
cgi : in clkgen_in_type;
cgo : out clkgen_out_type;
clk4x : out std_logic; -- 4x clock
clk1xu : out std_logic; -- unscaled 1X clock
clk2xu : out std_logic; -- unscaled 2X clock
clkb : out std_logic; -- Proasic3/Fusion clkB
clkc : out std_logic; -- Proasic3/Fusion clkC
clk8x : out std_logic); -- 8x clock
end component;
component clkand
generic( tech : integer := 0;
ren : integer range 0 to 1 := 0); -- registered enable
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic := '0'
);
end component;
component clkmux
generic( tech : integer := 0;
rsel : integer range 0 to 1 := 0); -- registered sel
port(
i0, i1 : in std_ulogic;
sel : in std_ulogic;
o : out std_ulogic;
rst : in std_ulogic := '1'
);
end component;
component clkinv
generic( tech : integer := 0);
port(
i : in std_ulogic;
o : out std_ulogic
);
end component;
component clkrand is
generic( tech : integer := 0);
port(
i : in std_ulogic;
en : in std_ulogic;
o : out std_ulogic;
tsten : in std_ulogic := '0'
);
end component;
---------------------------------------------------------------------------
-- TAP controller and boundary scan
---------------------------------------------------------------------------
component tap
generic (
tech : integer := 0;
irlen : integer range 2 to 8 := 4;
idcode : integer range 0 to 255 := 9;
manf : integer range 0 to 2047 := 804;
part : integer range 0 to 65535 := 0;
ver : integer range 0 to 15 := 0;
trsten : integer range 0 to 1 := 1;
scantest : integer := 0;
oepol : integer := 1;
tcknen : integer := 0);
port (
trst : in std_ulogic;
tck : in std_ulogic;
tms : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_inst : out std_logic_vector(7 downto 0);
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapo_xsel1 : out std_ulogic;
tapo_xsel2 : out std_ulogic;
tapi_en1 : in std_ulogic;
tapi_tdo1 : in std_ulogic;
tapi_tdo2 : in std_ulogic;
tapo_ninst : out std_logic_vector(7 downto 0);
tapo_iupd : out std_ulogic;
tapo_tckn : out std_ulogic;
testen : in std_ulogic := '0';
testrst : in std_ulogic := '1';
testoen : in std_ulogic := '0';
tdoen : out std_ulogic;
tckn : in std_ulogic := '0'
);
end component;
component scanregi
generic (
tech : integer := 0;
intesten: integer := 1
);
port (
pad : in std_ulogic;
core : out std_ulogic;
tck : in std_ulogic;
tckn : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
bsshft : in std_ulogic;
bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge
bsupd : in std_ulogic; -- update data reg from scan reg on next tck edge
bsdrive : in std_ulogic; -- drive data reg to core
bshighz : in std_ulogic
);
end component;
component scanrego
generic (
tech : integer := 0
);
port (
pad : out std_ulogic;
core : in std_ulogic;
samp : in std_ulogic; -- normally same as core unless outpad has feedback
tck : in std_ulogic;
tckn : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
bsshft : in std_ulogic;
bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge
bsupd : in std_ulogic; -- update data reg from scan reg on next tck edge
bsdrive : in std_ulogic -- drive data reg to pad
);
end component;
component scanregto -- 2 scan registers: tdo<---output<--outputen<--tdi
generic (
tech : integer := 0;
hzsup: integer range 0 to 1 := 1;
oepol: integer range 0 to 1 := 1
);
port (
pado : out std_ulogic;
padoen : out std_ulogic;
samp : in std_ulogic; -- normally same as core unless outpad has feedback
coreo : in std_ulogic;
coreoen : in std_ulogic;
tck : in std_ulogic;
tckn : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
bsshft : in std_ulogic;
bscapt : in std_ulogic; -- capture signal to scan reg on next tck edge
bsupdo : in std_ulogic; -- update data reg from scan reg on next tck edge
bsdrive : in std_ulogic; -- drive data reg to pad
bshighz : in std_ulogic -- tri-state output
);
end component;
component scanregio -- 3 scan registers: tdo<--input<--output<--outputen<--tdi
generic (
tech : integer := 0;
hzsup: integer range 0 to 1 := 1;
oepol: integer range 0 to 1 := 1;
intesten: integer range 0 to 1 := 1
);
port (
pado : out std_ulogic;
padoen : out std_ulogic;
padi : in std_ulogic;
coreo : in std_ulogic;
coreoen : in std_ulogic;
corei : out std_ulogic;
tck : in std_ulogic;
tckn : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
bsshft : in std_ulogic;
bscapt : in std_ulogic; -- capture signals to scan regs on next tck edge
bsupdi : in std_ulogic; -- update indata reg from scan reg on next tck edge
bsupdo : in std_ulogic; -- update outdata reg from scan reg on next tck edge
bsdrive : in std_ulogic; -- drive outdata regs to pad,
-- drive datareg(coreoen=0) or coreo(coreoen=1) to corei
bshighz : in std_ulogic -- tri-state output
);
end component;
---------------------------------------------------------------------------
-- DDR registers and PHY
---------------------------------------------------------------------------
component ddr_ireg is
generic ( tech : integer; arch : integer := 0);
port ( Q1 : out std_ulogic;
Q2 : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component ddr_oreg is generic (tech : integer; arch : integer := 0);
port
( Q : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component ddrphy
generic (tech : integer := virtex2; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2 ; clk_div : integer := 2;
rskew : integer :=0; mobile : integer := 0;
abits: integer := 14; nclk: integer := 3; ncs: integer := 2;
scantest : integer := 0; phyiconf : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock return
clkread : out std_ulogic; -- read clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0);
ck : in std_logic_vector(2 downto 0);
moben : in std_logic;
dqvalid : out std_ulogic;
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end component;
component ddrphy_wo_pads
generic (tech : integer := virtex2; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2; clk_div : integer := 2;
rskew : integer := 0; mobile: integer := 0;
abits : integer := 14; nclk: integer := 3; ncs: integer := 2;
scantest : integer := 0; phyiconf : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock returned
clkread : out std_ulogic;
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector (1 downto 0);
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
ck : in std_logic_vector(2 downto 0);
moben : in std_logic;
dqvalid : out std_ulogic;
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end component;
component ddr2phy
generic (
tech : integer := virtex5; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2; clk_div : integer := 2;
ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0;
ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0;
ddelayb6 : integer := 0; ddelayb7 : integer := 0;
ddelayb8 : integer := 0;
ddelayb9 : integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0;
numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0;
eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0;
abits : integer := 14; nclk: integer := 3; ncs: integer := 2;
ctrl2en: integer := 0; resync: integer := 0; custombits: integer := 8; extraio: integer := 0;
scantest : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkref : in std_logic; -- input reference clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock return
clkresync : in std_ulogic; -- resync clock (if resync/=0)
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (extraio+dbits/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector ( 2 downto 0);
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
noen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_pll : in std_logic_vector(1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0);
oct : in std_logic;
read_pend : in std_logic_vector(7 downto 0);
regwdata : in std_logic_vector(63 downto 0);
regwrite : in std_logic_vector(1 downto 0);
regrdata : out std_logic_vector(63 downto 0);
dqin_valid : out std_ulogic;
customclk : in std_ulogic;
customdin : in std_logic_vector(custombits-1 downto 0);
customdout : out std_logic_vector(custombits-1 downto 0);
-- Copy of control signals for 2nd DIMM
ddr_web2 : out std_ulogic; -- ddr write enable
ddr_rasb2 : out std_ulogic; -- ddr ras
ddr_casb2 : out std_ulogic; -- ddr cas
ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end component;
component ddr2phy_wo_pads
generic (tech : integer := virtex5; MHz : integer := 100;
rstdelay : integer := 200; dbits : integer := 16;
clk_mul : integer := 2; clk_div : integer := 2;
ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0;
ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0;
ddelayb6 : integer := 0; ddelayb7 : integer := 0;
ddelayb8: integer := 0;
ddelayb9: integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0;
numidelctrl : integer := 4; norefclk : integer := 0; rskew : integer := 0;
eightbanks : integer range 0 to 1 := 0; dqsse : integer range 0 to 1 := 0;
abits : integer := 14; nclk: integer := 3; ncs: integer := 2;
resync : integer := 0; custombits: integer := 8; scantest: integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkref : in std_logic; -- input 200MHz clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock returned
clkresync : in std_ulogic;
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector ( 2 downto 0);
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
noen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_pll : in std_logic_vector(1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0);
oct : in std_logic;
read_pend : in std_logic_vector(7 downto 0);
regwdata : in std_logic_vector(63 downto 0);
regwrite : in std_logic_vector(1 downto 0);
regrdata : out std_logic_vector(63 downto 0);
dqin_valid : out std_ulogic;
customclk : in std_ulogic;
customdin : in std_logic_vector(custombits-1 downto 0);
customdout : out std_logic_vector(custombits-1 downto 0);
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end component;
component lpddr2phy_wo_pads
generic (
tech : integer := virtex5;
dbits : integer := 16;
nclk: integer := 3;
ncs: integer := 2;
clkratio: integer := 1;
scantest: integer := 0);
port (
rst : in std_ulogic;
clkin : in std_ulogic;
clkin2 : in std_ulogic;
clkout : out std_ulogic;
clkoutret : in std_ulogic; -- ckkout returned
clkout2 : out std_ulogic;
lock : out std_ulogic;
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_ca : out std_logic_vector(9 downto 0);
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ca : in std_logic_vector (10*2*clkratio-1 downto 0);
cke : in std_logic_vector (ncs*clkratio-1 downto 0);
csn : in std_logic_vector (ncs*clkratio-1 downto 0);
dqin : out std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr output data
dqout : in std_logic_vector (dbits*2*clkratio-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4*clkratio-1 downto 0); -- data mask
ckstop : in std_ulogic;
boot : in std_ulogic;
wrpend : in std_logic_vector(7 downto 0);
rdpend : in std_logic_vector(7 downto 0);
wrreq : out std_logic_vector(clkratio-1 downto 0);
rdvalid : out std_logic_vector(clkratio-1 downto 0);
refcal : in std_ulogic;
refcalwu : in std_ulogic;
refcaldone : out std_ulogic;
phycmd : in std_logic_vector(7 downto 0);
phycmden : in std_ulogic;
phycmdin : in std_logic_vector(31 downto 0);
phycmdout : out std_logic_vector(31 downto 0);
testen : in std_ulogic;
testrst : in std_ulogic;
scanen : in std_ulogic;
testoen : in std_ulogic);
end component;
component ddr2pads is
generic (tech: integer := virtex5;
dbits: integer := 16;
eightbanks: integer := 0;
dqsse: integer range 0 to 1 := 0;
abits: integer := 14;
nclk: integer := 3;
ncs: integer := 2;
ctrl2en: integer := 0);
port (
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
-- Copy of control signals for 2nd DIMM (if ctrl2en /= 0)
ddr_web2 : out std_ulogic; -- ddr write enable
ddr_rasb2 : out std_ulogic; -- ddr ras
ddr_casb2 : out std_ulogic; -- ddr cas
ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba2 : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
lddr_clk : in std_logic_vector(nclk-1 downto 0);
lddr_clkb : in std_logic_vector(nclk-1 downto 0);
lddr_clk_fb_out : in std_logic;
lddr_clk_fb : out std_logic;
lddr_cke : in std_logic_vector(ncs-1 downto 0);
lddr_csb : in std_logic_vector(ncs-1 downto 0);
lddr_web : in std_ulogic; -- ddr write enable
lddr_rasb : in std_ulogic; -- ddr ras
lddr_casb : in std_ulogic; -- ddr cas
lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm
lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address
lddr_ba : in std_logic_vector (1+eightbanks downto 0); -- ddr bank address
lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_oen : in std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_odt : in std_logic_vector(ncs-1 downto 0)
);
end component;
component ddrpads is
generic (tech: integer := virtex5;
dbits: integer := 16;
abits: integer := 14;
nclk: integer := 3;
ncs: integer := 2;
ctrl2en: integer := 0);
port (
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
-- Copy of control signals for 2nd DIMM (if ctrl2en /= 0)
ddr_web2 : out std_ulogic; -- ddr write enable
ddr_rasb2 : out std_ulogic; -- ddr ras
ddr_casb2 : out std_ulogic; -- ddr cas
ddr_ad2 : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba2 : out std_logic_vector (1 downto 0); -- ddr bank address
lddr_clk : in std_logic_vector(nclk-1 downto 0);
lddr_clkb : in std_logic_vector(nclk-1 downto 0);
lddr_clk_fb_out : in std_logic;
lddr_clk_fb : out std_logic;
lddr_cke : in std_logic_vector(ncs-1 downto 0);
lddr_csb : in std_logic_vector(ncs-1 downto 0);
lddr_web : in std_ulogic; -- ddr write enable
lddr_rasb : in std_ulogic; -- ddr ras
lddr_casb : in std_ulogic; -- ddr cas
lddr_dm : in std_logic_vector (dbits/8-1 downto 0); -- ddr dm
lddr_dqs_in : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_out : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_dqs_oen : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
lddr_ad : in std_logic_vector (abits-1 downto 0); -- ddr address
lddr_ba : in std_logic_vector (1 downto 0); -- ddr bank address
lddr_dq_in : out std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_out : in std_logic_vector (dbits-1 downto 0); -- ddr data
lddr_dq_oen : in std_logic_vector (dbits-1 downto 0) -- ddr data
);
end component;
component ddrphy_datapath is
generic (
regtech: integer := 0;
dbits: integer;
abits: integer;
bankbits: integer range 2 to 3 := 2;
ncs: integer;
nclk: integer;
resync: integer range 0 to 2 := 0
);
port (
clk0: in std_ulogic;
clk90: in std_ulogic;
clk180: in std_ulogic;
clk270: in std_ulogic;
clkresync: in std_ulogic;
ddr_clk: out std_logic_vector(nclk-1 downto 0);
ddr_clkb: out std_logic_vector(nclk-1 downto 0);
ddr_dq_in: in std_logic_vector(dbits-1 downto 0);
ddr_dq_out: out std_logic_vector(dbits-1 downto 0);
ddr_dq_oen: out std_logic_vector(dbits-1 downto 0);
ddr_dqs_in90: in std_logic_vector(dbits/8-1 downto 0);
ddr_dqs_in90n: in std_logic_vector(dbits/8-1 downto 0);
ddr_dqs_out: out std_logic_vector(dbits/8-1 downto 0);
ddr_dqs_oen: out std_logic_vector(dbits/8-1 downto 0);
ddr_cke: out std_logic_vector(ncs-1 downto 0);
ddr_csb: out std_logic_vector(ncs-1 downto 0);
ddr_web: out std_ulogic;
ddr_rasb: out std_ulogic;
ddr_casb: out std_ulogic;
ddr_ad: out std_logic_vector(abits-1 downto 0);
ddr_ba: out std_logic_vector(bankbits-1 downto 0);
ddr_dm: out std_logic_vector(dbits/8-1 downto 0);
ddr_odt: out std_logic_vector(ncs-1 downto 0);
dqin: out std_logic_vector(dbits*2-1 downto 0);
dqout: in std_logic_vector(dbits*2-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0);
ba : in std_logic_vector (bankbits-1 downto 0);
dm : in std_logic_vector (dbits/4-1 downto 0);
oen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
odt : in std_logic_vector(ncs-1 downto 0);
dqs_en : in std_ulogic;
dqs_oen : in std_ulogic;
ddrclk_en : in std_logic_vector(nclk-1 downto 0)
);
end component;
---------------------------------------------------------------------------
-- 61x61 Multiplier
---------------------------------------------------------------------------
component mul_61x61
generic (multech : integer := 0;
fabtech : integer := 0);
port(A : in std_logic_vector(60 downto 0);
B : in std_logic_vector(60 downto 0);
EN : in std_logic;
CLK : in std_logic;
PRODUCT : out std_logic_vector(121 downto 0));
end component;
---------------------------------------------------------------------------
-- Ring oscillator
---------------------------------------------------------------------------
component ringosc
generic (tech : integer := 0);
port (
roen : in Std_ULogic;
roout : out Std_ULogic);
end component;
---------------------------------------------------------------------------
-- System monitor
---------------------------------------------------------------------------
component system_monitor
generic (
-- GRLIB generics
tech : integer := DEFFABTECH;
-- Virtex 5 SYSMON generics
INIT_40 : bit_vector := X"0000";
INIT_41 : bit_vector := X"0000";
INIT_42 : bit_vector := X"0800";
INIT_43 : bit_vector := X"0000";
INIT_44 : bit_vector := X"0000";
INIT_45 : bit_vector := X"0000";
INIT_46 : bit_vector := X"0000";
INIT_47 : bit_vector := X"0000";
INIT_48 : bit_vector := X"0000";
INIT_49 : bit_vector := X"0000";
INIT_4A : bit_vector := X"0000";
INIT_4B : bit_vector := X"0000";
INIT_4C : bit_vector := X"0000";
INIT_4D : bit_vector := X"0000";
INIT_4E : bit_vector := X"0000";
INIT_4F : bit_vector := X"0000";
INIT_50 : bit_vector := X"0000";
INIT_51 : bit_vector := X"0000";
INIT_52 : bit_vector := X"0000";
INIT_53 : bit_vector := X"0000";
INIT_54 : bit_vector := X"0000";
INIT_55 : bit_vector := X"0000";
INIT_56 : bit_vector := X"0000";
INIT_57 : bit_vector := X"0000";
SIM_MONITOR_FILE : string := "design.txt");
port (
alm : out std_logic_vector(2 downto 0);
busy : out std_ulogic;
channel : out std_logic_vector(4 downto 0);
do : out std_logic_vector(15 downto 0);
drdy : out std_ulogic;
eoc : out std_ulogic;
eos : out std_ulogic;
jtagbusy : out std_ulogic;
jtaglocked : out std_ulogic;
jtagmodified : out std_ulogic;
ot : out std_ulogic;
convst : in std_ulogic;
convstclk : in std_ulogic;
daddr : in std_logic_vector(6 downto 0);
dclk : in std_ulogic;
den : in std_ulogic;
di : in std_logic_vector(15 downto 0);
dwe : in std_ulogic;
reset : in std_ulogic;
vauxn : in std_logic_vector(15 downto 0);
vauxp : in std_logic_vector(15 downto 0);
vn : in std_ulogic;
vp : in std_ulogic);
end component;
component nandtree
generic(
tech : integer := inferred;
width : integer := 2;
imp : integer := 0 );
port( i : in std_logic_vector(width-1 downto 0);
o : out std_ulogic;
en : in std_ulogic
);
end component;
component grmux2 is generic( tech : integer := inferred; imp : integer := 0);
port( ip0, ip1, sel : in std_logic; op : out std_ulogic); end component;
component grmux2v is generic( tech : integer := inferred; bits : integer := 2; imp : integer := 0);
port( ip0, ip1 : in std_logic_vector(bits-1 downto 0);
sel : in std_logic; op : out std_logic_vector(bits-1 downto 0));
end component;
component grdff is generic( tech : integer := inferred; imp : integer := 0);
port( clk, d : in std_ulogic; q : out std_ulogic); end component;
component gror2 is generic( tech : integer := inferred; imp : integer := 0);
port( i0, i1 : in std_ulogic; q : out std_ulogic); end component;
component grand12 is generic( tech : integer := inferred; imp : integer := 0);
port( i0, i1 : in std_ulogic; q : out std_ulogic); end component;
component grnand2 is generic (tech: integer := inferred; imp: integer := 0);
port( i0, i1 : in std_ulogic; q : out std_ulogic); end component;
component techmult
generic (
tech : integer := 0;
arch : integer := 0;
a_width : positive := 2; -- multiplier word width
b_width : positive := 2; -- multiplicand word width
num_stages : positive := 2; -- number of pipeline stages
stall_mode : natural range 0 to 1 := 1 -- '0': non-stallable; '1': stallable
);
port(a : in std_logic_vector(a_width-1 downto 0);
b : in std_logic_vector(b_width-1 downto 0);
clk : in std_logic;
en : in std_logic;
sign : in std_logic;
product : out std_logic_vector(a_width+b_width-1 downto 0));
end component;
component syncreg
generic (
tech : integer := 0;
stages : integer range 1 to 5 := 2
);
port (
clk : in std_ulogic;
d : in std_ulogic;
q : out std_ulogic
);
end component;
-------------------------------------------------------------------------------
-- SDRAM PHY
-------------------------------------------------------------------------------
component sdram_phy
generic (
tech : integer := spartan3;
oepol : integer := 0;
level : integer := 0;
voltage : integer := x33v;
strength : integer := 12;
aw : integer := 15; -- # address bits
dw : integer := 32; -- # data bits
ncs : integer := 2;
reg : integer := 0); -- 1: include registers on all signals
port (
-- SDRAM interface
addr : out std_logic_vector(aw-1 downto 0);
dq : inout std_logic_vector(dw-1 downto 0);
cke : out std_logic_vector(ncs-1 downto 0);
sn : out std_logic_vector(ncs-1 downto 0);
wen : out std_ulogic;
rasn : out std_ulogic;
casn : out std_ulogic;
dqm : out std_logic_vector(dw/8-1 downto 0);
-- Interface toward memory controller
laddr : in std_logic_vector(aw-1 downto 0);
ldq_din : out std_logic_vector(dw-1 downto 0);
ldq_dout : in std_logic_vector(dw-1 downto 0);
ldq_oen : in std_logic_vector(dw-1 downto 0);
lcke : in std_logic_vector(ncs-1 downto 0);
lsn : in std_logic_vector(ncs-1 downto 0);
lwen : in std_ulogic;
lrasn : in std_ulogic;
lcasn : in std_ulogic;
ldqm : in std_logic_vector(dw/8-1 downto 0);
-- Only used when reg generic is non-zero
rstn : in std_ulogic; -- Registered pads reset
clk : in std_ulogic; -- SDRAM clock for registered pads
-- Optional pad configuration inputs
cfgi_cmd : in std_logic_vector(19 downto 0) := "00000000000000000000"; -- CMD pads
cfgi_dq : in std_logic_vector(19 downto 0) := "00000000000000000000" -- DQ pads
);
end component;
-------------------------------------------------------------------------------
-- GIGABIT ETHERNET SERDES
-------------------------------------------------------------------------------
component serdes is
generic (
tech : integer
);
port (
clk_125 : in std_logic;
rst_125 : in std_logic;
rx_in : in std_logic; -- SER IN
rx_out : out std_logic_vector(9 downto 0); -- PAR OUT
rx_clk : out std_logic;
rx_rstn : out std_logic;
rx_pll_clk : out std_logic;
rx_pll_rstn : out std_logic;
tx_pll_clk : out std_logic;
tx_pll_rstn : out std_logic;
tx_in : in std_logic_vector(9 downto 0) ; -- PAR IN
tx_out : out std_logic; -- SER OUT
bitslip : in std_logic
);
end component;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/l2cache/l2c.in.vhd | 3 | 638 | -- L2 Cache
constant CFG_L2_EN : integer := CONFIG_L2_ENABLE;
constant CFG_L2_SIZE : integer := CFG_L2_SZ;
constant CFG_L2_WAYS : integer := CFG_L2_ASSO;
constant CFG_L2_HPROT : integer := CONFIG_L2_HPROT;
constant CFG_L2_PEN : integer := CONFIG_L2_PEN;
constant CFG_L2_WT : integer := CONFIG_L2_WT;
constant CFG_L2_RAN : integer := CONFIG_L2_RAN;
constant CFG_L2_SHARE : integer := CONFIG_L2_SHARE;
constant CFG_L2_LSZ : integer := CFG_L2_LINE;
constant CFG_L2_MAP : integer := 16#CONFIG_L2_MAP#;
constant CFG_L2_MTRR : integer := CONFIG_L2_MTRR;
constant CFG_L2_EDAC : integer := CONFIG_L2_EDAC;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-asic/bschain.vhd | 1 | 11906 | -----------------------------------------------------------------------------
-- LEON3 Demonstration design
-- Copyright (C) 2013, Aeroflex Gaisler AB
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.jtag.all;
use work.config.all;
entity bschain is
generic (tech: integer := CFG_FABTECH;
enable: integer range 0 to 1 := CFG_BOUNDSCAN_EN;
hzsup: integer range 0 to 1 := 1);
port (
-- Chain control signals
chain_tck : in std_ulogic;
chain_tckn : in std_ulogic;
chain_tdi : in std_ulogic;
chain_tdo : out std_ulogic;
bsshft : in std_ulogic;
bscapt : in std_ulogic;
bsupdi : in std_ulogic;
bsupdo : in std_ulogic;
bsdrive : in std_ulogic;
bshighz : in std_ulogic;
-- Pad-side signals
Presetn : in std_ulogic;
Pclksel : in std_logic_vector (1 downto 0);
Pclk : in std_ulogic;
Perrorn : out std_ulogic;
Paddress : out std_logic_vector(27 downto 0);
Pdatain : in std_logic_vector(31 downto 0);
Pdataout : out std_logic_vector(31 downto 0);
Pdataen : out std_logic_vector(31 downto 0);
Pcbin : in std_logic_vector(7 downto 0);
Pcbout : out std_logic_vector(7 downto 0);
Pcben : out std_logic_vector(7 downto 0);
Psdclk : out std_ulogic;
Psdcsn : out std_logic_vector (1 downto 0); -- sdram chip select
Psdwen : out std_ulogic; -- sdram write enable
Psdrasn : out std_ulogic; -- sdram ras
Psdcasn : out std_ulogic; -- sdram cas
Psddqm : out std_logic_vector (3 downto 0); -- sdram dqm
Pdsutx : out std_ulogic; -- DSU tx data
Pdsurx : in std_ulogic; -- DSU rx data
Pdsuen : in std_ulogic;
Pdsubre : in std_ulogic;
Pdsuact : out std_ulogic;
Ptxd1 : out std_ulogic; -- UART1 tx data
Prxd1 : in std_ulogic; -- UART1 rx data
Ptxd2 : out std_ulogic; -- UART2 tx data
Prxd2 : in std_ulogic; -- UART2 rx data
Pramsn : out std_logic_vector (4 downto 0);
Pramoen : out std_logic_vector (4 downto 0);
Prwen : out std_logic_vector (3 downto 0);
Poen : out std_ulogic;
Pwriten : out std_ulogic;
Pread : out std_ulogic;
Piosn : out std_ulogic;
Promsn : out std_logic_vector (1 downto 0);
Pbrdyn : in std_ulogic;
Pbexcn : in std_ulogic;
Pwdogn : out std_ulogic;
Pgpioin : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
Pgpioout : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
Pgpioen : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
Pprom32 : in std_ulogic;
Ppromedac : in std_ulogic;
Pspw_clksel : in std_logic_vector (1 downto 0);
Pspw_clk : in std_ulogic;
Pspw_rxd : in std_logic_vector(0 to CFG_SPW_NUM-1);
Pspw_rxs : in std_logic_vector(0 to CFG_SPW_NUM-1);
Pspw_txd : out std_logic_vector(0 to CFG_SPW_NUM-1);
Pspw_txs : out std_logic_vector(0 to CFG_SPW_NUM-1);
Pspw_ten : out std_logic_vector(0 to CFG_SPW_NUM-1);
Plclk2x : in std_ulogic;
Plclk4x : in std_ulogic;
Plclkdis : out std_ulogic;
Plclklock : in std_ulogic;
Plock : out std_ulogic;
Proen : in std_ulogic;
Proout : out std_ulogic;
-- Core-side signals
Cresetn : out std_ulogic;
Cclksel : out std_logic_vector (1 downto 0);
Cclk : out std_ulogic;
Cerrorn : in std_ulogic;
Caddress : in std_logic_vector(27 downto 0);
Cdatain : out std_logic_vector(31 downto 0);
Cdataout : in std_logic_vector(31 downto 0);
Cdataen : in std_logic_vector(31 downto 0);
Ccbin : out std_logic_vector(7 downto 0);
Ccbout : in std_logic_vector(7 downto 0);
Ccben : in std_logic_vector(7 downto 0);
Csdclk : in std_ulogic;
Csdcsn : in std_logic_vector (1 downto 0); -- sdram chip select
Csdwen : in std_ulogic; -- sdram write enable
Csdrasn : in std_ulogic; -- sdram ras
Csdcasn : in std_ulogic; -- sdram cas
Csddqm : in std_logic_vector (3 downto 0); -- sdram dqm
Cdsutx : in std_ulogic; -- DSU tx data
Cdsurx : out std_ulogic; -- DSU rx data
Cdsuen : out std_ulogic;
Cdsubre : out std_ulogic;
Cdsuact : in std_ulogic;
Ctxd1 : in std_ulogic; -- UART1 tx data
Crxd1 : out std_ulogic; -- UART1 rx data
Ctxd2 : in std_ulogic; -- UART2 tx data
Crxd2 : out std_ulogic; -- UART2 rx data
Cramsn : in std_logic_vector (4 downto 0);
Cramoen : in std_logic_vector (4 downto 0);
Crwen : in std_logic_vector (3 downto 0);
Coen : in std_ulogic;
Cwriten : in std_ulogic;
Cread : in std_ulogic;
Ciosn : in std_ulogic;
Cromsn : in std_logic_vector (1 downto 0);
Cbrdyn : out std_ulogic;
Cbexcn : out std_ulogic;
Cwdogn : in std_ulogic;
Cgpioin : out std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
Cgpioout : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
Cgpioen : in std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0); -- I/O port
Cprom32 : out std_ulogic;
Cpromedac : out std_ulogic;
Cspw_clksel : out std_logic_vector (1 downto 0);
Cspw_clk : out std_ulogic;
Cspw_rxd : out std_logic_vector(0 to CFG_SPW_NUM-1);
Cspw_rxs : out std_logic_vector(0 to CFG_SPW_NUM-1);
Cspw_txd : in std_logic_vector(0 to CFG_SPW_NUM-1);
Cspw_txs : in std_logic_vector(0 to CFG_SPW_NUM-1);
Cspw_ten : in std_logic_vector(0 to CFG_SPW_NUM-1);
Clclk2x : out std_ulogic;
Clclk4x : out std_ulogic;
Clclkdis : in std_ulogic;
Clclklock : out std_ulogic;
Clock : in std_ulogic;
Croen : out std_ulogic;
Croout : in std_ulogic
);
end;
architecture rtl of bschain is
signal sr1_tdi, sr1a_tdi, sr2a_tdi, sr2_tdi, sr3a_tdi, sr3_tdi, sr4_tdi: std_ulogic;
signal sr1i, sr1o: std_logic_vector(4 downto 0);
signal sr3i, sr3o: std_logic_vector(41 downto 0);
signal sr5i, sr5o: std_logic_vector(11+5*CFG_SPW_NUM downto 0);
begin
-----------------------------------------------------------------------------
-- Scan chain registers (note: adjust order to match pad ring)
sr1a: bscanregs
generic map (tech => tech, nsigs => sr1i'length, dirmask => 2#00001#, enable => enable)
port map (sr1i, sr1o, chain_tck, chain_tckn, sr1a_tdi, chain_tdo,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr1i <= Presetn & Pclksel & Pclk & Cerrorn;
Cresetn <= sr1o(4); Cclksel <= sr1o(3 downto 2);
Cclk <= sr1o(1); Perrorn <= sr1o(0);
sr1b: bscanregs
generic map (tech => tech, nsigs => Paddress'length, dirmask => 16#3FFFFFFF#, enable => enable)
port map (Caddress, Paddress, chain_tck, chain_tckn, sr1_tdi, sr1a_tdi,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr2a: bscanregsbd
generic map (tech => tech, nsigs => Pdataout'length, enable => enable, hzsup => hzsup)
port map (Pdataout, Pdataen, Pdatain, Cdataout, Cdataen, Cdatain,
chain_tck, chain_tckn, sr2a_tdi, sr1_tdi,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr2b: bscanregsbd
generic map (tech => tech, nsigs => Pcbout'length, enable => enable, hzsup => hzsup)
port map (Pcbout, Pcben, Pcbin, Ccbout, Ccben, Ccbin,
chain_tck, chain_tckn, sr2_tdi, sr2a_tdi,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr3a: bscanregs
generic map (tech => tech, nsigs => sr3i'length-30, dirmask => 2#11_11111111_10#, enable => enable)
port map (sr3i(sr3i'high downto 30), sr3o(sr3i'high downto 30), chain_tck, chain_tckn, sr3a_tdi, sr2_tdi,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr3b: bscanregs
generic map (tech => tech, nsigs => 30, dirmask => 2#001101_01111111_11111111_11111001#, enable => enable)
port map (sr3i(29 downto 0), sr3o(29 downto 0), chain_tck, chain_tckn, sr3_tdi, sr3a_tdi,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr3i(41 downto 30) <= Csdclk & Csdcsn & Csdwen & Csdrasn & Csdcasn &
Csddqm & Cdsutx & Pdsurx;
sr3i(29 downto 23) <= Pdsuen & Pdsubre & Cdsuact & Ctxd1 & Prxd1 & Ctxd2 & Prxd2;
sr3i(22 downto 9) <= Cramsn & Cramoen & Crwen;
sr3i(8 downto 0) <= Coen & Cwriten & Cread & Ciosn & Cromsn(1 downto 0) & Pbrdyn & Pbexcn & Cwdogn;
Psdclk <= sr3o(41); Psdcsn <= sr3o(40 downto 39); Psdwen <= sr3o(38);
Psdrasn <= sr3o(37); Psdcasn <= sr3o(36); Psddqm <= sr3o(35 downto 32);
Pdsutx <= sr3o(31); Cdsurx <= sr3o(30); Cdsuen <= sr3o(29);
Cdsubre <= sr3o(28); Pdsuact <= sr3o(27); Ptxd1 <= sr3o(26);
Crxd1 <= sr3o(25); Ptxd2 <= sr3o(24); Crxd2 <= sr3o(23);
Pramsn <= sr3o(22 downto 18); Pramoen <= sr3o(17 downto 13); Prwen <= sr3o(12 downto 9);
Poen <= sr3o(8); Pwriten <= sr3o(7); Pread <= sr3o(6);
Piosn <= sr3o(5); Promsn <= sr3o(4 downto 3); Cbrdyn <= sr3o(2);
Cbexcn <= sr3o(1); Pwdogn <= sr3o(0);
sr4: bscanregsbd
generic map (tech => tech, nsigs => Pgpioin'length, enable => enable, hzsup => hzsup)
port map (Pgpioout, Pgpioen, Pgpioin, Cgpioout, Cgpioen, Cgpioin,
chain_tck, chain_tckn, sr4_tdi, sr3_tdi,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr5: bscanregs
generic map (tech => tech, nsigs => sr5i'length, dirmask => 2#00000011_10010101#, enable => enable)
port map (sr5i, sr5o, chain_tck, chain_tckn, chain_tdi, sr4_tdi,
bsshft, bscapt, bsupdi, bsupdo, bsdrive, bshighz);
sr5i <= Pprom32 & Ppromedac & Pspw_clksel & Pspw_clk & Pspw_rxd & Pspw_rxs &
Cspw_txd & Cspw_txs & Cspw_ten & Plclk2x & Plclk4x &
Clclkdis & Plclklock & Clock & Proen & Croout;
Cprom32 <= sr5o(11+5*CFG_SPW_NUM);
Cpromedac <= sr5o(10+5*CFG_SPW_NUM);
Cspw_clksel <= sr5o(9+5*CFG_SPW_NUM downto 8+5*CFG_SPW_NUM);
Cspw_clk <= sr5o(7+5*CFG_SPW_NUM);
Cspw_rxd <= sr5o(6+5*CFG_SPW_NUM downto 7+4*CFG_SPW_NUM);
Cspw_rxs <= sr5o(6+4*CFG_SPW_NUM downto 7+3*CFG_SPW_NUM);
Pspw_txd <= sr5o(6+3*CFG_SPW_NUM downto 7+2*CFG_SPW_NUM);
Pspw_txs <= sr5o(6+2*CFG_SPW_NUM downto 7+CFG_SPW_NUM);
Pspw_ten <= sr5o(6+CFG_SPW_NUM downto 7);
Clclk2x <= sr5o(6);
Clclk4x <= sr5o(5);
Plclkdis <= sr5o(4);
Clclklock <= sr5o(3);
Plock <= sr5o(2);
Croen <= sr5o(1);
Proout <= sr5o(0);
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-terasic-de4/ddr2sim.vhd | 1 | 10025 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
use grlib.stdio.all;
entity ddr2ctrl is
port (
pll_ref_clk : in std_logic := '0'; -- pll_ref_clk.clk
global_reset_n : in std_logic := '0'; -- global_reset.reset_n
soft_reset_n : in std_logic := '0'; -- soft_reset.reset_n
afi_clk : out std_logic; -- afi_clk.clk
afi_half_clk : out std_logic; -- afi_half_clk.clk
afi_reset_n : out std_logic; -- afi_reset.reset_n
afi_reset_export_n : out std_logic; -- afi_reset_export.reset_n
mem_a : out std_logic_vector(13 downto 0); -- memory.mem_a
mem_ba : out std_logic_vector(2 downto 0); -- .mem_ba
mem_ck : out std_logic_vector(1 downto 0); -- .mem_ck
mem_ck_n : out std_logic_vector(1 downto 0); -- .mem_ck_n
mem_cke : out std_logic_vector(0 downto 0); -- .mem_cke
mem_cs_n : out std_logic_vector(0 downto 0); -- .mem_cs_n
mem_dm : out std_logic_vector(7 downto 0); -- .mem_dm
mem_ras_n : out std_logic_vector(0 downto 0); -- .mem_ras_n
mem_cas_n : out std_logic_vector(0 downto 0); -- .mem_cas_n
mem_we_n : out std_logic_vector(0 downto 0); -- .mem_we_n
mem_dq : inout std_logic_vector(63 downto 0) := (others => '0'); -- .mem_dq
mem_dqs : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs
mem_dqs_n : inout std_logic_vector(7 downto 0) := (others => '0'); -- .mem_dqs_n
mem_odt : out std_logic_vector(0 downto 0); -- .mem_odt
avl_ready : out std_logic; -- avl.waitrequest_n
avl_burstbegin : in std_logic := '0'; -- .beginbursttransfer
avl_addr : in std_logic_vector(24 downto 0) := (others => '0'); -- .address
avl_rdata_valid : out std_logic; -- .readdatavalid
avl_rdata : out std_logic_vector(255 downto 0); -- .readdata
avl_wdata : in std_logic_vector(255 downto 0) := (others => '0'); -- .writedata
avl_be : in std_logic_vector(31 downto 0) := (others => '0'); -- .byteenable
avl_read_req : in std_logic := '0'; -- .read
avl_write_req : in std_logic := '0'; -- .write
avl_size : in std_logic_vector(3 downto 0) := (others => '0'); -- .burstcount
local_init_done : out std_logic; -- status.local_init_done
local_cal_success : out std_logic; -- .local_cal_success
local_cal_fail : out std_logic; -- .local_cal_fail
oct_rdn : in std_logic := '0'; -- oct.rdn
oct_rup : in std_logic := '0' -- .rup
);
end ddr2ctrl;
architecture sim of ddr2ctrl is
signal lafi_clk, lafi_rst_n: std_ulogic;
signal lafi_half_clk: std_ulogic;
begin
afi_clk <= lafi_clk;
afi_half_clk <= lafi_half_clk;
afi_reset_n <= lafi_rst_n;
mem_a <= (others => '0');
mem_ba <= (others => '0');
mem_ck <= (others => '0');
mem_ck_n <= (others => '1');
mem_cke <= (others => '0');
mem_cs_n <= (others => '1');
mem_dm <= (others => '0');
mem_ras_n <= (others => '1');
mem_cas_n <= (others => '1');
mem_we_n <= (others => '1');
mem_dq <= (others => 'Z');
mem_dqs <= (others => 'Z');
mem_dqs_n <= (others => 'Z');
mem_odt <= (others => '0');
avl_ready <= '1';
local_init_done <= '1';
local_cal_success <= '1';
local_cal_fail <= '0';
-- 200 MHz clock
clkproc: process
begin
lafi_clk <= '0';
lafi_half_clk <= '0';
loop
wait for 2.5 ns;
lafi_clk <= not lafi_clk;
if lafi_clk='0' then
lafi_half_clk <= not lafi_half_clk;
end if;
end loop;
end process;
rstproc: process
begin
lafi_rst_n <= '0';
wait for 10 ns;
loop
if global_reset_n='0' then
lafi_rst_n <= '0';
wait until global_reset_n/='0';
wait until rising_edge(lafi_clk);
end if;
lafi_rst_n <= '1';
wait until global_reset_n='0';
end loop;
end process;
avlproc: process
subtype BYTE is std_logic_vector(7 downto 0);
type MEM is array(0 to ((2**20)-1)) of BYTE;
variable MEMA: MEM;
procedure load_srec is
file TCF : text open read_mode is "ram.srec";
variable L1: line;
variable CH: character;
variable ai: integer;
variable rectype: std_logic_vector(3 downto 0);
variable recaddr: std_logic_vector(31 downto 0);
variable reclen: std_logic_vector(7 downto 0);
variable recdata: std_logic_vector(0 to 16*8-1);
variable len: integer;
begin
L1:= new string'(""); --'
while not endfile(TCF) loop
readline(TCF,L1);
if (L1'length /= 0) then --'
while (not (L1'length=0)) and (L1(L1'left) = ' ') loop
std.textio.read(L1,CH);
end loop;
if L1'length > 0 then --'
read(L1, ch);
if (ch = 'S') or (ch = 's') then
hread(L1, rectype);
hread(L1, reclen);
len := conv_integer(reclen)-1;
recaddr := (others => '0');
case rectype is
when "0001" =>
hread(L1, recaddr(15 downto 0));
len := len-2;
when "0010" =>
hread(L1, recaddr(23 downto 0));
len := len-3;
when "0011" =>
hread(L1, recaddr);
len := len-4;
when others => next;
end case;
hread(L1, recdata(0 to 8*len-1));
recaddr(31 downto 20) := (others => '0');
ai := conv_integer(recaddr);
-- print("Setting " & tost(len) & "bytes at " & tost(recaddr));
for i in 0 to len-1 loop
MEMA(ai+i) := recdata((i*8) to (i*8+7));
end loop;
end if;
end if;
end if;
end loop;
end load_srec;
constant avldbits: integer := 256;
variable outqueue: std_logic_vector(0 to 4*avldbits-1) := (others => 'X');
variable outqueue_valid: std_logic_vector(0 to 3) := (others => '0');
variable ai,p: integer;
variable wbleft: integer := 0;
begin
load_srec;
loop
wait until rising_edge(lafi_clk);
avl_rdata_valid <= outqueue_valid(0);
avl_rdata <= outqueue(0 to avldbits-1);
outqueue(0 to 3*avldbits-1) := outqueue(avldbits to 4*avldbits-1);
outqueue(3*avldbits to 4*avldbits-1) := (others => 'X');
outqueue_valid := outqueue_valid(1 to 3) & '0';
if avl_burstbegin='1' then wbleft:=0; end if;
if lafi_rst_n='0' then
outqueue_valid := (others => '0');
elsif avl_read_req='1' then
ai := conv_integer(avl_addr(16 downto 0));
p := 0;
while outqueue_valid(p)='1' loop p:=p+1; end loop;
for x in 0 to conv_integer(avl_size)-1 loop
for y in 0 to avldbits/8-1 loop
outqueue((p+x)*avldbits+y*8 to (p+x)*avldbits+y*8+7) := MEMA((ai+x)*avldbits/8+y);
end loop;
outqueue_valid(p+x) := '1';
end loop;
elsif avl_write_req='1' then
if wbleft=0 then
wbleft := conv_integer(avl_size);
ai := conv_integer(avl_addr(16 downto 0));
end if;
for y in 0 to avldbits/8-1 loop
if avl_be(avldbits/8-1-y)='1' then
MEMA(ai*avldbits/8+y) := avl_wdata(avldbits-8*y-1 downto avldbits-8*y-8);
end if;
end loop;
wbleft := wbleft-1;
ai := ai+1;
end if;
end loop;
end process;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/spacewire/router.in.vhd | 4 | 1703 | -- Spacewire interface
constant CFG_SPWRTR_ENABLE : integer := CONFIG_SPWRTR_ENABLE;
constant CFG_SPWRTR_INPUT : integer := CONFIG_SPWRTR_INPUT;
constant CFG_SPWRTR_OUTPUT : integer := CONFIG_SPWRTR_OUTPUT;
constant CFG_SPWRTR_RTSAME : integer := CONFIG_SPWRTR_RTSAME;
constant CFG_SPWRTR_FIFO : integer := CONFIG_SPWRTR_FIFO;
constant CFG_SPWRTR_TECHFIFO : integer := CONFIG_SPWRTR_TECHFIFO;
constant CFG_SPWRTR_FT : integer := CONFIG_SPWRTR_FT;
constant CFG_SPWRTR_SPWEN : integer := CONFIG_SPWRTR_SPWEN;
constant CFG_SPWRTR_AMBAEN : integer := CONFIG_SPWRTR_AMBAEN;
constant CFG_SPWRTR_FIFOEN : integer := CONFIG_SPWRTR_FIFOEN;
constant CFG_SPWRTR_SPWPORTS : integer := CONFIG_SPWRTR_SPWPORTS;
constant CFG_SPWRTR_AMBAPORTS : integer := CONFIG_SPWRTR_AMBAPORTS;
constant CFG_SPWRTR_FIFOPORTS : integer := CONFIG_SPWRTR_FIFOPORTS;
constant CFG_SPWRTR_ARB : integer := CONFIG_SPWRTR_ARB;
constant CFG_SPWRTR_RMAP : integer := CONFIG_SPWRTR_RMAP;
constant CFG_SPWRTR_RMAPCRC : integer := CONFIG_SPWRTR_RMAPCRC;
constant CFG_SPWRTR_FIFO2 : integer := CONFIG_SPWRTR_FIFO2;
constant CFG_SPWRTR_ALMOST : integer := CONFIG_SPWRTR_ALMOST;
constant CFG_SPWRTR_RXUNAL : integer := CONFIG_SPWRTR_RXUNAL;
constant CFG_SPWRTR_RMAPBUF : integer := CONFIG_SPWRTR_RMAPBUF;
constant CFG_SPWRTR_DMACHAN : integer := CONFIG_SPWRTR_DMACHAN;
constant CFG_SPWRTR_AHBSLVEN : integer := CONFIG_SPWRTR_AHBSLVEN;
constant CFG_SPWRTR_TIMERBITS : integer := CONFIG_SPWRTR_TIMERBITS;
constant CFG_SPWRTR_PNP : integer := CONFIG_SPWRTR_PNP;
constant CFG_SPWRTR_AUTOSCRUB : integer := CONFIG_SPWRTR_AUTOSCRUB;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep2s60-ddr/testbench.vhd | 1 | 10576 | ------------------------------------------------------------------------------
-- LEON3 Demonstration design test bench
-- Copyright (C) 2004 Jiri Gaisler, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.libdcom.all;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
library cypress;
use cypress.components.all;
use work.debug.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 20; -- system clock period
romwidth : integer := 8; -- rom data width (8/32)
romdepth : integer := 23; -- rom address depth
sramwidth : integer := 32; -- ram data width (8/16/32)
sramdepth : integer := 20; -- ram address depth
srambanks : integer := 1 -- number of ram banks
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
signal clk : std_logic := '0';
signal clkout, pllref : std_ulogic;
signal Rst : std_logic := '0'; -- Reset
constant ct : integer := clkperiod/2;
signal address : std_logic_vector(23 downto 0);
signal data : std_logic_vector(31 downto 0);
signal romsn : std_ulogic;
signal iosn : std_ulogic;
signal oen : std_ulogic;
signal writen : std_ulogic;
signal dsuen, dsutx, dsurx, dsubren, dsuact : std_ulogic;
signal dsurst : std_ulogic;
signal test : std_ulogic;
signal error : std_logic;
signal gpio : std_logic_vector(CFG_GRGPIO_WIDTH-1 downto 0);
signal GND : std_ulogic := '0';
signal VCC : std_ulogic := '1';
signal NC : std_ulogic := 'Z';
signal clk2 : std_ulogic := '1';
signal ssram_ce1n : std_logic;
signal ssram_ce2 : std_logic;
signal ssram_ce3n : std_logic;
signal ssram_wen : std_logic;
signal ssram_bw : std_logic_vector (0 to 3);
signal ssram_oen : std_ulogic;
signal ssaddr : std_logic_vector(20 downto 2);
signal ssdata : std_logic_vector(31 downto 0);
signal ssram_clk : std_ulogic;
signal ssram_adscn : std_ulogic;
signal ssram_adsp_n : std_ulogic;
signal ssram_adv_n : std_ulogic;
signal datazz : std_logic_vector(3 downto 0);
-- ddr memory
signal ddr_clk : std_logic;
signal ddr_clkb : std_logic;
signal ddr_clkin : std_logic;
signal ddr_cke : std_logic;
signal ddr_csb : std_logic;
signal ddr_web : std_ulogic; -- ddr write enable
signal ddr_rasb : std_ulogic; -- ddr ras
signal ddr_casb : std_ulogic; -- ddr cas
signal ddr_dm : std_logic_vector (1 downto 0); -- ddr dm
signal ddr_dqs : std_logic_vector (1 downto 0); -- ddr dqs
signal ddr_dqs2 : std_logic_vector (1 downto 0); -- ddr dqs
signal ddr_ad : std_logic_vector (12 downto 0); -- ddr address
signal ddr_ba : std_logic_vector (1 downto 0); -- ddr bank address
signal ddr_dq, ddr_dq2 : std_logic_vector (15 downto 0); -- ddr data
signal plllock : std_ulogic;
signal txd1, rxd1 : std_ulogic;
--signal txd2, rxd2 : std_ulogic;
-- for smc lan chip
signal eth_aen : std_ulogic; -- for smsc eth
signal eth_readn : std_ulogic; -- for smsc eth
signal eth_writen : std_ulogic; -- for smsc eth
signal eth_nbe : std_logic_vector(3 downto 0); -- for smsc eth
signal eth_datacsn : std_ulogic;
constant lresp : boolean := false;
signal sa : std_logic_vector(14 downto 0);
signal sd : std_logic_vector(31 downto 0);
begin
-- clock and reset
clk <= not clk after ct * 1 ns;
ddr_clkin <= not clk after ct * 1 ns;
rst <= dsurst;
dsubren <= '1'; rxd1 <= '1';
dqs2delay : delay_wire
generic map(data_width => ddr_dqs'length, delay_atob => 3.0, delay_btoa => 1.0)
port map(a => ddr_dqs, b => ddr_dqs2);
ddr2delay : delay_wire
generic map(data_width => ddr_dq'length, delay_atob => 3.0, delay_btoa => 1.0)
port map(a => ddr_dq, b => ddr_dq2);
-- ddr_dqs <= (others => 'L');
d3 : entity work.leon3mp generic map (fabtech, memtech, padtech, clktech,
ncpu, disas, dbguart, pclow )
port map (rst, clk, error,
address, data, romsn, oen, writen, open, open,
ssram_ce1n, ssram_ce2, ssram_ce3n, ssram_wen, ssram_bw, ssram_oen, ssaddr, ssdata,
ssram_clk, ssram_adscn, ssram_adsp_n, ssram_adv_n, iosn,
ddr_clkin, ddr_clk, ddr_clkb, ddr_cke, ddr_csb, ddr_web, ddr_rasb,
ddr_casb, ddr_dm, ddr_dqs2, ddr_ad, ddr_ba, ddr_dq2,
dsubren, dsuact, rxd1, txd1,
eth_aen, eth_readn, eth_writen, eth_nbe);
ddr2: ddrram
generic map (width => 16, abits => 13,
colbits => 9, rowbits => 12, implbanks => 1,
fname => sdramfile, igndqs => 1)
port map (
ck => ddr_clk, cke => ddr_cke, csn => ddr_csb,
rasn => ddr_rasb, casn => ddr_casb, wen => ddr_web,
dm => ddr_dm, ba => ddr_ba, a => ddr_ad, dq => ddr_dq, dqs => ddr_dqs);
datazz <= "HHHH";
ssram0 : cy7c1380d generic map (fname => sramfile)
port map(
ioDq(35 downto 32) => datazz, ioDq(31 downto 0) => ssdata,
iAddr => ssaddr(20 downto 2), iMode => gnd,
inGW => vcc, inBWE => ssram_wen, inADV => ssram_adv_n,
inADSP => ssram_adsp_n, inADSC => ssram_adscn,
iClk => ssram_clk,
inBwa => ssram_bw(3), inBwb => ssram_bw(2),
inBwc => ssram_bw(1), inBwd => ssram_bw(0),
inOE => ssram_oen, inCE1 => ssram_ce1n,
iCE2 => ssram_ce2, inCE3 => ssram_ce3n, iZz => gnd);
-- 8 bit prom
prom0 : sram generic map (index => 6, abits => romdepth, fname => promfile)
port map (address(romdepth-1 downto 0), data(31 downto 24),
romsn, writen, oen);
error <= 'H'; -- ERROR pull-up
iuerr : process
begin
wait for 2500 ns;
if to_x01(error) = '1' then wait on error; end if;
assert (to_x01(error) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
data <= buskeep(data), (others => 'H') after 250 ns;
sd <= buskeep(sd), (others => 'H') after 250 ns;
test0 : grtestmod
port map ( rst, clk, error, address(21 downto 2), data,
iosn, oen, writen, open);
dsucom : process
procedure dsucfg(signal dsurx : in std_ulogic; signal dsutx : out std_ulogic) is
variable w32 : std_logic_vector(31 downto 0);
variable c8 : std_logic_vector(7 downto 0);
constant txp : time := 160 * 1 ns;
begin
dsutx <= '1';
dsurst <= '0';
wait for 500 ns;
dsurst <= '1';
wait;
wait for 5000 ns;
txc(dsutx, 16#55#, txp); -- sync uart
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#02#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#ae#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#24#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#03#, txp);
-- txc(dsutx, 16#c0#, txp);
-- txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
-- txa(dsutx, 16#00#, 16#00#, 16#06#, 16#fc#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#2f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#00#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#6f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#11#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#00#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#04#, txp);
txa(dsutx, 16#00#, 16#02#, 16#20#, 16#01#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#02#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#43#, 16#10#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#0f#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#40#, 16#00#, 16#24#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#24#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#91#, 16#70#, 16#00#, 16#00#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#03#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#20#, txp);
txa(dsutx, 16#00#, 16#00#, 16#ff#, 16#ff#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#48#, txp);
txa(dsutx, 16#00#, 16#00#, 16#00#, 16#12#, txp);
txc(dsutx, 16#c0#, txp);
txa(dsutx, 16#90#, 16#40#, 16#00#, 16#60#, txp);
txa(dsutx, 16#00#, 16#00#, 16#12#, 16#10#, txp);
txc(dsutx, 16#80#, txp);
txa(dsutx, 16#90#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
txc(dsutx, 16#a0#, txp);
txa(dsutx, 16#40#, 16#00#, 16#00#, 16#00#, txp);
rxi(dsurx, w32, txp, lresp);
end;
begin
dsucfg(dsutx, dsurx);
wait;
end process;
end ;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep2sgx90-av/sram32.vhd | 1 | 2686 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sram32
-- File: sram32.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: Simulation model of generic 32-bit async SRAM
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
library gaisler;
use gaisler.sim.all;
library grlib;
use grlib.stdlib.all;
entity sram32 is
generic (
index : integer := 0; -- Byte lane (0 - 3)
abits: Positive := 10; -- Default 10 address bits (1Kx32)
echk : integer := 0; -- Generate EDAC checksum
tacc : integer := 10; -- access time (ns)
fname : string := "ram.dat"); -- File to read from
port (
a : in std_logic_vector(abits-1 downto 0);
d : inout std_logic_vector(31 downto 0);
lb : in std_logic;
ub : in std_logic;
ce : in std_logic;
we : in std_ulogic;
oe : in std_ulogic);
end;
architecture sim of sram32 is
signal cex : std_logic_vector(0 to 1);
begin
cex(0) <= ce or lb; cex(1) <= ce or ub;
sr0 : sram generic map (index+3, abits, tacc, fname)
port map (a, d(7 downto 0), cex(0), we, oe);
sr1 : sram generic map (index+2, abits, tacc, fname)
port map (a, d(15 downto 8), cex(1), we, oe);
sr2 : sram generic map (index+1, abits, tacc, fname)
port map (a, d(23 downto 16), cex(1), we, oe);
sr3 : sram generic map (index, abits, tacc, fname)
port map (a, d(31 downto 24), cex(1), we, oe);
end sim;
-- pragma translate_on
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/can/can_rd.vhd | 1 | 6705 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: can_oc
-- File: can_oc.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: AHB interface for the OpenCores CAN MAC
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.can.all;
entity can_rd is
generic (
slvndx : integer := 0;
ioaddr : integer := 16#000#;
iomask : integer := 16#FF0#;
irq : integer := 0;
memtech : integer := DEFMEMTECH;
syncrst : integer := 0;
dmap : integer := 0);
port (
resetn : in std_logic;
clk : in std_logic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
can_rxi : in std_logic_vector(1 downto 0);
can_txo : out std_logic_vector(1 downto 0)
);
end;
architecture rtl of can_rd is
constant ncores : integer := 1;
constant sepirq : integer := 0;
constant REVISION : amba_version_type := ncores-1;
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_CANAHB, 0, REVISION, irq),
4 => ahb_iobar(ioaddr, iomask), others => zero32);
type ahbregs is record
hsel : std_ulogic;
hwrite : std_ulogic;
hwrite2 : std_ulogic;
htrans : std_logic_vector(1 downto 0);
haddr : std_logic_vector(10 downto 0);
hwdata : std_logic_vector(7 downto 0);
herr : std_ulogic;
hready : std_ulogic;
ws : std_logic_vector(1 downto 0);
irqi : std_logic_vector(ncores-1 downto 0);
irqo : std_logic_vector(ncores-1 downto 0);
muxsel : std_logic;
writemux : std_logic;
end record;
subtype cdata is std_logic_vector(7 downto 0);
type cdataarr is array (0 to 7) of cdata;
signal data_out : cdataarr;
signal reset : std_logic;
signal irqo : std_logic_vector(ncores-1 downto 0);
signal addr : std_logic_vector(7 downto 0);
signal vcc, gnd : std_ulogic;
signal r, rin : ahbregs;
signal can_lrxi, can_ltxo : std_logic;
begin
gnd <= '0'; vcc <= '1'; reset <= not resetn;
comb : process(ahbsi, r, resetn, data_out, irqo)
variable v : ahbregs;
variable hresp : std_logic_vector(1 downto 0);
variable dataout : std_logic_vector(7 downto 0);
variable irqvec : std_logic_vector(NAHBIRQ-1 downto 0);
variable vmuxreg : std_logic;
variable hwdata : std_logic_vector(31 downto 0);
begin
v := r;
hwdata := ahbreadword(ahbsi.hwdata, r.haddr(4 downto 2));
if (r.hsel = '1' ) and (r.ws /= "11") then v.ws := r.ws + 1; end if;
if ahbsi.hready = '1' then
v.hsel := ahbsi.hsel(slvndx);
v.haddr := ahbsi.haddr(10 downto 0);
v.htrans := ahbsi.htrans;
v.hwrite := ahbsi.hwrite;
v.herr := orv(ahbsi.hsize) and ahbsi.hwrite;
v.ws := "00";
end if;
v.hready := (r.hsel and r.ws(1) and not r.ws(0)) or not resetn
or (ahbsi.hready and not ahbsi.htrans(1));
vmuxreg := not r.haddr(7) and r.haddr(6);
--v.hwrite2 := r.hwrite and r.hsel and r.htrans(1) and r.ws(1)
-- and not r.ws(0) and not r.herr;
v.hwrite2 := r.hwrite and r.hsel and r.htrans(1) and r.ws(1)
and not r.ws(0) and not r.herr and not vmuxreg;
v.writemux := r.hwrite and r.hsel and r.htrans(1) and r.ws(1)
and not r.ws(0) and vmuxreg;
if (r.herr and r.ws(1)) = '1' then hresp := HRESP_ERROR;
else hresp := HRESP_OKAY; end if;
case r.haddr(1 downto 0) is
when "00" => v.hwdata := hwdata(31 downto 24);
when "01" => v.hwdata := hwdata(23 downto 16);
when "10" => v.hwdata := hwdata(15 downto 8);
when others => v.hwdata := hwdata(7 downto 0);
end case;
--dataout := data_out(0);
if r.haddr(7 downto 6) = "01" then
dataout := (others => r.muxsel);
if r.writemux = '1' then
v.muxsel := r.hwdata(0);
end if;
else
dataout := data_out(0);
end if;
-- Interrupt goes to low when appeard and is normal high
-- but the irq controller from leon is active high and the interrupt should appear only
-- for 1 Clk cycle,
v.irqi := irqo; v.irqo:= (r.irqi and not irqo);
irqvec := (others => '0');
if sepirq = 1 then irqvec(ncores-1+irq downto irq) := r.irqo;
else irqvec(irq) := orv(r.irqo); end if;
ahbso.hirq <= irqvec;
ahbso.hrdata <= ahbdrivedata(dataout);
ahbso.hresp <= hresp; rin <= v;
end process;
-- Double mapping of registers [byte (offset 0), word (offset 0x80)]
dmap0 : if dmap = 0 generate
addr <= r.haddr(7 downto 0);
end generate;
dmap1 : if dmap = 1 generate
addr <= "000"&r.haddr(6 downto 2) when r.haddr(7) = '1' else
r.haddr(7 downto 0);
end generate;
reg : process(clk)
begin if clk'event and clk = '1' then r <= rin; end if; end process;
cmod : can_mod generic map (memtech, syncrst)
--port map (reset, clk, r.hsel, r.hwrite2, r.haddr(7 downto 0), r.hwdata,
port map (reset, clk, r.hsel, r.hwrite2, addr, r.hwdata,
data_out(0), irqo(0), can_lrxi, can_ltxo, ahbsi.testen);
cmux : canmux port map (r.muxsel, can_lrxi, can_ltxo, can_rxi, can_txo);
ahbso.hconfig <= hconfig;
ahbso.hindex <= slvndx;
ahbso.hsplit <= (others => '0');
ahbso.hready <= r.hready;
-- pragma translate_off
bootmsg : report_version
generic map (
"can_oc" & tost(slvndx) &
": SJA1000 Compatible CAN MAC, revision " & tost(REVISION) &
", irq " & tost(irq));
-- pragma translate_on
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/memctrl/memctrl.vhd | 1 | 20444 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: memctrl
-- File: memctrl.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Memory controller package
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.log2;
library techmap;
use techmap.gencomp.all;
package memctrl is
type memory_in_type is record
data : std_logic_vector(31 downto 0); -- Data bus address
brdyn : std_logic;
bexcn : std_logic;
writen : std_logic;
wrn : std_logic_vector(3 downto 0);
bwidth : std_logic_vector(1 downto 0);
sd : std_logic_vector(63 downto 0);
cb : std_logic_vector(15 downto 0);
scb : std_logic_vector(15 downto 0);
edac : std_logic;
end record;
constant memory_in_none : memory_in_type :=
((others => '0'), '0', '0', '0', (others => '0'), (others => '0'),
(others => '0'), (others => '0'), (others => '0'), '0');
type memory_out_type is record
address : std_logic_vector(31 downto 0);
data : std_logic_vector(31 downto 0);
sddata : std_logic_vector(63 downto 0);
ramsn : std_logic_vector(7 downto 0);
ramoen : std_logic_vector(7 downto 0);
ramn : std_ulogic;
romn : std_ulogic;
mben : std_logic_vector(3 downto 0);
iosn : std_logic;
romsn : std_logic_vector(7 downto 0);
oen : std_logic;
writen : std_logic;
wrn : std_logic_vector(3 downto 0);
bdrive : std_logic_vector(3 downto 0);
vbdrive : std_logic_vector(31 downto 0); --vector bus drive
svbdrive : std_logic_vector(63 downto 0); --vector bus drive sdram
read : std_logic;
sa : std_logic_vector(14 downto 0);
cb : std_logic_vector(15 downto 0);
scb : std_logic_vector(15 downto 0);
vcdrive : std_logic_vector(15 downto 0); --vector bus drive cb
svcdrive : std_logic_vector(15 downto 0); --vector bus drive cb sdram
ce : std_ulogic;
sdram_en : std_ulogic; -- SDRAM enabled
rs_edac_en : std_ulogic; -- Reed-Solomon enabled
end record;
constant memory_out_none : memory_out_type :=
((others => '0'), (others => '0'), (others => '0'), (others => '1'),
(others => '1'), '1', '1', (others => '1'), '1', (others => '1'),
'1', '1', (others => '1'), (others => '1'), (others => '1'),
(others => '1'), '0', (others => '0'), (others => '1'), (others => '1'),
(others => '1'), (others => '1'), '0', '0', '0');
type sdctrl_in_type is record
wprot : std_ulogic;
data : std_logic_vector (127 downto 0); -- data in
cb : std_logic_vector(63 downto 0);
regrdata : std_logic_vector(63 downto 0); -- PHY-specific reg in
datavalid : std_logic; -- Data-valid signal
end record;
constant sdctrl_in_none : sdctrl_in_type :=
('0', (others => '0'), (others => '0'), (others => '0'), '0');
type sdctrl_out_type is record
sdcke : std_logic_vector ( 1 downto 0); -- clk en
sdcsn : std_logic_vector ( 1 downto 0); -- chip sel
xsdcsn : std_logic_vector ( 7 downto 0); -- ext. chip sel
sdwen : std_ulogic; -- write en
rasn : std_ulogic; -- row addr stb
casn : std_ulogic; -- col addr stb
dqm : std_logic_vector ( 15 downto 0); -- data i/o mask
bdrive : std_ulogic; -- bus drive
qdrive : std_ulogic; -- bus drive
nbdrive : std_ulogic; -- bdrive 1 cycle early
vbdrive : std_logic_vector(63 downto 0); -- vector bus drive
address : std_logic_vector (16 downto 2); -- address out
data : std_logic_vector (127 downto 0); -- data out
cb : std_logic_vector(63 downto 0);
ce : std_ulogic;
ba : std_logic_vector (2 downto 0); -- bank address
sdck : std_logic_vector(2 downto 0);
moben : std_logic; -- Mobile support
cal_en : std_logic_vector(7 downto 0); -- enable delay calibration
cal_inc : std_logic_vector(7 downto 0); -- inc/dec delay
cal_pll : std_logic_vector(1 downto 0); -- (enable,inc/dec) pll phase
cal_rst : std_logic; -- calibration reset
odt : std_logic_vector(1 downto 0); -- In Die Termination
conf : std_logic_vector(63 downto 0);
oct : std_logic; -- On Chip Termination
vcbdrive : std_logic_vector(31 downto 0); -- cb vector bus drive
dqs_gate : std_logic;
cbdqm : std_logic_vector(7 downto 0);
cbcal_en : std_logic_vector(3 downto 0);
cbcal_inc : std_logic_vector(3 downto 0);
read_pend : std_logic_vector(7 downto 0); -- Read pending within 7...0
-- cycles (not including phy delays)
-- PHY-specific register interface
regwdata : std_logic_vector(63 downto 0);
regwrite : std_logic_vector(1 downto 0);
end record;
constant sdctrl_out_none : sdctrl_out_type :=
((others => '0'), (others => '0'), (others => '0'), '0', '0', '0', (others => '0'),
'0', '0', '0', (others => '0'), (others => '0'), (others => '0'),
(others => '0'), '0', (others => '0'), (others => '0'), '0',
(others => '0'), (others => '0'), (others => '0'), '0',
(others => '0'), (others => '0'), '0', (others => '0'), '0',
(others => '0'), (others => '0'), (others => '0'), "00000000",
(others => '0'), "00");
type sdram_out_type is record
sdcke : std_logic_vector ( 1 downto 0); -- clk en
sdcsn : std_logic_vector ( 1 downto 0); -- chip sel
sdwen : std_ulogic; -- write en
rasn : std_ulogic; -- row addr stb
casn : std_ulogic; -- col addr stb
dqm : std_logic_vector ( 7 downto 0); -- data i/o mask
end record;
type zbtssram_out_type is record
cen : std_ulogic;
oen : std_ulogic;
wen : std_ulogic;
advld : std_ulogic;
addr : std_logic_vector(22 downto 0);
bwn : std_logic_vector(15 downto 0);
data : std_logic_vector(127 downto 0);
dqoen : std_logic_vector(127 downto 0);
zz : std_ulogic;
shutdown : std_ulogic;
end record;
constant zbtssram_out_none : zbtssram_out_type := (
'1','1','1','1',(others => '0'),(others => '1'),(others => '0'),(others => '1'),'0','0');
type zbtssram_in_type is record
data : std_logic_vector(127 downto 0);
mbe : std_logic_vector(7 downto 0);
end record;
constant zbtssram_in_none : zbtssram_in_type := ( data => (others => '0'), mbe => (others => '0') );
component sdctrl
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
ioaddr : integer := 16#000#;
iomask : integer := 16#fff#;
wprot : integer := 0;
invclk : integer := 0;
fast : integer := 0;
pwron : integer := 0;
sdbits : integer := 32;
oepol : integer := 0;
pageburst : integer := 0;
mobile : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sdi : in sdctrl_in_type;
sdo : out sdctrl_out_type
);
end component;
component sdctrl64
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
ioaddr : integer := 16#000#;
iomask : integer := 16#fff#;
wprot : integer := 0;
invclk : integer := 0;
fast : integer := 0;
pwron : integer := 0;
oepol : integer := 0;
pageburst : integer := 0;
mobile : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sdi : in sdctrl_in_type;
sdo : out sdctrl_out_type
);
end component;
component ftsdctrl is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
ioaddr : integer := 16#000#;
iomask : integer := 16#fff#;
wprot : integer := 0;
invclk : integer := 0;
fast : integer := 0;
pwron : integer := 0;
sdbits : integer := 32;
edacen : integer := 1;
errcnt : integer := 0;
cntbits : integer range 1 to 8 := 1;
oepol : integer := 0;
pageburst : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sdi : in sdctrl_in_type;
sdo : out sdctrl_out_type
);
end component;
component ftsdctrl64
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
ioaddr : integer := 16#000#;
iomask : integer := 16#fff#;
wprot : integer := 0;
invclk : integer := 0;
fast : integer := 0;
pwron : integer := 0;
oepol : integer := 0;
pageburst : integer := 0;
mobile : integer := 0;
edac : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sdi : in sdctrl_in_type;
sdo : out sdctrl_out_type
);
end component;
component srctrl
generic (
hindex : integer := 0;
romaddr : integer := 0;
rommask : integer := 16#ff0#;
ramaddr : integer := 16#400#;
rammask : integer := 16#ff0#;
ioaddr : integer := 16#200#;
iomask : integer := 16#ff0#;
ramws : integer := 0;
romws : integer := 2;
iows : integer := 2;
rmw : integer := 0;
prom8en : integer := 0;
oepol : integer := 0;
srbanks : integer range 1 to 5 := 1;
banksz : integer range 0 to 13 := 13;
romasel : integer range 0 to 28 := 19
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sri : in memory_in_type;
sro : out memory_out_type;
sdo : out sdctrl_out_type
);
end component;
component ftsrctrl is
generic (
hindex : integer := 0;
romaddr : integer := 0;
rommask : integer := 16#ff0#;
ramaddr : integer := 16#400#;
rammask : integer := 16#ff0#;
ioaddr : integer := 16#200#;
iomask : integer := 16#ff0#;
ramws : integer := 0;
romws : integer := 2;
iows : integer := 2;
rmw : integer := 0;
srbanks : integer range 1 to 8 := 1;
banksz : integer range 0 to 15 := 15;
rombanks : integer range 1 to 8 := 1;
rombanksz : integer range 0 to 15 := 15;
rombankszdef : integer range 0 to 15 := 15;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
edacen : integer range 0 to 1 := 1;
errcnt : integer range 0 to 1 := 0;
cntbits : integer range 1 to 8 := 1;
wsreg : integer := 0;
oepol : integer := 0;
prom8en : integer := 0;
netlist : integer := 0;
tech : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
sri : in memory_in_type;
sro : out memory_out_type;
sdo : out sdctrl_out_type
);
end component;
type sdram_in_type is record
haddr : std_logic_vector(31 downto 0); -- memory address
rhaddr : std_logic_vector(31 downto 0); -- latched memory address
hready : std_ulogic;
hsize : std_logic_vector(1 downto 0);
hsel : std_ulogic;
hwrite : std_ulogic;
htrans : std_logic_vector(1 downto 0);
rhtrans : std_logic_vector(1 downto 0);
nhtrans : std_logic_vector(1 downto 0);
idle : std_ulogic;
enable : std_ulogic;
error : std_ulogic;
merror : std_ulogic;
brmw : std_ulogic;
edac : std_ulogic;
srdis : std_logic;
end record;
type sdram_mctrl_out_type is record
address : std_logic_vector(16 downto 2);
busy : std_ulogic;
aload : std_ulogic;
bdrive : std_ulogic;
hready : std_ulogic;
hsel : std_ulogic;
bsel : std_ulogic;
hresp : std_logic_vector (1 downto 0);
vhready : std_ulogic;
prdata : std_logic_vector (31 downto 0);
end record;
type wprot_out_type is record
wprothit : std_ulogic;
end record;
component sdmctrl
generic (
pindex : integer := 0;
invclk : integer := 0;
fast : integer := 0;
wprot : integer := 0;
sdbits : integer := 32;
pageburst : integer := 0;
mobile : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
sdi : in sdram_in_type;
sdo : out sdram_out_type;
apbi : in apb_slv_in_type;
wpo : in wprot_out_type;
sdmo : out sdram_mctrl_out_type
);
end component;
component ftsdmctrl
generic (
pindex : integer := 0;
invclk : integer := 0;
fast : integer := 0;
wprot : integer := 0;
sdbits : integer := 32;
syncrst : integer := 0;
pageburst : integer := 0;
edac : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
sdi : in sdram_in_type;
sdo : out sdram_out_type;
apbi : in apb_slv_in_type;
wpo : in wprot_out_type;
sdmo : out sdram_mctrl_out_type
);
end component;
component ftmctrl
generic (
hindex : integer := 0;
pindex : integer := 0;
romaddr : integer := 16#000#;
rommask : integer := 16#E00#;
ioaddr : integer := 16#200#;
iomask : integer := 16#E00#;
ramaddr : integer := 16#400#;
rammask : integer := 16#C00#;
paddr : integer := 0;
pmask : integer := 16#fff#;
wprot : integer := 0;
invclk : integer := 0;
fast : integer := 0;
romasel : integer := 28;
sdrasel : integer := 29;
srbanks : integer := 4;
ram8 : integer := 0;
ram16 : integer := 0;
sden : integer := 0;
sepbus : integer := 0;
sdbits : integer := 32;
sdlsb : integer := 2; -- set to 12 for the GE-HPE board
oepol : integer := 0;
edac : integer := 0;
syncrst : integer := 0;
pageburst : integer := 0;
scantest : integer := 0;
writefb : integer := 0;
netlist : integer := 0;
tech : integer := 0;
rahold : integer := 0;
wsshift : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
memi : in memory_in_type;
memo : out memory_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
wpo : in wprot_out_type;
sdo : out sdram_out_type
);
end component;
component ssrctrl
generic (
hindex : integer := 0;
pindex : integer := 0;
romaddr : integer := 0;
rommask : integer := 16#ff0#;
ramaddr : integer := 16#400#;
rammask : integer := 16#ff0#;
ioaddr : integer := 16#200#;
iomask : integer := 16#ff0#;
paddr : integer := 0;
pmask : integer := 16#fff#;
oepol : integer := 0;
bus16 : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
sri : in memory_in_type;
sro : out memory_out_type
);
end component;
component ftsrctrl_v1
generic (
hindex: Integer := 1;
romaddr: Integer := 16#000#;
rommask: Integer := 16#ff0#;
ramaddr: Integer := 16#400#;
rammask: Integer := 16#ff0#;
ioaddr: Integer := 16#200#;
iomask: Integer := 16#ff0#;
ramws: Integer := 0;
romws: Integer := 0;
iows: Integer := 0;
rmw: Integer := 1;
srbanks: Integer range 1 to 8 := 8;
banksz: Integer range 0 to 13 := 0;
rombanks: Integer range 1 to 8 := 8;
rombanksz: Integer range 0 to 13 := 0;
rombankszdef: Integer range 0 to 13 := 6;
romasel: Integer range 0 to 28 := 0;
pindex: Integer := 0;
paddr: Integer := 16#000#;
pmask: Integer := 16#fff#;
edacen: Integer range 0 to 1 := 1;
errcnt: Integer range 0 to 1 := 0;
cntbits: Integer range 1 to 8 := 1;
wsreg: Integer := 1;
oepol: Integer := 0);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
sri : in memory_in_type;
sro : out memory_out_type;
sdo : out sdctrl_out_type
);
end component;
component ftsrctrl8 is
generic (
hindex : integer := 0;
ramaddr : integer := 16#400#;
rammask : integer := 16#ff0#;
ioaddr : integer := 16#200#;
iomask : integer := 16#ff0#;
ramws : integer := 0;
iows : integer := 2;
srbanks : integer range 1 to 8 := 1;
banksz : integer range 0 to 15 := 15;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
edacen : integer range 0 to 1 := 1;
errcnt : integer range 0 to 1 := 1;
cntbits : integer range 1 to 8 := 1;
wsreg : integer := 0;
oepol : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
sri : in memory_in_type;
sro : out memory_out_type
);
end component;
component p8ctrl
generic (
hindex : integer := 0;
romaddr : integer := 0;
rommask : integer := 16#ff0#;
ramaddr : integer := 0;
iomask : integer := 16#ff0#;
ioaddr : integer := 0;
rammask : integer := 16#ff0#;
romws : integer := 15;
ramws : integer := 15;
prom8en : integer := 0;
rmw : integer := 0;
oepol : integer := 0;
romasel : integer range 0 to 28 := 23
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
sri : in memory_in_type;
sro : out memory_out_type;
sdo : out sdctrl_out_type
);
end component;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/misc/rstgen.vhd | 1 | 3595 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: rstgen
-- File: rstgen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Reset generation with glitch filter
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity rstgen is
generic (
acthigh : integer := 0;
syncrst : integer := 0;
scanen : integer := 0;
syncin : integer := 0);
port (
rstin : in std_ulogic;
clk : in std_ulogic;
clklock : in std_ulogic;
rstout : out std_ulogic;
rstoutraw : out std_ulogic;
testrst : in std_ulogic := '0';
testen : in std_ulogic := '0'
);
end;
architecture rtl of rstgen is
signal r : std_logic_vector(4 downto 0);
signal rst, rstoutl, clklockl, arst : std_ulogic;
signal rstsyncin : std_ulogic;
signal inrst_syncreg : std_ulogic;
signal genrst : std_ulogic;
signal genrst_syncreg : std_logic_vector(1 downto 0);
begin
nosyncinrst : if syncin = 0 generate
rst <= not rstin when acthigh = 1 else rstin;
clklockl <= clklock;
end generate;
syncinrst : if syncin = 1 generate
rstsyncin <= not rstin when acthigh = 1 else rstin;
syncreg0 : syncreg port map (clk, rstsyncin, inrst_syncreg);
genrst <= testrst when (scanen = 1) and (testen = '1') else inrst_syncreg;
gensyncrest : process (clk, genrst) begin
if rising_edge(clk) then
genrst_syncreg(0) <= '1';
genrst_syncreg(1) <= genrst_syncreg(0);
end if;
if ( genrst = '0') then genrst_syncreg <= (others => '0'); end if;
end process;
rst <= genrst_syncreg(1);
syncreg1 : syncreg port map (clk, clklock, clklockl);
end generate;
rstoutraw <= not rstin when acthigh = 1 else rstin;
arst <= testrst when (scanen = 1) and (testen = '1') else rst;
async : if (syncrst = 0 and syncin = 0) generate
reg1 : process (clk, arst) begin
if rising_edge(clk) then
r <= r(3 downto 0) & clklockl;
rstoutl <= r(4) and r(3) and r(2);
end if;
if (arst = '0') then r <= "00000"; rstoutl <= '0'; end if;
end process;
rstout <= (rstoutl and rst) when scanen = 1 else rstoutl;
end generate;
sync : if (syncrst = 1 or syncin = 1) generate
reg1 : process (clk) begin
if rising_edge(clk) then
r <= (r(3 downto 0) & clklockl) and (rst & rst & rst & rst & rst);
rstoutl <= r(4) and r(3) and r(2);
end if;
end process;
rstout <= rstoutl and rst;
end generate;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/ddr/mig_series7.in.vhd | 2 | 162 | -- Xilinx MIG Series 7
constant CFG_MIG_SERIES7 : integer := CONFIG_MIG_SERIES7;
constant CFG_MIG_SERIES7_MODEL : integer := CONFIG_MIG_SERIES7_MODEL;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/techmap/maps/outpad_ds.vhd | 1 | 3628 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: outpad_ds
-- File: outpad_ds.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Differential output pad with technology wrapper
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
use techmap.allpads.all;
entity outpad_ds is
generic (tech : integer := 0; level : integer := lvds;
voltage : integer := x33v; oepol : integer := 0; slew : integer := 0);
port (padp, padn : out std_ulogic; i, en : in std_ulogic);
end;
architecture rtl of outpad_ds is
signal gnd, oen : std_ulogic;
begin
gnd <= '0';
oen <= not en when oepol /= padoen_polarity(tech) else en;
gen0 : if has_ds_pads(tech) = 0 generate
padp <= i
-- pragma translate_off
after 1 ns
-- pragma translate_on
;
padn <= not i
-- pragma translate_off
after 1 ns
-- pragma translate_on
;
end generate;
xcv : if (is_unisim(tech) = 1) generate
u0 : unisim_outpad_ds generic map (level, slew, voltage) port map (padp, padn, i);
end generate;
axc : if (tech = axcel) or (tech = axdsp) generate
u0 : axcel_outpad_ds generic map (level, voltage) port map (padp, padn, i);
end generate;
pa3 : if (tech = apa3) generate
u0 : apa3_outpad_ds generic map (level) port map (padp, padn, i);
end generate;
pa3e : if (tech = apa3e) generate
u0 : apa3e_outpad_ds generic map (level) port map (padp, padn, i);
end generate;
pa3l : if (tech = apa3l) generate
u0 : apa3l_outpad_ds generic map (level) port map (padp, padn, i);
end generate;
fus : if (tech = actfus) generate
u0 : fusion_outpad_ds generic map (level) port map (padp, padn, i);
end generate;
rht : if (tech = rhlib18t) generate
u0 : rh_lib18t_outpad_ds port map (padp, padn, i, oen);
end generate;
n2x : if (tech = easic45) generate
u0 : n2x_outpad_ds generic map (level, voltage) port map (padp, padn, i);
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
entity outpad_dsv is
generic (tech : integer := 0; level : integer := x33v;
voltage : integer := lvds; width : integer := 1;
oepol : integer := 0; slew : integer := 0);
port (
padp : out std_logic_vector(width-1 downto 0);
padn : out std_logic_vector(width-1 downto 0);
i, en: in std_logic_vector(width-1 downto 0));
end;
architecture rtl of outpad_dsv is
begin
v : for j in width-1 downto 0 generate
u0 : outpad_ds generic map (tech, level, voltage, oepol, slew)
port map (padp(j), padn(j), i(j), en(j));
end generate;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-vc707/sgmii_vc707.vhd | 1 | 40242 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sgmii
-- File: sgmii.vhd
-- Author: Fredrik Ringhage - Aeroflex Gaisler
-- Description: GMII to SGMII interface
------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Description: This is the top level vhdl example design for the
-- Ethernet 1000BASE-X PCS/PMA core.
--
-- This design example instantiates IOB flip-flops
-- and input/output buffers on the GMII.
--
-- A Transmitter Elastic Buffer is instantiated on the Tx
-- GMII path to perform clock compenstation between the
-- core and the external MAC driving the Tx GMII.
--
-- This design example can be synthesised.
--
--
--
-- ----------------------------------------------------------------
-- | Example Design |
-- | |
-- | ---------------------------------------------- |
-- | | Core Block (wrapper) | |
-- | | | |
-- | | -------------- -------------- | |
-- | | | Core | | tranceiver | | |
-- | | | | | | | |
-- | --------- | | | | | | |
-- | | | | | | | | | |
-- | | Tx | | | | | | | |
-- ---->|Elastic|----->| GMII |--------->| TXP |--------->
-- | |Buffer | | | Tx | | TXN | | |
-- | | | | | | | | | |
-- | --------- | | | | | | |
-- | GMII | | | | | | |
-- | IOBs | | | | | | |
-- | | | | | | | |
-- | | | GMII | | RXP | | |
-- <-------------------| Rx |<---------| RXN |<---------
-- | | | | | | | |
-- | | -------------- -------------- | |
-- | | | |
-- | ---------------------------------------------- |
-- | |
-- ----------------------------------------------------------------
--
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library gaisler;
use gaisler.net.all;
use gaisler.misc.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library techmap;
use techmap.gencomp.all;
use techmap.allclkgen.all;
library eth;
use eth.grethpkg.all;
library unisim;
use unisim.vcomponents.all;
--------------------------------------------------------------------------------
-- The entity declaration for the example design
--------------------------------------------------------------------------------
entity sgmii_vc707 is
generic(
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
abits : integer := 8;
autonegotiation : integer := 1;
pirq : integer := 0;
debugmem : integer := 0;
tech : integer := 0;
simulation : integer := 0
);
port(
-- Tranceiver Interface
sgmiii : in eth_sgmii_in_type;
sgmiio : out eth_sgmii_out_type;
-- GMII Interface (client MAC <=> PCS)
gmiii : out eth_in_type;
gmiio : in eth_out_type;
-- Asynchronous reset for entire core.
reset : in std_logic;
-- APB Status bus
apb_clk : in std_logic;
apb_rstn : in std_logic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type
);
end sgmii_vc707;
architecture top_level of sgmii_vc707 is
------------------------------------------------------------------------------
-- Component Declaration for the Core Block (core wrapper).
------------------------------------------------------------------------------
component sgmii
port(
-- Transceiver Interface
------------------------
gtrefclk : in std_logic; -- Very high quality 125MHz clock for GT transceiver
txp : out std_logic; -- Differential +ve of serial transmission from PMA to PMD.
txn : out std_logic; -- Differential -ve of serial transmission from PMA to PMD.
rxp : in std_logic; -- Differential +ve for serial reception from PMD to PMA.
rxn : in std_logic; -- Differential -ve for serial reception from PMD to PMA.
resetdone : out std_logic; -- The GT transceiver has completed its reset cycle
cplllock : out std_logic;
txoutclk : out std_logic; -- txoutclk from GT transceiver (62.5MHz)
rxoutclk : out std_logic; -- txoutclk from GT transceiver (62.5MHz)
userclk : in std_logic; -- 62.5MHz clock.
userclk2 : in std_logic; -- 125MHz clock.
rxuserclk : in std_logic; -- 125MHz clock.
rxuserclk2 : in std_logic; -- 125MHz clock.
independent_clock_bufg : in std_logic;
pma_reset : in std_logic; -- transceiver PMA reset signal
mmcm_locked : in std_logic; -- Locked signal from MMCM
-- GMII Interface
-----------------
sgmii_clk_r : out std_logic; -- Clock for client MAC (125Mhz, 12.5MHz or 1.25MHz).
sgmii_clk_f : out std_logic; -- Clock for client MAC (125Mhz, 12.5MHz or 1.25MHz).
sgmii_clk_en : out std_logic; -- Clock enable for client MAC
gmii_txd : in std_logic_vector(7 downto 0); -- Transmit data from client MAC.
gmii_tx_en : in std_logic; -- Transmit control signal from client MAC.
gmii_tx_er : in std_logic; -- Transmit control signal from client MAC.
gmii_rxd : out std_logic_vector(7 downto 0); -- Received Data to client MAC.
gmii_rx_dv : out std_logic; -- Received control signal to client MAC.
gmii_rx_er : out std_logic; -- Received control signal to client MAC.
gmii_isolate : out std_logic; -- Tristate control to electrically isolate GMII.
-- Management: MDIO Interface
-----------------------------
configuration_vector : in std_logic_vector(4 downto 0); -- Alternative to MDIO interface.
an_interrupt : out std_logic; -- Interrupt to processor to signal that Auto-Negotiation has completed
an_adv_config_vector : in std_logic_vector(15 downto 0); -- Alternate interface to program REG4 (AN ADV)
an_restart_config : in std_logic; -- Alternate signal to modify AN restart bit in REG0
-- Speed Control
----------------
speed_is_10_100 : in std_logic; -- Core should operate at either 10Mbps or 100Mbps speeds
speed_is_100 : in std_logic; -- Core should operate at 100Mbps speed
-- General IO's
---------------
status_vector : out std_logic_vector(15 downto 0); -- Core status.
reset : in std_logic; -- Asynchronous reset for entire core.
signal_detect : in std_logic; -- Input from PMD to indicate presence of optical input.
gt0_qplloutclk_in : in std_logic; -- Input from PMD to indicate presence of optical input.
gt0_qplloutrefclk_in : in std_logic -- Input from PMD to indicate presence of optical input.
);
end component;
component MMCME2_ADV
generic (
BANDWIDTH : string := "OPTIMIZED";
CLKFBOUT_MULT_F : real := 5.000;
CLKFBOUT_PHASE : real := 0.000;
--CLKFBOUT_USE_FINE_PS : boolean := FALSE;
CLKIN1_PERIOD : real := 0.000;
CLKIN2_PERIOD : real := 0.000;
CLKOUT0_DIVIDE_F : real := 1.000;
CLKOUT0_DUTY_CYCLE : real := 0.500;
CLKOUT0_PHASE : real := 0.000;
--CLKOUT0_USE_FINE_PS : boolean := FALSE;
CLKOUT1_DIVIDE : integer := 1;
CLKOUT1_DUTY_CYCLE : real := 0.500;
CLKOUT1_PHASE : real := 0.000;
--CLKOUT1_USE_FINE_PS : boolean := FALSE;
CLKOUT2_DIVIDE : integer := 1;
CLKOUT2_DUTY_CYCLE : real := 0.500;
CLKOUT2_PHASE : real := 0.000;
--CLKOUT2_USE_FINE_PS : boolean := FALSE;
CLKOUT3_DIVIDE : integer := 1;
CLKOUT3_DUTY_CYCLE : real := 0.500;
CLKOUT3_PHASE : real := 0.000;
--CLKOUT3_USE_FINE_PS : boolean := FALSE;
--CLKOUT4_CASCADE : boolean := FALSE;
CLKOUT4_DIVIDE : integer := 1;
CLKOUT4_DUTY_CYCLE : real := 0.500;
CLKOUT4_PHASE : real := 0.000;
--CLKOUT4_USE_FINE_PS : boolean := FALSE;
CLKOUT5_DIVIDE : integer := 1;
CLKOUT5_DUTY_CYCLE : real := 0.500;
CLKOUT5_PHASE : real := 0.000;
--CLKOUT5_USE_FINE_PS : boolean := FALSE;
CLKOUT6_DIVIDE : integer := 1;
CLKOUT6_DUTY_CYCLE : real := 0.500;
CLKOUT6_PHASE : real := 0.000;
--CLKOUT6_USE_FINE_PS : boolean := FALSE;
COMPENSATION : string := "ZHOLD";
DIVCLK_DIVIDE : integer := 1;
REF_JITTER1 : real := 0.0;
REF_JITTER2 : real := 0.0;
--SS_EN : string := "FALSE";
SS_MODE : string := "CENTER_HIGH";
SS_MOD_PERIOD : integer := 10000
);
port (
CLKFBOUT : out std_ulogic := '0';
CLKFBOUTB : out std_ulogic := '0';
CLKFBSTOPPED : out std_ulogic := '0';
CLKINSTOPPED : out std_ulogic := '0';
CLKOUT0 : out std_ulogic := '0';
CLKOUT0B : out std_ulogic := '0';
CLKOUT1 : out std_ulogic := '0';
CLKOUT1B : out std_ulogic := '0';
CLKOUT2 : out std_ulogic := '0';
CLKOUT2B : out std_ulogic := '0';
CLKOUT3 : out std_ulogic := '0';
CLKOUT3B : out std_ulogic := '0';
CLKOUT4 : out std_ulogic := '0';
CLKOUT5 : out std_ulogic := '0';
CLKOUT6 : out std_ulogic := '0';
DO : out std_logic_vector (15 downto 0);
DRDY : out std_ulogic := '0';
LOCKED : out std_ulogic := '0';
PSDONE : out std_ulogic := '0';
CLKFBIN : in std_ulogic;
CLKIN1 : in std_ulogic;
CLKIN2 : in std_ulogic;
CLKINSEL : in std_ulogic;
DADDR : in std_logic_vector(6 downto 0);
DCLK : in std_ulogic;
DEN : in std_ulogic;
DI : in std_logic_vector(15 downto 0);
DWE : in std_ulogic;
PSCLK : in std_ulogic;
PSEN : in std_ulogic;
PSINCDEC : in std_ulogic;
PWRDWN : in std_ulogic;
RST : in std_ulogic
);
end component;
----- component IBUFDS_GTE2 -----
component IBUFDS_GTE2
port (
O : out std_ulogic;
ODIV2 : out std_ulogic;
CEB : in std_ulogic;
I : in std_ulogic;
IB : in std_ulogic
);
end component;
----- component BUFHCE -----
component BUFHCE
generic (
CE_TYPE : string := "SYNC";
INIT_OUT : integer := 0
);
port (
O : out std_ulogic;
CE : in std_ulogic;
I : in std_ulogic
);
end component;
----- component BUFGMUX -----
component BUFGMUX
generic (
CLK_SEL_TYPE : string := "ASYNC"
);
port (
O : out std_ulogic := '0';
I0 : in std_ulogic := '0';
I1 : in std_ulogic := '0';
S : in std_ulogic := '0'
);
end component;
----- component ODDR -----
component ODDR
generic (
DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
INIT : bit := '0';
SRTYPE : string := "SYNC"
);
port (
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic := 'L';
S : in std_ulogic := 'L'
);
end component;
constant REVISION : integer := 1;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_SGMII, 0, REVISION, pirq),
1 => apb_iobar(paddr, pmask));
type sgmiiregs is record
irq : std_logic_vector(31 downto 0); -- interrupt
mask : std_logic_vector(31 downto 0); -- interrupt enable
configuration_vector : std_logic_vector( 4 downto 0);
an_adv_config_vector : std_logic_vector(15 downto 0);
end record;
-- APB and RGMII control register
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
constant RES_configuration_vector : std_logic_vector(4 downto 0) := std_logic_vector(to_unsigned(autonegotiation,1)) & "0000";
constant RES : sgmiiregs :=
( irq => (others => '0'), mask => (others => '0'),
configuration_vector => RES_configuration_vector, an_adv_config_vector => "0001100000000001");
type rxregs is record
gmii_rxd : std_logic_vector(7 downto 0);
gmii_rxd_int : std_logic_vector(7 downto 0);
gmii_rx_dv : std_logic;
gmii_rx_er : std_logic;
count : integer;
gmii_dv : std_logic;
keepalive : integer;
end record;
constant RESRX : rxregs :=
( gmii_rxd => (others => '0'), gmii_rxd_int => (others => '0'),
gmii_rx_dv => '0', gmii_rx_er => '0',
count => 0, gmii_dv => '0', keepalive => 0
);
type txregs is record
gmii_txd : std_logic_vector(7 downto 0);
gmii_txd_int : std_logic_vector(7 downto 0);
gmii_tx_en : std_logic;
gmii_tx_en_int : std_logic;
gmii_tx_er : std_logic;
count : integer;
cnt_en : std_logic;
keepalive : integer;
end record;
constant RESTX : txregs :=
( gmii_txd => (others => '0'), gmii_txd_int => (others => '0'),
gmii_tx_en => '0', gmii_tx_en_int => '0', gmii_tx_er => '0',
count => 0, cnt_en => '0', keepalive => 0
);
------------------------------------------------------------------------------
-- internal signals used in this top level example design.
------------------------------------------------------------------------------
-- clock generation signals for tranceiver
signal gtrefclk : std_logic;
signal txoutclk : std_logic;
signal rxoutclk : std_logic;
signal resetdone : std_logic;
signal mmcm_locked : std_logic;
signal mmcm_reset : std_logic;
signal clkfbout : std_logic;
signal clkout0 : std_logic;
signal clkout1 : std_logic;
signal userclk : std_logic;
signal userclk2 : std_logic;
signal rxuserclk : std_logic;
signal rxuserclk2 : std_logic;
-- PMA reset generation signals for tranceiver
signal pma_reset_pipe : std_logic_vector(3 downto 0);
signal pma_reset : std_logic;
-- clock generation signals for SGMII clock
signal sgmii_clk_r : std_logic;
signal sgmii_clk_f : std_logic;
signal sgmii_clk_en : std_logic;
signal sgmii_clk : std_logic;
signal sgmii_clk_int : std_logic;
-- GMII signals
signal gmii_txd : std_logic_vector(7 downto 0);
signal gmii_tx_en : std_logic;
signal gmii_tx_er : std_logic;
signal gmii_rxd : std_logic_vector(7 downto 0);
signal gmii_rx_dv : std_logic;
signal gmii_rx_er : std_logic;
signal gmii_isolate : std_logic;
-- Internal GMII signals from Xilinx SGMII block
signal gmii_rxd_int : std_logic_vector(7 downto 0);
signal gmii_rx_dv_int : std_logic;
signal gmii_rx_er_int : std_logic;
-- Extra registers to ease IOB placement
signal status_vector_int : std_logic_vector(15 downto 0);
signal status_vector_apb : std_logic_vector(15 downto 0);
signal status_vector_apb1 : std_logic_vector(31 downto 0);
signal status_vector_apb2 : std_logic_vector(31 downto 0);
-- These attributes will stop timing errors being reported in back annotated
-- SDF simulation.
attribute ASYNC_REG : string;
attribute ASYNC_REG of pma_reset_pipe : signal is "TRUE";
-- Configuration register
signal speed_is_10_100 : std_logic;
signal speed_is_100 : std_logic;
signal configuration_vector : std_logic_vector(4 downto 0);
signal an_interrupt : std_logic;
signal an_adv_config_vector : std_logic_vector(15 downto 0);
signal an_restart_config : std_logic;
signal link_timer_value : std_logic_vector(8 downto 0);
signal synchronization_done : std_logic;
signal linkup : std_logic;
signal signal_detect : std_logic;
-- Route gtrefclk through an IBUFG.
signal gtrefclk_buf_i : std_logic;
attribute clock_signal : string;
attribute clock_signal of sgmii_clk : signal is "yes";
attribute clock_signal of sgmii_clk_int : signal is "yes";
signal r, rin : sgmiiregs;
signal rrx,rinrx : rxregs;
signal rtx, rintx : txregs;
signal cnt_en : std_logic;
signal usr2rstn : std_logic;
-- debug signal
signal WMemRgmiioData : std_logic_vector(15 downto 0);
signal RMemRgmiioData : std_logic_vector(15 downto 0);
signal RMemRgmiioAddr : std_logic_vector(9 downto 0);
signal WMemRgmiioAddr : std_logic_vector(9 downto 0);
signal WMemRgmiioWrEn : std_logic;
signal WMemRgmiiiData : std_logic_vector(15 downto 0);
signal RMemRgmiiiData : std_logic_vector(15 downto 0);
signal RMemRgmiiiAddr : std_logic_vector(9 downto 0);
signal WMemRgmiiiAddr : std_logic_vector(9 downto 0);
signal WMemRgmiiiWrEn : std_logic;
signal RMemRgmiiiRead : std_logic;
signal RMemRgmiioRead : std_logic;
begin
-----------------------------------------------------------------------------
-- Default for VC707
-----------------------------------------------------------------------------
-- Remove AN during simulation i.e. "00000"
configuration_vector <= "10000" when (autonegotiation = 1) else "00000";
-- Configuration for Xilinx SGMII IP. See doc for SGMII IP for more information
an_adv_config_vector <= "0001100000000001";
an_restart_config <= '0';
link_timer_value <= "000110010";
-- Core Status vector outputs
synchronization_done <= status_vector_int(1);
linkup <= status_vector_int(0);
signal_detect <= '1';
gmiii.gtx_clk <= userclk2;
gmiii.tx_clk <= userclk2;
gmiii.rx_clk <= userclk2;
gmiii.rmii_clk <= userclk2;
gmiii.rxd <= gmii_rxd;
gmiii.rx_dv <= gmii_rx_dv;
gmiii.rx_er <= gmii_rx_er;
gmiii.rx_en <= gmii_rx_dv or sgmii_clk_en;
--gmiii.tx_dv <= '1';
gmiii.tx_dv <= cnt_en when gmiio.tx_en = '1' else '1';
-- GMII output controlled via generics
gmiii.edclsepahb <= '0';
gmiii.edcldisable <= '0';
gmiii.phyrstaddr <= (others => '0');
gmiii.edcladdr <= (others => '0');
-- Not used
gmiii.rx_col <= '0';
gmiii.rx_crs <= '0';
gmiii.tx_clk_90 <= '0';
sgmiio.mdio_o <= gmiio.mdio_o;
sgmiio.mdio_oe <= gmiio.mdio_oe;
gmiii.mdio_i <= sgmiii.mdio_i;
sgmiio.mdc <= gmiio.mdc;
gmiii.mdint <= sgmiii.mdint;
sgmiio.reset <= apb_rstn;
-----------------------------------------------------------------------------
-- Transceiver Clock Management
-----------------------------------------------------------------------------
sgmii1 : if simulation = 1 generate
end generate;
sgmii0 : if simulation = 0 generate
-- Clock circuitry for the GT Transceiver uses a differential input clock.
-- gtrefclk is routed to the tranceiver.
ibufds_gtrefclk : IBUFDS_GTE2
port map (
I => sgmiii.clkp,
IB => sgmiii.clkn,
CEB => '0',
O => gtrefclk_buf_i,
ODIV2 => open
);
bufhce_gtrefclk : BUFHCE
port map (
I => gtrefclk_buf_i,
CE => '1',
O => gtrefclk
);
-- The GT transceiver provides a 62.5MHz clock to the FPGA fabrix. This is
-- routed to an MMCM module where it is used to create phase and frequency
-- related 62.5MHz and 125MHz clock sources
mmcm_adv_inst : MMCME2_ADV
generic map
(BANDWIDTH => "OPTIMIZED",
--CLKOUT4_CASCADE => FALSE,
COMPENSATION => "ZHOLD",
-- STARTUP_WAIT => FALSE,
DIVCLK_DIVIDE => 1,
CLKFBOUT_MULT_F => 16.000,
CLKFBOUT_PHASE => 0.000,
--CLKFBOUT_USE_FINE_PS => FALSE,
CLKOUT0_DIVIDE_F => 8.000,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.5,
--CLKOUT0_USE_FINE_PS => FALSE,
CLKOUT1_DIVIDE => 16,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.5,
--CLKOUT1_USE_FINE_PS => FALSE,
CLKIN1_PERIOD => 16.0,
REF_JITTER1 => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKFBOUTB => open,
CLKOUT0 => clkout0,
CLKOUT0B => open,
CLKOUT1 => clkout1,
CLKOUT1B => open,
CLKOUT2 => open,
CLKOUT2B => open,
CLKOUT3 => open,
CLKOUT3B => open,
CLKOUT4 => open,
CLKOUT5 => open,
CLKOUT6 => open,
-- Input clock control
CLKFBIN => clkfbout,
CLKIN1 => txoutclk,
CLKIN2 => '0',
-- Tied to always select the primary input clock
CLKINSEL => '1',
-- Ports for dynamic reconfiguration
DADDR => (others => '0'),
DCLK => '0',
DEN => '0',
DI => (others => '0'),
DO => open,
DRDY => open,
DWE => '0',
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => mmcm_locked,
CLKINSTOPPED => open,
CLKFBSTOPPED => open,
PWRDWN => '0',
RST => mmcm_reset);
mmcm_reset <= reset or (not resetdone);
-- This 62.5MHz clock is placed onto global clock routing and is then used
-- for tranceiver TXUSRCLK/RXUSRCLK.
bufg_userclk: BUFG
port map (
I => clkout1,
O => userclk
);
-- This 125MHz clock is placed onto global clock routing and is then used
-- to clock all Ethernet core logic.
bufg_userclk2: BUFG
port map (
I => clkout0,
O => userclk2
);
-- This 62.5MHz clock is placed onto global clock routing and is then used
-- for tranceiver TXUSRCLK/RXUSRCLK.
bufg_rxuserclk: BUFG
port map (
I => rxoutclk,
O => rxuserclk
);
end generate;
-----------------------------------------------------------------------------
-- Sync Reset for user clock
-----------------------------------------------------------------------------
userclk2_rst : rstgen
generic map(syncin => 1, syncrst => 1)
port map(apb_rstn, userclk2, '1', usr2rstn, open);
-----------------------------------------------------------------------------
-- Transceiver PMA reset circuitry
-----------------------------------------------------------------------------
-- Create a reset pulse of a decent length
process(reset, apb_clk)
begin
if (reset = '1') then
pma_reset_pipe <= "1111";
elsif apb_clk'event and apb_clk = '1' then
pma_reset_pipe <= pma_reset_pipe(2 downto 0) & reset;
end if;
end process;
pma_reset <= pma_reset_pipe(3);
------------------------------------------------------------------------------
-- GMII (Aeroflex Gaisler) to GMII (Xilinx) style
------------------------------------------------------------------------------
-- 10/100Mbit TX Loic
process (usr2rstn,rtx,gmiio)
variable v : txregs;
begin
v := rtx;
v.cnt_en := '0';
v.gmii_tx_en_int := gmiio.tx_en;
if (gmiio.tx_en = '1' and rtx.gmii_tx_en_int = '0') then
v.count := 0;
elsif (v.count >= 9) and gmiio.speed = '1' then
v.count := 0;
elsif (v.count >= 99) and gmiio.speed = '0' then
v.count := 0;
else
v.count := rtx.count + 1;
end if;
case v.count is
when 0 =>
v.gmii_txd_int(3 downto 0) := gmiio.txd(3 downto 0);
v.cnt_en := '1';
when 5 =>
if gmiio.speed = '1' then
v.gmii_txd_int(7 downto 4) := gmiio.txd(3 downto 0);
v.cnt_en := '1';
end if;
when 50=>
if gmiio.speed = '0' then
v.gmii_txd_int(7 downto 4) := gmiio.txd(3 downto 0);
v.cnt_en := '1';
end if;
when 9 =>
if gmiio.speed = '1' then
v.gmii_txd := v.gmii_txd_int;
v.gmii_tx_en := '1';
v.gmii_tx_er := gmiio.tx_er;
if (gmiio.tx_en = '0' and rtx.keepalive <= 1) then v.gmii_tx_en := '0'; end if;
if (rtx.keepalive > 0) then v.keepalive := rtx.keepalive - 1; end if;
end if;
when 99 =>
if gmiio.speed = '0' then
v.gmii_txd := v.gmii_txd_int;
v.gmii_tx_en := '1';
v.gmii_tx_er := gmiio.tx_er;
if (gmiio.tx_en = '0' and rtx.keepalive <= 1) then v.gmii_tx_en := '0'; end if;
if (rtx.keepalive > 0) then v.keepalive := rtx.keepalive - 1; end if;
end if;
when others =>
null;
end case;
if (gmiio.tx_en = '0' and rtx.gmii_tx_en_int = '1') then
v.keepalive := 2;
end if;
if (gmiio.tx_en = '0' and rtx.gmii_tx_en_int = '0' and rtx.keepalive = 0) then
v := RESTX;
end if;
-- reset operation
if (not RESET_ALL) and (usr2rstn = '0') then
v := RESTX;
end if;
-- update registers
rintx <= v;
end process;
txegs : process(userclk2)
begin
if rising_edge(userclk2) then
rtx <= rintx;
if RESET_ALL and usr2rstn = '0' then
rtx <= RESTX;
end if;
end if;
end process;
-- 1000Mbit TX Logic (Bypass)
-- n/a
-- TX Mux Select
cnt_en <= '1' when (gmiio.gbit = '1') else rtx.cnt_en;
gmii_txd <= gmiio.txd when (gmiio.gbit = '1') else rtx.gmii_txd;
gmii_tx_en <= gmiio.tx_en when (gmiio.gbit = '1') else rtx.gmii_tx_en;
gmii_tx_er <= gmiio.tx_er when (gmiio.gbit = '1') else rtx.gmii_tx_er;
------------------------------------------------------------------------------
-- Instantiate the Core Block (core wrapper).
------------------------------------------------------------------------------
speed_is_10_100 <= not gmiio.gbit;
speed_is_100 <= gmiio.speed;
core_wrapper : sgmii
port map (
gtrefclk => gtrefclk,
txp => sgmiio.txp,
txn => sgmiio.txn,
rxp => sgmiii.rxp,
rxn => sgmiii.rxn,
resetdone => resetdone,
cplllock => OPEN ,
txoutclk => txoutclk,
rxoutclk => rxoutclk ,
userclk => userclk,
userclk2 => userclk2,
rxuserclk => rxuserclk ,
rxuserclk2 => rxuserclk ,
independent_clock_bufg => apb_clk,
pma_reset => pma_reset,
mmcm_locked => mmcm_locked,
sgmii_clk_r => sgmii_clk_r,
sgmii_clk_f => sgmii_clk_f,
sgmii_clk_en => sgmii_clk_en,
gmii_txd => gmii_txd,
gmii_tx_en => gmii_tx_en,
gmii_tx_er => gmii_tx_er,
gmii_rxd => gmii_rxd_int,
gmii_rx_dv => gmii_rx_dv_int,
gmii_rx_er => gmii_rx_er_int,
gmii_isolate => gmii_isolate,
configuration_vector => configuration_vector,
an_interrupt => an_interrupt,
an_adv_config_vector => an_adv_config_vector,
an_restart_config => an_restart_config,
speed_is_10_100 => speed_is_10_100,
speed_is_100 => speed_is_100,
status_vector => status_vector_int,
reset => reset,
signal_detect => signal_detect,
gt0_qplloutclk_in => '0',
gt0_qplloutrefclk_in => '0'
);
------------------------------------------------------------------------------
-- GMII (Xilinx) to GMII (Aeroflex Gailers) style
------------------------------------------------------------------------------
---- 10/100Mbit RX Loic
process (usr2rstn,rrx,gmii_rx_dv_int,gmii_rxd_int,gmii_rx_er_int,sgmii_clk_en)
variable v : rxregs;
begin
v := rrx;
if (gmii_rx_dv_int = '1' and sgmii_clk_en = '1') then
v.count := 0;
v.gmii_rxd_int := gmii_rxd_int;
v.gmii_dv := '1';
v.keepalive := 1;
elsif (v.count >= 9) and gmiio.speed = '1' then
v.count := 0;
v.keepalive := rrx.keepalive - 1;
elsif (v.count >= 99) and gmiio.speed = '0' then
v.count := 0;
v.keepalive := rrx.keepalive - 1;
else
v.count := rrx.count + 1;
end if;
case v.count is
when 0 =>
v.gmii_rxd := v.gmii_rxd_int(3 downto 0) & v.gmii_rxd_int(3 downto 0);
v.gmii_rx_dv := v.gmii_dv;
when 5 =>
if gmiio.speed = '1' then
v.gmii_rxd := v.gmii_rxd_int(7 downto 4) & v.gmii_rxd_int(7 downto 4);
v.gmii_rx_dv := v.gmii_dv;
v.gmii_dv := '0';
end if;
when 50 =>
if gmiio.speed = '0' then
v.gmii_rxd := v.gmii_rxd_int(7 downto 4) & v.gmii_rxd_int(7 downto 4);
v.gmii_rx_dv := v.gmii_dv;
v.gmii_dv := '0';
end if;
when others =>
v.gmii_rxd := v.gmii_rxd;
v.gmii_rx_dv := '0';
end case;
v.gmii_rx_er := gmii_rx_er_int;
if (rrx.keepalive = 0 and gmii_rx_dv_int = '0') then
v := RESRX;
end if;
-- reset operation
if (not RESET_ALL) and (usr2rstn = '0') then
v := RESRX;
end if;
-- update registers
rinrx <= v;
end process;
rx100regs : process(userclk2)
begin
if rising_edge(userclk2) then
rrx <= rinrx;
if RESET_ALL and usr2rstn = '0' then
rrx <= RESRX;
end if;
end if;
end process;
---- 1000Mbit RX Logic (Bypass)
-- n/a
---- RX Mux Select
gmii_rxd <= gmii_rxd_int when (gmiio.gbit = '1') else rinrx.gmii_rxd;
gmii_rx_dv <= gmii_rx_dv_int when (gmiio.gbit = '1') else rinrx.gmii_rx_dv;
gmii_rx_er <= gmii_rx_er_int when (gmiio.gbit = '1') else rinrx.gmii_rx_er;
-----------------------------------------------------------------------------
-- Extra registers to ease CDC placement
-----------------------------------------------------------------------------
process (apb_clk)
begin
if apb_clk'event and apb_clk = '1' then
status_vector_apb <= status_vector_int;
end if;
end process;
---------------------------------------------------------------------------------------
-- APB Section
---------------------------------------------------------------------------------------
apbo.pindex <= pindex;
apbo.pconfig <= pconfig;
-- Extra registers to ease CDC placement
process (apb_clk)
begin
if apb_clk'event and apb_clk = '1' then
status_vector_apb1 <= (others => '0');
status_vector_apb2 <= (others => '0');
if autonegotiation = 1 then status_vector_apb2(17) <= '1'; else status_vector_apb2(17) <= '0'; end if;
if debugmem = 1 then status_vector_apb2(16) <= '1'; else status_vector_apb2(16) <= '0'; end if;
-- Register to detect a speed change
status_vector_apb1(15 downto 0) <= status_vector_apb;
status_vector_apb2 <= status_vector_apb1;
end if;
end process;
rgmiiapb : process(apb_rstn, r, apbi, status_vector_apb1, status_vector_apb2, RMemRgmiiiData, RMemRgmiiiRead, RMemRgmiioRead )
variable rdata : std_logic_vector(31 downto 0);
variable paddress : std_logic_vector(7 downto 2);
variable v : sgmiiregs;
begin
v := r;
paddress := (others => '0');
paddress(abits-1 downto 2) := apbi.paddr(abits-1 downto 2);
rdata := (others => '0');
-- read/write registers
if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then
case paddress(7 downto 2) is
when "000000" =>
rdata(31 downto 0) := status_vector_apb2;
when "000001" =>
rdata(31 downto 0) := r.irq;
v.irq := (others => '0'); -- Interrupt is clear on read
when "000010" =>
rdata(31 downto 0) := r.mask;
when "000011" =>
rdata(4 downto 0) := r.configuration_vector;
when "000100" =>
rdata(15 downto 0) := r.an_adv_config_vector;
when others =>
null;
end case;
end if;
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case paddress(7 downto 2) is
when "000000" =>
null;
when "000001" =>
null;
when "000010" =>
v.mask := apbi.pwdata(31 downto 0);
when "000011" =>
v.configuration_vector := apbi.pwdata(4 downto 0);
when "000100" =>
v.an_adv_config_vector := apbi.pwdata(15 downto 0);
when others =>
null;
end case;
end if;
-- Check interrupts
for i in 0 to status_vector_apb2'length-1 loop
if ((status_vector_apb1(i) xor status_vector_apb2(i)) and v.mask(i)) = '1' then
v.irq(i) := '1';
end if;
end loop;
-- reset operation
if (not RESET_ALL) and (apb_rstn = '0') then
v := RES;
end if;
-- update registers
rin <= v;
-- drive outputs
if apbi.psel(pindex) = '0' then
apbo.prdata <= (others => '0');
elsif RMemRgmiiiRead = '1' then
apbo.prdata(31 downto 16) <= (others => '0');
apbo.prdata(15 downto 0) <= RMemRgmiiiData;
elsif RMemRgmiioRead = '1' then
apbo.prdata(31 downto 16) <= (others => '0');
apbo.prdata(15 downto 0) <= RMemRgmiioData;
else
apbo.prdata <= rdata;
end if;
apbo.pirq <= (others => '0');
apbo.pirq(pirq) <= orv(v.irq);
end process;
regs : process(apb_clk)
begin
if rising_edge(apb_clk) then
r <= rin;
if RESET_ALL and apb_rstn = '0' then
r <= RES;
end if;
end if;
end process;
---------------------------------------------------------------------------------------
-- Debug Mem
---------------------------------------------------------------------------------------
debugmem1 : if (debugmem /= 0) generate
-- Write GMII IN data
process (userclk2)
begin -- process
if rising_edge(userclk2) then
WMemRgmiioData(15 downto 0) <= '0' & '0' & '0' & '0' & "00" & gmii_tx_er & gmii_tx_en & gmii_txd;
if (gmii_tx_en = '1') and ((WMemRgmiioAddr < "0111111110") or (WMemRgmiioAddr = "1111111111")) then
WMemRgmiioAddr <= WMemRgmiioAddr + 1;
WMemRgmiioWrEn <= '1';
else
if (gmii_tx_en = '0') then
WMemRgmiioAddr <= (others => '1');
else
WMemRgmiioAddr <= WMemRgmiioAddr;
end if;
WMemRgmiioWrEn <= '0';
end if;
if usr2rstn = '0' then
WMemRgmiioAddr <= (others => '0');
WMemRgmiioWrEn <= '0';
end if;
end if;
end process;
-- Read
RMemRgmiioRead <= apbi.paddr(10) and apbi.psel(pindex);
RMemRgmiioAddr <= "00" & apbi.paddr(10-1 downto 2);
gmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map(
apb_clk, RMemRgmiioRead, RMemRgmiioAddr, RMemRgmiioData,
userclk2, WMemRgmiioWrEn, WMemRgmiioAddr(10-1 downto 0), WMemRgmiioData);
-- Write GMII IN data
process (userclk2)
begin -- process
if rising_edge(userclk2) then
if (gmii_rx_dv = '1') then
WMemRgmiiiData(15 downto 0) <= '0' & sgmii_clk_en & '0' & '0' & "00" & gmii_rx_er & gmii_rx_dv & gmii_rxd;
elsif (gmii_rx_dv_int = '0') then
WMemRgmiiiData(15 downto 0) <= (others => '0');
else
WMemRgmiiiData <= WMemRgmiiiData;
end if;
if (gmii_rx_dv = '1') and ((WMemRgmiiiAddr < "0111111110") or (WMemRgmiiiAddr = "1111111111")) then
WMemRgmiiiAddr <= WMemRgmiiiAddr + 1;
WMemRgmiiiWrEn <= '1';
else
if (gmii_rx_dv_int = '0') then
WMemRgmiiiAddr <= (others => '1');
WMemRgmiiiWrEn <= '0';
else
WMemRgmiiiAddr <= WMemRgmiiiAddr;
WMemRgmiiiWrEn <= '0';
end if;
end if;
if usr2rstn = '0' then
WMemRgmiiiAddr <= (others => '0');
WMemRgmiiiWrEn <= '0';
end if;
end if;
end process;
-- Read
RMemRgmiiiRead <= apbi.paddr(11) and apbi.psel(pindex);
RMemRgmiiiAddr <= "00" & apbi.paddr(10-1 downto 2);
rgmiii0 : syncram_2p generic map (tech, 10, 16, 1, 0, 0) port map(
apb_clk, RMemRgmiiiRead, RMemRgmiiiAddr, RMemRgmiiiData,
userclk2, WMemRgmiiiWrEn, WMemRgmiiiAddr(10-1 downto 0), WMemRgmiiiData);
end generate;
-- pragma translate_off
bootmsg : report_version
generic map ("sgmii" & tost(pindex) &
": SGMII rev " & tost(REVISION) & ", irq " & tost(pirq));
-- pragma translate_on
end top_level;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/techmap/atc18/pads_atc18.vhd | 1 | 10099 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: atcpads_gen
-- File: atcpads_gen.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Atmel ATC18 pad wrappers
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
package atcpads is
-- input pad
component pc33d00z port (pad : in std_logic; cin : out std_logic); end component;
-- input pad with pull-up
component pc33d00uz port (pad : in std_logic; cin : out std_logic); end component;
-- schmitt input pad
component pc33d20z port (pad : in std_logic; cin : out std_logic); end component;
-- schmitt input pad with pull-up
component pt33d20uz port (pad : inout std_logic; cin : out std_logic); end component;
-- output pads
component pt33o01z port (i : in std_logic; pad : out std_logic); end component;
component pt33o02z port (i : in std_logic; pad : out std_logic); end component;
component pt33o04z port (i : in std_logic; pad : out std_logic); end component;
component pt33o08z port (i : in std_logic; pad : out std_logic); end component;
-- tri-state output pads
component pt33t01z port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t02z port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t04z port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t08z port (i, oen : in std_logic; pad : out std_logic); end component;
-- tri-state output pads with pull-up
component pt33t01uz port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t02uz port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t04uz port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t08uz port (i, oen : in std_logic; pad : out std_logic); end component;
-- bidirectional pads
component pt33b01z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b02z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b08z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b04z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
-- bidirectional pads with pull-up
component pt33b01uz
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b02uz
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b08uz
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b04uz
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
--PCI pads
component pp33o01z
port (i : in std_logic; pad : out std_logic);
end component;
component pp33b01z
port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pp33t01z
port (i, oen : in std_logic; pad : out std_logic);
end component;
end;
library ieee;
library techmap;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
-- pragma translate_off
library atc18;
use atc18.pc33d00z;
-- pragma translate_on
entity atc18_inpad is
generic (level : integer := 0; voltage : integer := 0);
port (pad : in std_logic; o : out std_logic);
end;
architecture rtl of atc18_inpad is
component pc33d00z port (pad : in std_logic; cin : out std_logic); end component;
begin
pci0 : if level = pci33 generate
ip : pc33d00z port map (pad => pad, cin => o);
end generate;
gen0 : if level /= pci33 generate
ip : pc33d00z port map (pad => pad, cin => o);
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
-- pragma translate_off
library atc18;
use atc18.pp33b01z;
use atc18.pt33b01z;
use atc18.pt33b02z;
use atc18.pt33b08z;
use atc18.pt33b04z;
-- pragma translate_on
entity atc18_iopad is
generic (level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 0);
port (pad : inout std_logic; i, en : in std_logic; o : out std_logic);
end ;
architecture rtl of atc18_iopad is
component pp33b01z
port ( i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b01z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b02z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b08z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
component pt33b04z
port (i, oen : in std_logic; cin : out std_logic; pad : inout std_logic);
end component;
begin
pci0 : if level = pci33 generate
op : pp33b01z port map (i => i, oen => en, pad => pad, cin => o);
end generate;
gen0 : if level /= pci33 generate
f1 : if (strength <= 4) generate
op : pt33b01z port map (i => i, oen => en, pad => pad, cin => o);
end generate;
f2 : if (strength > 4) and (strength <= 8) generate
op : pt33b02z port map (i => i, oen => en, pad => pad, cin => o);
end generate;
f3 : if (strength > 8) and (strength <= 16) generate
op : pt33b04z port map (i => i, oen => en, pad => pad, cin => o);
end generate;
f4 : if (strength > 16) generate
op : pt33b08z port map (i => i, oen => en, pad => pad, cin => o);
end generate;
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
-- pragma translate_off
library atc18;
use atc18.pp33t01z;
use atc18.pt33o01z;
use atc18.pt33o02z;
use atc18.pt33o04z;
use atc18.pt33o08z;
-- pragma translate_on
entity atc18_outpad is
generic (level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 0);
port (pad : out std_logic; i : in std_logic);
end ;
architecture rtl of atc18_outpad is
component pp33t01z
port (i, oen : in std_logic; pad : out std_logic);
end component;
component pt33o01z port (i : in std_logic; pad : out std_logic); end component;
component pt33o02z port (i : in std_logic; pad : out std_logic); end component;
component pt33o04z port (i : in std_logic; pad : out std_logic); end component;
component pt33o08z port (i : in std_logic; pad : out std_logic); end component;
signal gnd : std_logic;
begin
gnd <= '0';
pci0 : if level = pci33 generate
op : pp33t01z port map (i => i, oen => gnd, pad => pad);
end generate;
gen0 : if level /= pci33 generate
f4 : if (strength <= 4) generate
op : pt33o01z port map (i => i, pad => pad);
end generate;
f8 : if (strength > 4) and (strength <= 8) generate
op : pt33o02z port map (i => i, pad => pad);
end generate;
f16 : if (strength > 8) and (strength <= 16) generate
op : pt33o04z port map (i => i, pad => pad);
end generate;
f32 : if (strength > 16) generate
op : pt33o08z port map (i => i, pad => pad);
end generate;
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library techmap;
use techmap.gencomp.all;
-- pragma translate_off
library atc18;
use atc18.pp33t01z;
use atc18.pt33t01z;
use atc18.pt33t02z;
use atc18.pt33t04z;
use atc18.pt33t08z;
-- pragma translate_on
entity atc18_toutpad is
generic (level : integer := 0; slew : integer := 0;
voltage : integer := 0; strength : integer := 0);
port (pad : out std_logic; i, en : in std_logic);
end ;
architecture rtl of atc18_toutpad is
component pp33t01z
port (i, oen : in std_logic; pad : out std_logic);
end component;
component pt33t01z port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t02z port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t04z port (i, oen : in std_logic; pad : out std_logic); end component;
component pt33t08z port (i, oen : in std_logic; pad : out std_logic); end component;
begin
pci0 : if level = pci33 generate
op : pp33t01z port map (i => i, oen => en, pad => pad);
end generate;
gen0 : if level /= pci33 generate
f4 : if (strength <= 4) generate
op : pt33t01z port map (i => i, oen => en, pad => pad);
end generate;
f8 : if (strength > 4) and (strength <= 8) generate
op : pt33t02z port map (i => i, oen => en, pad => pad);
end generate;
f16 : if (strength > 8) and (strength <= 16) generate
op : pt33t04z port map (i => i, oen => en, pad => pad);
end generate;
f32 : if (strength > 16) generate
op : pt33t08z port map (i => i, oen => en, pad => pad);
end generate;
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
entity atc18_clkpad is
generic (level : integer := 0; voltage : integer := 0);
port (pad : in std_logic; o : out std_logic);
end;
architecture rtl of atc18_clkpad is
begin
o <= pad;
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/techmap/maps/clkinv.vhd | 1 | 1889 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: clkinv
-- File: clkinv.vhd
-- Author: Fredrik Ringhage - Aeroflex Gaisler Research
-- Description: SET protected inverters for clock tree
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use work.gencomp.all;
use work.allclkgen.all;
entity clkinv is
generic(tech : integer := 0);
port(
i : in std_ulogic;
o : out std_ulogic
);
end entity;
architecture rtl of clkinv is
begin
tec : if has_clkinv(tech) = 1 generate
saed : if (tech = saed32) generate
x0 : clkinv_saed32 port map (i => i, o => o);
end generate;
dar : if (tech = dare) generate
x0 : clkinv_dare port map (i => i, o => o);
end generate;
end generate;
gen : if has_clkinv(tech) = 0 generate
o <= not i;
end generate;
end architecture;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-altera-ep2sgx90-av/prgmem.vhd | 3 | 5738 | ------------------------------------------------------
-- Program-Memory
------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.numeric_std.all;
use std.textio.all;
-- Important NOTE:
-- ---------------
--
-- The ROM_BITS generic controls the size of the internal
-- ROM. The ROM is located in upper part of program memory
-- and is initialized by the given (intel-).hex-file.
-- If there's no such file, everything is filled up with
-- 'null'. Everything before the ROM is always nulled.
-- If you don't want a System-ROM, just set ROM_BITS to 0.
entity prgmem is
generic (
INIT_FILE_NAME : string; -- => init file for rom
PRGM_MEM : positive := 12; -- => 4k word
MEM_WIDTH : positive := 32
);
port (
-- common signals
clk : in std_logic; -- normal system clock
reset : in std_logic;
-- access (r)
addr : in std_logic_vector(PRGM_MEM-1 downto 0);
data : out std_logic_vector(MEM_WIDTH-1 downto 0)
);
end entity;
architecture Behavioral of prgmem is
-- some constants
constant MEM_DEPTH : positive := 2**PRGM_MEM;
-- constant MEM_WIDTH : positive := ;
-- constant ROM_DEPTH : positive := 2**ROM_BITS ;
-- constant ROM_POS : integer := rom_start(PRGM_MEM, ROM_BITS);
-- declare memory type
type MEM_TYPE is array(0 to MEM_DEPTH - 1) of std_logic_vector(MEM_WIDTH-1 downto 0);
type BYTE_STRING is array(1 downto 0) of character;
type WORD_STRING is array(3 downto 0) of character;
function CHAR_TO_INT ( char : in character) return integer is
variable r : integer := 0;
begin
case char is
when 'A' => r := 10;
when 'B' => r := 11;
when 'C' => r := 12;
when 'D' => r := 13;
when 'E' => r := 14;
when 'F' => r := 15;
when 'a' => r := 10;
when 'b' => r := 11;
when 'c' => r := 12;
when 'd' => r := 13;
when 'e' => r := 14;
when 'f' => r := 15;
when '1' => r := 1;
when '2' => r := 2;
when '3' => r := 3;
when '4' => r := 4;
when '5' => r := 5;
when '6' => r := 6;
when '7' => r := 7;
when '8' => r := 8;
when '9' => r := 9;
when others => null;
end case;
return r;
end function;
function BYTE_TO_INT ( bytechars : in string(1 to 2)) return integer is
begin
return CHAR_TO_INT(bytechars(1))*16+CHAR_TO_INT(bytechars(2));
end function;
function WORD_TO_INT ( wordchars : in string(1 to 4)) return integer is
begin
return BYTE_TO_INT(wordchars(1) & wordchars(2))*256+BYTE_TO_INT(wordchars(3) & wordchars(4));
end function;
-- function for loading the init values
impure function InitRamFromFile (file_name : in string) return MEM_TYPE is
FILE init_file : text;-- is in file_name;
variable rline : line;
variable memory : MEM_TYPE;
-- variable offs : integer := 0;
variable count : integer;
variable linemode : integer;
variable addr : integer;
variable tmp_chr : character;
variable tmp_byte : string(1 to 2);--BYTE_STRING;
variable tmp_word : string(1 to 4);--WORD_STRING;
variable tmp_addr : integer;
variable tmp_v : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
-- first just null everything
for i in 0 to MEM_DEPTH-1 loop
memory(i) := (others => '0');
end loop;
file_open(init_file, file_name, READ_MODE);
-- read rom file
while (not endfile(init_file)) loop
readline (init_file, rline);
exit when endfile (init_file);
read (rline, tmp_chr);
if tmp_chr = ':' then --beginning of line is correct
--how much to read
read (rline, tmp_byte);
count := BYTE_TO_INT(tmp_byte);
--addr
read (rline, tmp_word);
addr := WORD_TO_INT(tmp_word);
--line mode
read (rline, tmp_byte);
linemode := BYTE_TO_INT(tmp_byte);
if linemode = 0 then
-- loop every PROGRAM-WORD
for i in 0 to (count/(MEM_WIDTH/8) - 1) loop
tmp_v := (others=>'0');
-- loop for every BYTE IN PROGRAM-WORD
for j in 0 to MEM_WIDTH/8-1 loop
read (rline, tmp_byte);
tmp_v((j+1)*8-1 downto j*8) := std_logic_vector(to_unsigned(BYTE_TO_INT(tmp_byte),8));
end loop;
-- store in memory
memory(addr/(MEM_WIDTH/8) + i) := tmp_v;
end loop;
end if;
end if;
end loop;
file_close(init_file);
return memory;
end function;
-- define memory and initialize it
signal memory : MEM_TYPE := InitRamFromFile(INIT_FILE_NAME);
signal mem_addr : std_logic_vector(PRGM_MEM-1 downto 0);
signal mem_doa : std_logic_vector(15 downto 0);
signal mem_we : std_logic;
-- output register
signal reg_cmd_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_const_out : std_logic_vector(MEM_WIDTH-1 downto 0);
signal reg_lpmspm : std_logic_vector(MEM_WIDTH-1 downto 0);
begin
mem_addr <= addr;
---------------------------------------------------
-- infering the block ram
process(clk)
begin
if clk'event and clk = '1' then
data <= memory(to_integer(unsigned(addr)));
end if;
end process;
end architecture;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/sim/phy.vhd | 1 | 24601 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
----------------------------------------------------------------------------
-- Entity: phy
-- File: phy.vhd
-- Description: Simulation model of an Ethernet PHY
-- Author: Marko Isomaki
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
library grlib;
use ieee.std_logic_1164.all;
use grlib.stdlib.all;
entity phy is
generic(
address : integer range 0 to 31 := 0;
extended_regs : integer range 0 to 1 := 1;
aneg : integer range 0 to 1 := 1;
base100_t4 : integer range 0 to 1 := 0;
base100_x_fd : integer range 0 to 1 := 1;
base100_x_hd : integer range 0 to 1 := 1;
fd_10 : integer range 0 to 1 := 1;
hd_10 : integer range 0 to 1 := 1;
base100_t2_fd : integer range 0 to 1 := 1;
base100_t2_hd : integer range 0 to 1 := 1;
base1000_x_fd : integer range 0 to 1 := 0;
base1000_x_hd : integer range 0 to 1 := 0;
base1000_t_fd : integer range 0 to 1 := 1;
base1000_t_hd : integer range 0 to 1 := 1;
rmii : integer range 0 to 1 := 0;
rgmii : integer range 0 to 1 := 0
);
port(
rstn : in std_logic;
mdio : inout std_logic;
tx_clk : out std_logic;
rx_clk : out std_logic;
rxd : out std_logic_vector(7 downto 0);
rx_dv : out std_logic;
rx_er : out std_logic;
rx_col : out std_logic;
rx_crs : out std_logic;
txd : in std_logic_vector(7 downto 0);
tx_en : in std_logic;
tx_er : in std_logic;
mdc : in std_logic;
gtx_clk : in std_logic
);
end;
architecture behavioral of phy is
type mdio_state_type is (idle, start_of_frame, start_of_frame2, op, phyad, regad,
ta, rdata, wdata);
type ctrl_reg_type is record
reset : std_ulogic;
loopback : std_ulogic;
speedsel : std_logic_vector(1 downto 0);
anegen : std_ulogic;
powerdown : std_ulogic;
isolate : std_ulogic;
restartaneg : std_ulogic;
duplexmode : std_ulogic;
coltest : std_ulogic;
end record;
type status_reg_type is record
base100_t4 : std_ulogic;
base100_x_fd : std_ulogic;
base100_x_hd : std_ulogic;
fd_10 : std_ulogic;
hd_10 : std_ulogic;
base100_t2_fd : std_ulogic;
base100_t2_hd : std_ulogic;
extstat : std_ulogic;
mfpreamblesup : std_ulogic;
anegcmpt : std_ulogic;
remfault : std_ulogic;
anegability : std_ulogic;
linkstat : std_ulogic;
jabdetect : std_ulogic;
extcap : std_ulogic;
end record;
type aneg_ab_type is record
next_page : std_ulogic;
remote_fault : std_ulogic;
tech_ability : std_logic_vector(7 downto 0);
selector : std_logic_vector(4 downto 0);
end record;
type aneg_exp_type is record
par_detct_flt : std_ulogic;
lp_np_able : std_ulogic;
np_able : std_ulogic;
page_rx : std_ulogic;
lp_aneg_able : std_ulogic;
end record;
type aneg_nextpage_type is record
next_page : std_ulogic;
message_page : std_ulogic;
ack2 : std_ulogic;
toggle : std_ulogic;
message : std_logic_vector(10 downto 0);
end record;
type mst_slv_ctrl_type is record
tmode : std_logic_vector(2 downto 0);
manualcfgen : std_ulogic;
cfgval : std_ulogic;
porttype : std_ulogic;
base1000_t_fd : std_ulogic;
base1000_t_hd : std_ulogic;
end record;
type mst_slv_status_type is record
cfgfault : std_ulogic;
cfgres : std_ulogic;
locrxstate : std_ulogic;
remrxstate : std_ulogic;
lpbase1000_t_fd : std_ulogic;
lpbase1000_t_hd : std_ulogic;
idlerrcnt : std_logic_vector(7 downto 0);
end record;
type extended_status_reg_type is record
base1000_x_fd : std_ulogic;
base1000_x_hd : std_ulogic;
base1000_t_fd : std_ulogic;
base1000_t_hd : std_ulogic;
end record;
type reg_type is record
state : mdio_state_type;
cnt : integer;
op : std_logic_vector(1 downto 0);
phyad : std_logic_vector(4 downto 0);
regad : std_logic_vector(4 downto 0);
wr : std_ulogic;
regtmp : std_logic_vector(15 downto 0);
-- MII management registers
ctrl : ctrl_reg_type;
status : status_reg_type;
anegadv : aneg_ab_type;
aneglp : aneg_ab_type;
anegexp : aneg_exp_type;
anegnptx : aneg_nextpage_type;
anegnplp : aneg_nextpage_type;
mstslvctrl : mst_slv_ctrl_type;
mstslvstat : mst_slv_status_type;
extstatus : extended_status_reg_type;
rstcnt : integer;
anegcnt : integer;
end record;
signal r, rin : reg_type;
signal int_clk : std_ulogic := '0';
signal clkslow : std_ulogic := '0';
signal rcnt : integer;
signal anegact : std_ulogic;
begin
--mdio signal pull-up
int_clk <= not int_clk after 10 ns when rmii = 1 else
not int_clk after 4 ns when r.ctrl.speedsel = "01" else
not int_clk after 20 ns when r.ctrl.speedsel = "10" else
not int_clk after 200 ns when r.ctrl.speedsel = "00";
clkslow <= not clkslow after 20 ns when r.ctrl.speedsel = "10" else
not clkslow after 200 ns;
-- rstdelay : process
-- begin
-- loop
-- rstd <= '0';
-- while r.ctrl.reset /= '1' loop
-- wait on r.ctrl.reset;
-- end loop;
-- rstd <= '1';
-- while rstn = '0' loop
-- wait on rstn;
-- end loop;
-- wait on rstn for 3 us;
-- rstd <= '0';
-- wait on rstn until r.ctrl.reset = '0' for 5 us;
-- end loop;
-- end process;
anegproc : process is
begin
loop
anegact <= '0';
while rstn /= '1' loop
wait on rstn;
end loop;
while rstn = '1' loop
if r.ctrl.anegen = '0' then
anegact <= '0';
wait on rstn, r.ctrl.anegen, r.ctrl.restartaneg;
else
if r.ctrl.restartaneg = '1' then
anegact <= '1';
wait on rstn, r.ctrl.restartaneg, r.ctrl.anegen for 2 us;
anegact <= '0';
wait on rstn, r.ctrl.anegen until r.ctrl.restartaneg = '0';
if (rstn and r.ctrl.anegen) = '1' then
wait on rstn, r.ctrl.anegen, r.ctrl.restartaneg;
end if;
else
anegact <= '0';
wait on rstn, r.ctrl.restartaneg, r.ctrl.anegen;
end if;
end if;
end loop;
end loop;
end process;
mdiocomb : process(rstn, r, anegact, mdio) is
variable v : reg_type;
begin
v := r;
if anegact = '0' then
v.ctrl.restartaneg := '0';
end if;
case r.state is
when idle =>
mdio <= 'Z';
if to_X01(mdio) = '1' then
v.cnt := v.cnt + 1;
if v.cnt = 31 then
v.state := start_of_frame; v.cnt := 0;
end if;
else
v.cnt := 0;
end if;
when start_of_frame =>
if to_X01(mdio) = '0' then
v.state := start_of_frame2;
elsif to_X01(mdio) /= '1' then
v.state := idle;
end if;
when start_of_frame2 =>
if to_X01(mdio) = '1' then
v.state := op;
else
v.state := idle;
end if;
when op =>
v.cnt := v.cnt + 1;
v.op := r.op(0) & to_X01(mdio);
if r.cnt = 1 then
if (v.op = "01") or (v.op = "10") then
v.state := phyad; v.cnt := 0;
else
v.state := idle; v.cnt := 0;
end if;
end if;
when phyad =>
v.phyad := r.phyad(3 downto 0) & to_X01(mdio);
v.cnt := v.cnt + 1;
if r.cnt = 4 then
v.state := regad; v.cnt := 0;
end if;
when regad =>
v.regad := r.regad(3 downto 0) & to_X01(mdio);
v.cnt := v.cnt + 1;
if r.cnt = 4 then
v.cnt := 0;
if conv_integer(r.phyad) = address then
v.state := ta;
else
v.state := idle;
end if;
end if;
when ta =>
v.cnt := r.cnt + 1;
if r.cnt = 0 then
if (r.op = "01") and to_X01(mdio) /= '1' then
v.cnt := 0; v.state := idle;
end if;
else
if r.op = "10" then
mdio <= '0'; v.cnt := 0; v.state := rdata;
case r.regad is
when "00000" => --ctrl (basic)
v.regtmp := r.ctrl.reset & r.ctrl.loopback &
r.ctrl.speedsel(1) & r.ctrl.anegen & r.ctrl.powerdown &
r.ctrl.isolate & r.ctrl.restartaneg & r.ctrl.duplexmode &
r.ctrl.coltest & r.ctrl.speedsel(0) & "000000";
when "00001" => --statuc (basic)
v.regtmp := r.status.base100_t4 & r.status.base100_x_fd &
r.status.base100_x_hd & r.status.fd_10 & r.status.hd_10 &
r.status.base100_t2_fd & r.status.base100_t2_hd &
r.status.extstat & '0' & r.status.mfpreamblesup &
r.status.anegcmpt & r.status.remfault & r.status.anegability &
r.status.linkstat & r.status.jabdetect & r.status.extcap;
when "00010" => --PHY ID (extended)
if extended_regs = 1 then
v.regtmp := X"BBCD";
else
v.cnt := 0; v.state := idle;
end if;
when "00011" => --PHY ID (extended)
if extended_regs = 1 then
v.regtmp := X"9C83";
else
v.cnt := 0; v.state := idle;
end if;
when "00100" => --Auto-neg adv. (extended)
if extended_regs = 1 then
v.regtmp := r.anegadv.next_page & '0' & r.anegadv.remote_fault &
r.anegadv.tech_ability & r.anegadv.selector;
else
v.cnt := 0; v.state := idle;
end if;
when "00101" => --Auto-neg link partner ability (extended)
if extended_regs = 1 then
v.regtmp := r.aneglp.next_page & '0' & r.aneglp.remote_fault &
r.aneglp.tech_ability & r.aneglp.selector;
else
v.cnt := 0; v.state := idle;
end if;
when "00110" => --Auto-neg expansion (extended)
if extended_regs = 1 then
v.regtmp := "00000000000" & r.anegexp.par_detct_flt &
r.anegexp.lp_np_able & r.anegexp.np_able & r.anegexp.page_rx &
r.anegexp.lp_aneg_able;
else
v.cnt := 0; v.state := idle;
end if;
when "00111" => --Auto-neg next page (extended)
if extended_regs = 1 then
v.regtmp := r.anegnptx.next_page & '0' & r.anegnptx.message_page &
r.anegnptx.ack2 & r.anegnptx.toggle & r.anegnptx.message;
else
v.cnt := 0; v.state := idle;
end if;
when "01000" => --Auto-neg link partner received next page (extended)
if extended_regs = 1 then
v.regtmp := r.anegnplp.next_page & '0' & r.anegnplp.message_page &
r.anegnplp.ack2 & r.anegnplp.toggle & r.anegnplp.message;
else
v.cnt := 0; v.state := idle;
end if;
when "01001" => --Master-slave control (extended)
if extended_regs = 1 then
v.regtmp := r.mstslvctrl.tmode & r.mstslvctrl.manualcfgen &
r.mstslvctrl.cfgval & r.mstslvctrl.porttype &
r.mstslvctrl.base1000_t_fd & r.mstslvctrl.base1000_t_hd &
"00000000";
else
v.cnt := 0; v.state := idle;
end if;
when "01010" => --Master-slave status (extended)
if extended_regs = 1 then
v.regtmp := r.mstslvstat.cfgfault & r.mstslvstat.cfgres &
r.mstslvstat.locrxstate & r.mstslvstat.remrxstate &
r.mstslvstat.lpbase1000_t_fd & r.mstslvstat.lpbase1000_t_hd &
"00" & r.mstslvstat.idlerrcnt;
else
v.cnt := 0; v.state := idle;
end if;
when "01111" =>
if (base1000_x_fd = 1) or (base1000_x_hd = 1) or
(base1000_t_fd = 1) or (base1000_t_hd = 1) then
v.regtmp := r.extstatus.base1000_x_fd &
r.extstatus.base1000_x_hd &
r.extstatus.base1000_t_fd &
r.extstatus.base1000_t_hd & X"000";
else
v.regtmp := (others => '0');
end if;
when others =>
--PHY shall not drive MDIO when unimplemented registers
--are accessed
v.cnt := 0; v.state := idle;
v.regtmp := (others => '0');
end case;
if r.ctrl.reset = '1' then
if r.regad = "00000" then
v.regtmp := X"8000";
else
v.regtmp := X"0000";
end if;
end if;
else
if to_X01(mdio) /= '0'then
v.cnt := 0; v.state := idle;
else
v.cnt := 0; v.state := wdata;
end if;
end if;
end if;
when rdata =>
v.cnt := r.cnt + 1;
mdio <= r.regtmp(15-r.cnt);
if r.cnt = 15 then
v.state := idle; v.cnt := 0;
end if;
when wdata =>
v.cnt := r.cnt + 1;
v.regtmp := r.regtmp(14 downto 0) & to_X01(mdio);
if r.cnt = 15 then
v.state := idle; v.cnt := 0;
if r.ctrl.reset = '0' then
case r.regad is
when "00000" =>
v.ctrl.reset := v.regtmp(15);
v.ctrl.loopback := v.regtmp(14);
v.ctrl.speedsel(1) := v.regtmp(13);
v.ctrl.anegen := v.regtmp(12);
v.ctrl.powerdown := v.regtmp(11);
v.ctrl.isolate := v.regtmp(10);
v.ctrl.restartaneg := v.regtmp(9);
v.ctrl.duplexmode := v.regtmp(8);
v.ctrl.coltest := v.regtmp(7);
v.ctrl.speedsel(0) := v.regtmp(6);
when "00100" =>
if extended_regs = 1 then
v.anegadv.remote_fault := r.regtmp(13);
v.anegadv.tech_ability := r.regtmp(12 downto 5);
v.anegadv.selector := r.regtmp(4 downto 0);
end if;
when "00111" =>
if extended_regs = 1 then
v.anegnptx.next_page := r.regtmp(15);
v.anegnptx.message_page := r.regtmp(13);
v.anegnptx.ack2 := r.regtmp(12);
v.anegnptx.message := r.regtmp(10 downto 0);
end if;
when "01001" =>
if extended_regs = 1 then
v.mstslvctrl.tmode := r.regtmp(15 downto 13);
v.mstslvctrl.manualcfgen := r.regtmp(12);
v.mstslvctrl.cfgval := r.regtmp(11);
v.mstslvctrl.porttype := r.regtmp(10);
v.mstslvctrl.base1000_t_fd := r.regtmp(9);
v.mstslvctrl.base1000_t_hd := r.regtmp(8);
end if;
when others => --no writable bits for other regs
null;
end case;
end if;
end if;
when others =>
null;
end case;
if r.rstcnt > 19 then
v.ctrl.reset := '0'; v.rstcnt := 0;
else
v.rstcnt := r.rstcnt + 1;
end if;
if (v.ctrl.reset and not r.ctrl.reset) = '1' then
v.rstcnt := 0;
end if;
if r.ctrl.anegen = '1' then
if r.anegcnt < 10 then
v.anegcnt := r.anegcnt + 1;
else
v.status.anegcmpt := '1';
if (base1000_x_fd = 1) or (base1000_x_hd = 1) or
(r.mstslvctrl.base1000_t_fd = '1') or
(r.mstslvctrl.base1000_t_hd = '1') then
v.ctrl.speedsel(1 downto 0) := "01";
elsif (r.anegadv.tech_ability(4) = '1') or
(r.anegadv.tech_ability(3) = '1') or
(r.anegadv.tech_ability(2) = '1') or
(base100_t2_fd = 1) or (base100_t2_hd = 1) then
v.ctrl.speedsel(1 downto 0) := "10";
else
v.ctrl.speedsel(1 downto 0) := "00";
end if;
if ((base1000_x_fd = 1) or (r.mstslvctrl.base1000_t_fd = '1')) or
(((base100_t2_fd = 1) or (r.anegadv.tech_ability(3) = '1')) and
(r.mstslvctrl.base1000_t_hd = '0') and (base1000_x_hd = 0)) or
((r.anegadv.tech_ability(1) = '1') and (base100_t2_hd = 0) and
(r.anegadv.tech_ability(4) = '0') and
(r.anegadv.tech_ability(2) = '0')) then
v.ctrl.duplexmode := '1';
else
v.ctrl.duplexmode := '0';
end if;
end if;
end if;
if r.ctrl.restartaneg = '1' then
v.anegcnt := 0;
v.status.anegcmpt := '0';
v.ctrl.restartaneg := '0';
end if;
rin <= v;
end process;
reg : process(rstn, mdc) is
begin
if rising_edge(mdc) then
r <= rin;
end if;
-- -- RESET DELAY
-- if rstd = '1' then
-- r.ctrl.reset <= '1';
-- else
-- r.ctrl.reset <= '0';
-- end if;
-- RESET
if (r.ctrl.reset or not rstn) = '1' then
r.ctrl.loopback <= '1'; r.anegcnt <= 0;
if (base1000_x_hd = 1) or (base1000_x_fd = 1) or (base1000_t_hd = 1) or
(base1000_t_fd = 1) then
r.ctrl.speedsel <= "01";
elsif (base100_x_hd = 1) or (base100_t2_hd = 1) or (base100_x_fd = 1) or
(base100_t2_fd = 1) or (base100_t4 = 1) then
r.ctrl.speedsel <= "10";
else
r.ctrl.speedsel <= "00";
end if;
r.ctrl.anegen <= conv_std_logic(aneg = 1);
r.ctrl.powerdown <= '0';
r.ctrl.isolate <= '0';
r.ctrl.restartaneg <= '0';
if (base100_x_hd = 0) and (hd_10 = 0) and (base100_t2_hd = 0) and
(base1000_x_hd = 0) and (base1000_t_hd = 0) then
r.ctrl.duplexmode <= '1';
else
r.ctrl.duplexmode <= '0';
end if;
r.ctrl.coltest <= '0';
r.status.base100_t4 <= conv_std_logic(base100_t4 = 1);
r.status.base100_x_fd <= conv_std_logic(base100_x_fd = 1);
r.status.base100_x_hd <= conv_std_logic(base100_x_hd = 1);
r.status.fd_10 <= conv_std_logic(fd_10 = 1);
r.status.hd_10 <= conv_std_logic(hd_10 = 1);
r.status.base100_t2_fd <= conv_std_logic(base100_t2_fd = 1);
r.status.base100_t2_hd <= conv_std_logic(base100_t2_hd = 1);
r.status.extstat <= conv_std_logic((base1000_x_fd = 1) or
(base1000_x_hd = 1) or
(base1000_t_fd = 1) or
(base1000_t_hd = 1));
r.status.mfpreamblesup <= '0';
r.status.anegcmpt <= '0';
r.status.remfault <= '0';
r.status.anegability <= conv_std_logic(aneg = 1);
r.status.linkstat <= '0';
r.status.jabdetect <= '0';
r.status.extcap <= conv_std_logic(extended_regs = 1);
r.anegadv.next_page <= '0';
r.anegadv.remote_fault <= '0';
r.anegadv.tech_ability <= "000" & conv_std_logic(base100_t4 = 1) &
conv_std_logic(base100_x_fd = 1) & conv_std_logic(base100_x_hd = 1) &
conv_std_logic(fd_10 = 1) & conv_std_logic(hd_10 = 1);
r.anegadv.selector <= "00001";
r.aneglp.next_page <= '0';
r.aneglp.remote_fault <= '0';
r.aneglp.tech_ability <= "000" & conv_std_logic(base100_t4 = 1) &
conv_std_logic(base100_x_fd = 1) & conv_std_logic(base100_x_hd = 1) &
conv_std_logic(fd_10 = 1) & conv_std_logic(hd_10 = 1);
r.aneglp.selector <= "00001";
r.anegexp.par_detct_flt <= '0';
r.anegexp.lp_np_able <= '0';
r.anegexp.np_able <= '0';
r.anegexp.page_rx <= '0';
r.anegexp.lp_aneg_able <= '0';
r.anegnptx.next_page <= '0';
r.anegnptx.message_page <= '1';
r.anegnptx.ack2 <= '0';
r.anegnptx.toggle <= '0';
r.anegnptx.message <= "00000000001";
r.anegnplp.next_page <= '0';
r.anegnplp.message_page <= '1';
r.anegnplp.ack2 <= '0';
r.anegnplp.toggle <= '0';
r.anegnplp.message <= "00000000001";
r.mstslvctrl.tmode <= (others => '0');
r.mstslvctrl.manualcfgen <= '0';
r.mstslvctrl.cfgval <= '0';
r.mstslvctrl.porttype <= '0';
r.mstslvctrl.base1000_t_fd <= conv_std_logic(base1000_t_fd = 1);
r.mstslvctrl.base1000_t_hd <= conv_std_logic(base1000_t_fd = 1);
r.mstslvstat.cfgfault <= '0';
r.mstslvstat.cfgres <= '1';
r.mstslvstat.locrxstate <= '1';
r.mstslvstat.remrxstate <= '1';
r.mstslvstat.lpbase1000_t_fd <= conv_std_logic(base1000_t_fd = 1);
r.mstslvstat.lpbase1000_t_hd <= conv_std_logic(base1000_t_fd = 1);
r.mstslvstat.idlerrcnt <= (others => '0');
r.extstatus.base1000_x_fd <= conv_std_logic(base1000_x_fd = 1);
r.extstatus.base1000_x_hd <= conv_std_logic(base1000_x_hd = 1);
r.extstatus.base1000_t_fd <= conv_std_logic(base1000_t_fd = 1);
r.extstatus.base1000_t_hd <= conv_std_logic(base1000_t_hd = 1);
end if;
if rstn = '0' then
r.cnt <= 0; r.state <= idle; r.rstcnt <= 0;
r.ctrl.reset <= '1';
end if;
end process;
loopback_sel : process(r.ctrl.loopback, int_clk, gtx_clk, r.ctrl.speedsel, txd, tx_en) is
begin
if r.ctrl.loopback = '1' then
if rmii = 0 then
rx_col <= '0'; rx_crs <= tx_en; rx_dv <= tx_en; rx_er <= tx_er;
rxd <= txd;
if r.ctrl.speedsel /= "01" then
rx_clk <= int_clk; tx_clk <= int_clk;
else
rx_clk <= gtx_clk; tx_clk <= clkslow;
end if;
else
rx_dv <= '1'; rx_er <= '1'; --unused should not affect anything
rx_col <= '0'; rx_crs <= tx_en;
if tx_en = '0' then
rxd(1 downto 0) <= "00";
else
rxd(1 downto 0) <= txd(1 downto 0);
end if;
if rgmii = 1 then
if (gtx_clk = '1' and tx_en = '0') then
rxd(3 downto 0) <= r.ctrl.duplexmode & r.ctrl.speedsel & r.status.linkstat;
end if;
end if;
rx_clk <= '0'; tx_clk <= '0';
end if;
else
rx_col <= '0'; rx_crs <= '0'; rx_dv <= '0'; rx_er <= '0';
rxd <= (others => '0');
if rgmii = 1 then
if (gtx_clk = '1') then
rxd(3 downto 0) <= r.ctrl.duplexmode & r.ctrl.speedsel & r.status.linkstat;
end if;
end if;
if rmii = 0 then
if r.ctrl.speedsel /= "01" then
rx_clk <= int_clk; tx_clk <= int_clk after 3 ns;
else
rx_clk <= gtx_clk; tx_clk <= clkslow;
end if;
else
rx_clk <= int_clk; tx_clk <= int_clk after 3 ns;
end if;
end if;
end process;
end;
-- pragma translate_on
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/sim/sram16.vhd | 1 | 2363 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sram16
-- File: sram16.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: Simulation model of generic 16-bit async SRAM
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
library gaisler;
use gaisler.sim.all;
library grlib;
use grlib.stdlib.all;
entity sram16 is
generic (
index : integer := 0; -- Byte lane (0 - 3)
abits: Positive := 10; -- Default 10 address bits (1 Kbyte)
echk : integer := 0; -- Generate EDAC checksum
tacc : integer := 10; -- access time (ns)
fname : string := "ram.dat"; -- File to read from
clear : integer := 0); -- clear memory
port (
a : in std_logic_vector(abits-1 downto 0);
d : inout std_logic_vector(15 downto 0);
lb : in std_logic;
ub : in std_logic;
ce : in std_logic;
we : in std_ulogic;
oe : in std_ulogic);
end;
architecture sim of sram16 is
signal cex : std_logic_vector(0 to 1);
begin
cex(0) <= ce or lb; cex(1) <= ce or ub;
sr0 : sram generic map (index+1, abits, tacc, fname, clear)
port map (a, d(7 downto 0), cex(0), we, oe);
sr1 : sram generic map (index, abits, tacc, fname, clear)
port map (a, d(15 downto 8), cex(1), we, oe);
end sim;
-- pragma translate_on
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/spw/wrapper/grspw_gen.vhd | 1 | 11111 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: grspw_gen
-- File: grspw_gen.vhd
-- Author: Marko Isomaki - Gaisler Research
-- Description: Generic GRSPW core
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
library spw;
use spw.spwcomp.all;
entity grspw_gen is
generic(
tech : integer := 0;
sysfreq : integer := 10000;
usegen : integer range 0 to 1 := 1;
nsync : integer range 1 to 2 := 1;
rmap : integer range 0 to 2 := 0;
rmapcrc : integer range 0 to 1 := 0;
fifosize1 : integer range 4 to 32 := 32;
fifosize2 : integer range 16 to 64 := 64;
rxclkbuftype : integer range 0 to 2 := 0;
rxunaligned : integer range 0 to 1 := 0;
rmapbufs : integer range 2 to 8 := 4;
ft : integer range 0 to 2 := 0;
scantest : integer range 0 to 1 := 0;
techfifo : integer range 0 to 1 := 1;
ports : integer range 1 to 2 := 1;
memtech : integer := 0;
nodeaddr : integer range 0 to 255 := 254;
destkey : integer range 0 to 255 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
txclk : in std_ulogic;
rxclk : in std_logic_vector(1 downto 0);
--ahb mst in
hgrant : in std_ulogic;
hready : in std_ulogic;
hresp : in std_logic_vector(1 downto 0);
hrdata : in std_logic_vector(31 downto 0);
--ahb mst out
hbusreq : out std_ulogic;
hlock : out std_ulogic;
htrans : out std_logic_vector(1 downto 0);
haddr : out std_logic_vector(31 downto 0);
hwrite : out std_ulogic;
hsize : out std_logic_vector(2 downto 0);
hburst : out std_logic_vector(2 downto 0);
hprot : out std_logic_vector(3 downto 0);
hwdata : out std_logic_vector(31 downto 0);
--apb slv in
psel : in std_ulogic;
penable : in std_ulogic;
paddr : in std_logic_vector(31 downto 0);
pwrite : in std_ulogic;
pwdata : in std_logic_vector(31 downto 0);
--apb slv out
prdata : out std_logic_vector(31 downto 0);
--spw in
d : in std_logic_vector(1 downto 0);
nd : in std_logic_vector(9 downto 0);
dconnect : in std_logic_vector(3 downto 0);
--spw out
do : out std_logic_vector(1 downto 0);
so : out std_logic_vector(1 downto 0);
rxrsto : out std_ulogic;
--time iface
tickin : in std_ulogic;
tickout : out std_ulogic;
--irq
irq : out std_logic;
--misc
clkdiv10 : in std_logic_vector(7 downto 0);
dcrstval : in std_logic_vector(9 downto 0);
timerrstval : in std_logic_vector(11 downto 0);
--rmapen
rmapen : in std_ulogic;
rmapnodeaddr : in std_logic_vector(7 downto 0);
linkdis : out std_ulogic;
testclk : in std_ulogic := '0';
testrst : in std_ulogic := '0';
testen : in std_ulogic := '0'
);
end entity;
architecture rtl of grspw_gen is
constant fabits1 : integer := log2(fifosize1);
constant fabits2 : integer := log2(fifosize2);
constant rfifo : integer := 5 + log2(rmapbufs);
--rx ahb fifo
signal rxrenable : std_ulogic;
signal rxraddress : std_logic_vector(4 downto 0);
signal rxwrite : std_ulogic;
signal rxwdata : std_logic_vector(31 downto 0);
signal rxwaddress : std_logic_vector(4 downto 0);
signal rxrdata : std_logic_vector(31 downto 0);
--tx ahb fifo
signal txrenable : std_ulogic;
signal txraddress : std_logic_vector(4 downto 0);
signal txwrite : std_ulogic;
signal txwdata : std_logic_vector(31 downto 0);
signal txwaddress : std_logic_vector(4 downto 0);
signal txrdata : std_logic_vector(31 downto 0);
--nchar fifo
signal ncrenable : std_ulogic;
signal ncraddress : std_logic_vector(5 downto 0);
signal ncwrite : std_ulogic;
signal ncwdata : std_logic_vector(8 downto 0);
signal ncwaddress : std_logic_vector(5 downto 0);
signal ncrdata : std_logic_vector(8 downto 0);
--rmap buf
signal rmrenable : std_ulogic;
signal rmrenablex : std_ulogic;
signal rmraddress : std_logic_vector(7 downto 0);
signal rmwrite : std_ulogic;
signal rmwdata : std_logic_vector(7 downto 0);
signal rmwaddress : std_logic_vector(7 downto 0);
signal rmrdata : std_logic_vector(7 downto 0);
attribute syn_netlist_hierarchy : boolean;
attribute syn_netlist_hierarchy of rtl : architecture is false;
begin
grspwc0 : grspwc
generic map(
sysfreq => sysfreq,
usegen => usegen,
nsync => nsync,
rmap => rmap,
rmapcrc => rmapcrc,
fifosize1 => fifosize1,
fifosize2 => fifosize2,
rxunaligned => rxunaligned,
rmapbufs => rmapbufs,
scantest => scantest,
ports => ports,
tech => tech,
nodeaddr => nodeaddr,
destkey => destkey)
port map(
rst => rst,
clk => clk,
txclk => txclk,
--ahb mst in
hgrant => hgrant,
hready => hready,
hresp => hresp,
hrdata => hrdata,
--ahb mst out
hbusreq => hbusreq,
hlock => hlock,
htrans => htrans,
haddr => haddr,
hwrite => hwrite,
hsize => hsize,
hburst => hburst,
hprot => hprot,
hwdata => hwdata,
--apb slv in
psel => psel,
penable => penable,
paddr => paddr,
pwrite => pwrite,
pwdata => pwdata,
--apb slv out
prdata => prdata,
--spw in
d => d,
nd => nd,
dconnect => dconnect,
--spw out
do => do,
so => so,
rxrsto => rxrsto,
--time iface
tickin => tickin,
tickout => tickout,
--clk bufs
rxclki => rxclk,
--irq
irq => irq,
--misc
clkdiv10 => clkdiv10,
dcrstval => dcrstval,
timerrstval => timerrstval,
--rmapen
rmapen => rmapen,
rmapnodeaddr => rmapnodeaddr,
--rx ahb fifo
rxrenable => rxrenable,
rxraddress => rxraddress,
rxwrite => rxwrite,
rxwdata => rxwdata,
rxwaddress => rxwaddress,
rxrdata => rxrdata,
--tx ahb fifo
txrenable => txrenable,
txraddress => txraddress,
txwrite => txwrite,
txwdata => txwdata,
txwaddress => txwaddress,
txrdata => txrdata,
--nchar fifo
ncrenable => ncrenable,
ncraddress => ncraddress,
ncwrite => ncwrite,
ncwdata => ncwdata,
ncwaddress => ncwaddress,
ncrdata => ncrdata,
--rmap buf
rmrenable => rmrenable,
rmraddress => rmraddress,
rmwrite => rmwrite,
rmwdata => rmwdata,
rmwaddress => rmwaddress,
rmrdata => rmrdata,
linkdis => linkdis,
testclk => clk,
testrst => testrst,
testen => testen
);
ntst: if scantest = 0 generate
rmrenablex <= rmrenable;
end generate;
tst: if scantest = 1 generate
rmrenablex <= rmrenable and not testen;
end generate;
------------------------------------------------------------------------------
-- FIFOS ---------------------------------------------------------------------
------------------------------------------------------------------------------
nft : if ft = 0 generate
--receiver AHB FIFO
rx_ram0 : syncram_2p generic map(memtech*techfifo, fabits1, 32)
port map(clk, rxrenable, rxraddress(fabits1-1 downto 0),
rxrdata, clk, rxwrite,
rxwaddress(fabits1-1 downto 0), rxwdata);
--receiver nchar FIFO
rx_ram1 : syncram_2p generic map(memtech*techfifo, fabits2, 9)
port map(clk, ncrenable, ncraddress(fabits2-1 downto 0),
ncrdata, clk, ncwrite,
ncwaddress(fabits2-1 downto 0), ncwdata);
--transmitter FIFO
tx_ram0 : syncram_2p generic map(memtech*techfifo, fabits1, 32)
port map(clk, txrenable, txraddress(fabits1-1 downto 0),
txrdata, clk, txwrite, txwaddress(fabits1-1 downto 0), txwdata);
--RMAP Buffer
rmap_ram : if (rmap /= 0) generate
ram0 : syncram_2p generic map(memtech, rfifo, 8)
port map(clk, rmrenablex, rmraddress(rfifo-1 downto 0),
rmrdata, clk, rmwrite, rmwaddress(rfifo-1 downto 0),
rmwdata);
end generate;
end generate;
ft1 : if ft /= 0 generate
--receiver AHB FIFO
rx_ram0 : syncram_2pft generic map(memtech*techfifo, fabits1, 32, 0, 0, ft*techfifo)
port map(clk, rxrenable, rxraddress(fabits1-1 downto 0),
rxrdata, clk, rxwrite,
rxwaddress(fabits1-1 downto 0), rxwdata);
--receiver nchar FIFO
rx_ram1 : syncram_2pft generic map(memtech*techfifo, fabits2, 9, 0, 0, 2*techfifo)
port map(clk, ncrenable, ncraddress(fabits2-1 downto 0),
ncrdata, clk, ncwrite,
ncwaddress(fabits2-1 downto 0), ncwdata);
--transmitter FIFO
tx_ram0 : syncram_2pft generic map(memtech*techfifo, fabits1, 32, 0, 0, ft*techfifo)
port map(clk, txrenable, txraddress(fabits1-1 downto 0),
txrdata, clk, txwrite, txwaddress(fabits1-1 downto 0), txwdata);
--RMAP Buffer
rmap_ram : if (rmap /= 0) generate
ram0 : syncram_2pft generic map(memtech, rfifo, 8, 0, 0, 2)
port map(clk, rmrenablex, rmraddress(rfifo-1 downto 0),
rmrdata, clk, rmwrite, rmwaddress(rfifo-1 downto 0),
rmwdata);
end generate;
end generate;
end architecture;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-terasic-de4/grlib_config.vhd | 2 | 2861 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Package: config
-- File: config.vhd
-- Description: GRLIB Global configuration package. Can be overriden
-- by local config packages in template designs.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
package config is
-- AHBDW - AHB data with
--
-- Valid values are 32, 64, 128 and 256
--
-- The value here sets the width of the AMBA AHB data vectors for all
-- cores in the library.
--
constant CFG_AHBDW : integer := 32;
-- CFG_AHB_ACDM - Enable AMBA Compliant Data Muxing in cores
--
-- Valid values are 0 and 1
--
-- 0: All GRLIB cores that use the ahbread* programs defined in the AMBA package
-- will read their data from the low part of the AHB data vector.
--
-- 1: All GRLIB cores that use the ahbread* programs defined in the AMBA package
-- will select valid data, as defined in the AMBA AHB standard, from the
-- AHB data vectors based on the address input. If a core uses a function
-- that does not have the address input, a failure will be asserted.
--
-- The value of CFG_AHB_ACDM is assigned to the constant CORE_ACDM in the
-- grlib.amba package. Note that this setting is separate from the ACDM setting
-- of the AHBCTRL core (which is set directly via a AHBCTRL VHDL generic).
--
constant CFG_AHB_ACDM : integer := 0;
-- GRLIB_CONFIG_ARRAY - Array of configuration values
--
-- The length of this array and the meaning of different positions is defined
-- in the grlib.config_types package.
constant GRLIB_CONFIG_ARRAY : grlib_config_array_type := (
grlib_debug_level => 0,
grlib_debug_mask => 0,
grlib_techmap_strict_ram => 0,
grlib_techmap_testin_extra => 0,
grlib_sync_reset_enable_all => 0,
grlib_async_reset_enable => 0,
others => 0);
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/jtag/ahbjtag.vhd | 1 | 6275 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ahbjtag
-- File: ahbjtag.vhd
-- Author: Edvin Catovic, Jiri Gaisler - Gaisler Research
-- Description: JTAG communication link with AHB master interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.misc.all;
use gaisler.libjtagcom.all;
use gaisler.jtag.all;
entity ahbjtag is
generic (
tech : integer range 0 to NTECH := 0;
hindex : integer := 0;
nsync : integer range 1 to 2 := 1;
idcode : integer range 0 to 255 := 9;
manf : integer range 0 to 2047 := 804;
part : integer range 0 to 65535 := 0;
ver : integer range 0 to 15 := 0;
ainst : integer range 0 to 255 := 2;
dinst : integer range 0 to 255 := 3;
scantest : integer := 0;
oepol : integer := 1;
tcknen : integer := 0;
versel : integer range 0 to 1 := 1);
port (
rst : in std_ulogic;
clk : in std_ulogic;
tck : in std_ulogic;
tms : in std_ulogic;
tdi : in std_ulogic;
tdo : out std_ulogic;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
tapo_tck : out std_ulogic;
tapo_tdi : out std_ulogic;
tapo_inst : out std_logic_vector(7 downto 0);
tapo_rst : out std_ulogic;
tapo_capt : out std_ulogic;
tapo_shft : out std_ulogic;
tapo_upd : out std_ulogic;
tapi_tdo : in std_ulogic;
trst : in std_ulogic := '1';
tdoen : out std_ulogic;
tckn : in std_ulogic := '0';
tapo_tckn : out std_ulogic;
tapo_ninst : out std_logic_vector(7 downto 0);
tapo_iupd : out std_ulogic
);
end;
architecture struct of ahbjtag is
-- Use old jtagcom that only supports AHB clock up to 1/3 of JTAG clock
-- Must be used for certain techs where we don't have full access to TCK
-- Can also be forced by setting versel generic to 0
constant USEOLDCOM : integer := 1 - (1-tap_tck_gated(tech))*(versel);
-- Set REREAD to 1 to include support for re-read operation when host reads
-- out data register before jtagcom has completed the current AMBA access and
-- returned to state 'shft'.
constant REREAD : integer := 1;
constant REVISION : integer := 2 - (2-REREAD)*USEOLDCOM;
constant TAPSEL : integer := has_tapsel(tech);
signal dmai : ahb_dma_in_type;
signal dmao : ahb_dma_out_type;
signal ltapi : tap_in_type;
signal ltapo : tap_out_type;
signal lltck, lltckn, ltck, ltckn: std_ulogic;
signal lupd: std_ulogic;
signal ctrst: std_ulogic;
begin
ahbmst0 : ahbmst
generic map (hindex => hindex, venid => VENDOR_GAISLER,
devid => GAISLER_AHBJTAG, version => REVISION)
port map (rst, clk, dmai, dmao, ahbi, ahbo);
tap0 : tap generic map (tech => tech, irlen => 6, idcode => idcode,
manf => manf, part => part, ver => ver, scantest => scantest, oepol => oepol,
tcknen => tcknen)
port map (trst, tck, tms, tdi, tdo, lltck, ltapo.tdi, ltapo.inst, ltapo.reset, ltapo.capt,
ltapo.shift, lupd, ltapo.asel, ltapo.dsel, ltapi.en, ltapi.tdo, tapi_tdo,
tapo_ninst, tapo_iupd, lltckn,
ahbi.testen, ahbi.testrst, ahbi.testoen, tdoen, tckn);
ltapo.tck <= ltck;
tapo_tckn <= ltckn;
gtckbuf : if (USEOLDCOM=0 and is_fpga(tech)/=0) generate
tckbuf: techbuf
generic map (buftype => 2, tech => tech)
port map (lltck, ltck);
ltckn <= not ltck;
end generate;
notckbuf: if not (USEOLDCOM=0 and is_fpga(tech)/=0) generate
ltck <= lltck;
ltckn <= lltckn;
end generate;
-- Quirk for Xilinx TAP - upd changes on falling TCK edge and
-- the flow doesn't maintain synchrony with user falling TCK edge logic.
gupdff : if (USEOLDCOM=0 and is_unisim(tech)/=0) generate
updff: grdff port map (ltck, lupd, ltapo.upd);
end generate;
noupdff: if not (USEOLDCOM=0 and is_unisim(tech)/=0) generate
ltapo.upd <= lupd;
end generate;
oldcom: if USEOLDCOM /= 0 generate
jtagcom0 : jtagcom generic map (isel => TAPSEL, nsync => nsync, ainst => ainst, dinst => dinst, reread => REREAD)
port map (rst, clk, ltapo, ltapi, dmao, dmai, ltck, ctrst);
end generate;
newcom: if USEOLDCOM=0 generate
jtagcom0 : jtagcom2 generic map (gatetech => tech, isel => TAPSEL, ainst => ainst, dinst => dinst)
port map (rst, clk, ltapo, ltapi, dmao, dmai, ltck, ltckn, ctrst);
end generate;
tapo_tck <= ltck; tapo_tdi <= ltapo.tdi; tapo_inst <= ltapo.inst;
tapo_rst <= ltapo.reset; tapo_capt <= ltapo.capt; tapo_shft <= ltapo.shift;
tapo_upd <= ltapo.upd;
-- Async reset for tck-domain FFs in jtagcom.
-- In FPGA configs use AMBA reset as real TRST may not be available.
-- For ASIC:s we want to use the real TRST to simplify constraining.
ctrst <= ahbi.testrst when scantest/=0 and ahbi.testen='1' else
rst when is_fpga(tech)/=0 else
trst;
-- pragma translate_off
bootmsg : report_version
generic map ("ahbjtag AHB Debug JTAG rev " & tost(REVISION));
-- pragma translate_on
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-sp605/svga2ch7301c.vhd | 2 | 6789 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: svga2ch7301c
-- File: svga2ch7301c.vhd
-- Author: Jan Andersson - Aeroflex Gaisler AB
-- [email protected]
--
-- Description: Converter inteneded to connect a SVGACTRL core to a Chrontel
-- CH7301C DVI transmitter. Multiplexes data and generates clocks.
-- Tailored for use on the Xilinx ML50x boards with Leon3/GRLIB
-- template designs.
--
-- This multiplexer has been developed for use with the Chrontel CH7301C DVI
-- transmitter. Supported multiplexed formats are, as in the CH7301 datasheet:
--
-- IDF Description
-- 0 12-bit multiplexed RGB input (24-bit color), (scheme 1)
-- 1 12-bit multiplexed RGB2 input (24-bit color), (scheme 2)
-- 2 8-bit multiplexed RGB input (16-bit color, 565)
-- 3 8-bit multiplexed RGB input (15-bit color, 555)
--
-- This core assumes a 100 MHz input clock on the 'clk' input.
--
-- If the generic 'dynamic' is non-zero the core uses the value vgao.bitdepth
-- to decide if multiplexing should be done according to IDF 0 or IDF 2.
-- vago.bitdepth = "11" gives IDF 0, others give IDF2.
-- The 'idf' generic is not used when the 'dynamic' generic is non-zero.
-- Note that if dynamic selection is enabled you will need to reconfigure
-- the DVI transmitter when the VGA core changes bit depth.
--
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.misc.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity svga2ch7301c is
generic (
tech : integer := 0;
idf : integer := 0;
dynamic : integer := 0
);
port (
clk : in std_ulogic;
vgao : in apbvga_out_type;
vgaclk : in std_ulogic;
dclk_p : out std_ulogic;
dclk_n : out std_ulogic;
data : out std_logic_vector(11 downto 0);
hsync : out std_ulogic;
vsync : out std_ulogic;
de : out std_ulogic
);
end svga2ch7301c;
architecture rtl of svga2ch7301c is
component BUFG port (O : out std_logic; I : in std_logic); end component;
component BUFGMUX port ( O : out std_ulogic; I0 : in std_ulogic;
I1 : in std_ulogic; S : in std_ulogic);
end component;
signal nvgaclk : std_ulogic;
signal vcc, gnd : std_logic;
signal d0, d1 : std_logic_vector(11 downto 0);
signal red, green, blue : std_logic_vector(7 downto 0);
signal lvgaclk, lclk40, lclk65, lclk40_65 : std_ulogic;
signal clkval : std_logic_vector(1 downto 0);
begin -- rtl
vcc <= '1'; gnd <= '0';
-----------------------------------------------------------------------------
-- RGB data multiplexer
-----------------------------------------------------------------------------
red <= vgao.video_out_r;
green <= vgao.video_out_g;
blue <= vgao.video_out_b;
static: if dynamic = 0 generate
idf0: if (idf = 0) generate
d0 <= green(3 downto 0) & blue(7 downto 0);
d1 <= red(7 downto 0) & green(7 downto 4);
end generate;
idf1: if (idf = 1) generate
d0 <= green(4 downto 2) & blue(7 downto 3) & green(0) & blue(2 downto 0);
d1 <= red(7 downto 3) & green(7 downto 5) & red(2 downto 0) & green(1);
end generate;
idf2: if (idf = 2) generate
d0(11 downto 4) <= green(4 downto 2) & blue(7 downto 3);
d0(3 downto 0) <= (others => '0');
d1(11 downto 4) <= red(7 downto 3) & green(7 downto 5);
d1(3 downto 0) <= (others => '0');
data(3 downto 0) <= (others => '0');
end generate;
idf3: if (idf = 3) generate
d0(11 downto 4) <= green(5 downto 3) & blue(7 downto 3);
d0(3 downto 0) <= (others => '0');
d1(11 downto 4) <= '0' & red(7 downto 3) & green(7 downto 6);
d1(3 downto 0) <= (others => '0');
data(3 downto 0) <= (others => '0');
end generate idf3;
-- DDR regs
dataregs: for i in 11 downto (4*(idf/2)) generate
ddr_oreg0 : ddr_oreg generic map (tech)
port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => d0(i), d2 => d1(i), r => gnd, s => gnd);
end generate;
end generate;
nvgaclk <= not vgaclk;
nostatic: if dynamic /= 0 generate
d0 <= green(3 downto 0) & blue(7 downto 0) when vgao.bitdepth = "11" else
green(4 downto 2) & blue(7 downto 3) & "0000";
d1 <= red(7 downto 0) & green(7 downto 4) when vgao.bitdepth = "11" else
red(7 downto 3) & green(7 downto 5) & "0000";
dataregs: for i in 11 downto 0 generate
ddr_oreg0 : ddr_oreg generic map (tech)
port map (q => data(i), c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => d0(i), d2 => d1(i), r => gnd, s => gnd);
end generate;
end generate;
-----------------------------------------------------------------------------
-- Sync signals
-----------------------------------------------------------------------------
process (vgaclk)
begin -- process
if rising_edge(vgaclk) then
hsync <= vgao.hsync;
vsync <= vgao.vsync;
de <= vgao.blank;
end if;
end process;
-----------------------------------------------------------------------------
-- Clock generation
-----------------------------------------------------------------------------
ddroreg_p : ddr_oreg generic map (tech)
port map (q => dclk_p, c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => vcc, d2 => gnd, r => gnd, s => gnd);
ddroreg_n : ddr_oreg generic map (tech)
port map (q => dclk_n, c1 => vgaclk, c2 => nvgaclk, ce => vcc,
d1 => gnd, d2 => vcc, r => gnd, s => gnd);
end rtl;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/i2c/i2c2ahb_apb.vhd | 1 | 7346 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-------------------------------------------------------------------------------
-- Entity: i2c2ahb_apb
-- File: i2c2ahb_apb.vhd
-- Author: Jan Andersson - Aeroflex Gaisler AB
-- Contact: [email protected]
-- Description: Simple I2C-slave providing a bridge to AMBA AHB
-- This entity provides an APB interface for setting defining the
-- AHB address window that can be accessed from I2C.
-- See i2c2ahbx.vhd and GRIP for documentation
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.i2c.all;
library grlib;
use grlib.amba.all;
use grlib.devices.all;
use grlib.stdlib.conv_std_logic;
use grlib.stdlib.conv_std_logic_vector;
entity i2c2ahb_apb is
generic (
-- AHB Configuration
hindex : integer := 0;
--
ahbaddrh : integer := 0;
ahbaddrl : integer := 0;
ahbmaskh : integer := 0;
ahbmaskl : integer := 0;
resen : integer := 0;
-- APB configuration
pindex : integer := 0; -- slave bus index
paddr : integer := 0;
pmask : integer := 16#fff#;
pirq : integer := 0;
-- I2C configuration
i2cslvaddr : integer range 0 to 127 := 0;
i2ccfgaddr : integer range 0 to 127 := 0;
oepol : integer range 0 to 1 := 0;
--
filter : integer range 2 to 512 := 2
);
port (
rstn : in std_ulogic;
clk : in std_ulogic;
-- AHB master interface
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
--
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
-- I2C signals
i2ci : in i2c_in_type;
i2co : out i2c_out_type
);
end entity i2c2ahb_apb;
architecture rtl of i2c2ahb_apb is
-- Register offsets
constant CTRL_OFF : std_logic_vector(4 downto 2) := "000";
constant STS_OFF : std_logic_vector(4 downto 2) := "001";
constant ADDR_OFF : std_logic_vector(4 downto 2) := "010";
constant MASK_OFF : std_logic_vector(4 downto 2) := "011";
constant SLVA_OFF : std_logic_vector(4 downto 2) := "100";
constant SLVC_OFF : std_logic_vector(4 downto 2) := "101";
-- AMBA PnP
constant PCONFIG : apb_config_type := (
0 => ahb_device_reg(VENDOR_GAISLER, GAISLER_I2C2AHB, 0, 0, pirq),
1 => apb_iobar(paddr, pmask));
type apb_reg_type is record
i2c2ahbi : i2c2ahb_in_type;
irq : std_ulogic;
irqen : std_ulogic;
prot : std_ulogic;
protx : std_ulogic;
wr : std_ulogic;
dma : std_ulogic;
dmax : std_ulogic;
end record;
signal r, rin : apb_reg_type;
signal i2c2ahbo : i2c2ahb_out_type;
begin
bridge : i2c2ahbx
generic map (hindex => hindex, oepol => oepol, filter => filter)
port map (rstn => rstn, clk => clk, ahbi => ahbi, ahbo => ahbo,
i2ci => i2ci, i2co => i2co, i2c2ahbi => r.i2c2ahbi,
i2c2ahbo => i2c2ahbo);
comb: process (r, rstn, apbi, i2c2ahbo)
variable v : apb_reg_type;
variable apbaddr : std_logic_vector(4 downto 2);
variable apbout : std_logic_vector(31 downto 0);
variable irqout : std_logic_vector(NAHBIRQ-1 downto 0);
begin
v := r; apbaddr := apbi.paddr(apbaddr'range); apbout := (others => '0');
v.irq := '0'; irqout := (others => '0'); irqout(pirq) := r.irq;
v.protx := i2c2ahbo.prot; v.dmax := i2c2ahbo.dma;
---------------------------------------------------------------------------
-- APB register interface
---------------------------------------------------------------------------
-- read registers
if (apbi.psel(pindex) and apbi.penable and (not apbi.pwrite)) = '1' then
case apbaddr is
when CTRL_OFF => apbout(1 downto 0) := r.irqen & r.i2c2ahbi.en;
when STS_OFF => apbout(2 downto 0) := r.prot & r.wr & r.dma;
when ADDR_OFF => apbout := r.i2c2ahbi.haddr;
when MASK_OFF => apbout := r.i2c2ahbi.hmask;
when SLVA_OFF => apbout(6 downto 0) := r.i2c2ahbi.slvaddr;
when SLVC_OFF => apbout(6 downto 0) := r.i2c2ahbi.cfgaddr;
when others => null;
end case;
end if;
-- write registers
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case apbaddr is
when CTRL_OFF => v.irqen := apbi.pwdata(1); v.i2c2ahbi.en := apbi.pwdata(0);
when STS_OFF => v.dma := r.dma and not apbi.pwdata(0);
v.prot := r.prot and not apbi.pwdata(2);
when ADDR_OFF => v.i2c2ahbi.haddr := apbi.pwdata;
when MASK_OFF => v.i2c2ahbi.hmask := apbi.pwdata;
when SLVA_OFF => v.i2c2ahbi.slvaddr := apbi.pwdata(6 downto 0);
when SLVC_OFF => v.i2c2ahbi.cfgaddr := apbi.pwdata(6 downto 0);
when others => null;
end case;
end if;
-- interrupt and status register handling
if ((i2c2ahbo.dma and not r.dmax) or
(i2c2ahbo.prot and not r.protx)) = '1' then
v.dma := '1'; v.prot := r.prot or i2c2ahbo.prot; v.wr := i2c2ahbo.wr;
if (r.irqen and not r.dma) = '1' then v.irq := '1'; end if;
end if;
---------------------------------------------------------------------------
-- reset
---------------------------------------------------------------------------
if rstn = '0' then
v.i2c2ahbi.en := conv_std_logic(resen = 1);
v.i2c2ahbi.haddr := conv_std_logic_vector(ahbaddrh, 16) &
conv_std_logic_vector(ahbaddrl, 16);
v.i2c2ahbi.hmask := conv_std_logic_vector(ahbmaskh, 16) &
conv_std_logic_vector(ahbmaskl, 16);
v.i2c2ahbi.slvaddr := conv_std_logic_vector(i2cslvaddr, 7);
v.i2c2ahbi.cfgaddr := conv_std_logic_vector(i2ccfgaddr, 7);
v.irqen := '0'; v.prot := '0'; v.wr := '0'; v.dma := '0';
end if;
---------------------------------------------------------------------------
-- signal assignments
---------------------------------------------------------------------------
-- update registers
rin <= v;
-- update outputs
apbo.prdata <= apbout;
apbo.pirq <= irqout;
apbo.pconfig <= PCONFIG;
apbo.pindex <= pindex;
end process comb;
reg: process(clk)
begin
if rising_edge(clk) then r <= rin; end if;
end process reg;
-- Boot message provided in i2c2ahbx...
end architecture rtl;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/ddr/ahb2avl_async.vhd | 1 | 5697 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: ahb2avl_async
-- File: ahb2avl_async.vhd
-- Author: Magnus Hjorth - Aeroflex Gaisler
-- Description: Asynchronous AHB to Avalon-MM interface based on ddr2spa
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.ddrpkg.all;
use gaisler.ddrintpkg.all;
entity ahb2avl_async is
generic (
hindex : integer := 0;
haddr : integer := 0;
hmask : integer := 16#f00#;
burstlen : integer := 8;
nosync : integer := 0;
ahbbits : integer := ahbdw;
avldbits : integer := 32;
avlabits : integer := 20
);
port (
rst_ahb : in std_ulogic;
clk_ahb : in std_ulogic;
ahbsi : in ahb_slv_in_type;
ahbso : out ahb_slv_out_type;
rst_avl : in std_ulogic;
clk_avl : in std_ulogic;
avlsi : out ddravl_slv_in_type;
avlso : in ddravl_slv_out_type
);
end;
architecture struct of ahb2avl_async is
constant l2blen: integer := log2(burstlen)+log2(32);
constant l2ddrw: integer := log2(avldbits);
constant l2ahbw: integer := log2(ahbbits);
-- Write buffer dimensions
constant wbuf_rabits_s: integer := 1+l2blen-l2ddrw;
constant wbuf_rabits_r: integer := wbuf_rabits_s;
constant wbuf_rdbits: integer := avldbits;
constant wbuf_wabits: integer := 1+l2blen-5;
constant wbuf_wdbits: integer := ahbbits;
-- Read buffer dimensions
constant rbuf_rabits: integer := l2blen-l2ahbw;
constant rbuf_rdbits: integer := wbuf_wdbits;
constant rbuf_wabits: integer := l2blen-l2ddrw; -- log2((burstlen*32)/(2*ddrbits));
constant rbuf_wdbits: integer := avldbits;
signal request : ddr_request_type;
signal start_tog : std_ulogic;
signal response : ddr_response_type;
signal wbwaddr: std_logic_vector(wbuf_wabits-1 downto 0);
signal wbwdata: std_logic_vector(wbuf_wdbits-1 downto 0);
signal wbraddr: std_logic_vector(wbuf_rabits_s-1 downto 0);
signal wbrdata: std_logic_vector(wbuf_rdbits-1 downto 0);
signal rbwaddr: std_logic_vector(rbuf_wabits-1 downto 0);
signal rbwdata: std_logic_vector(rbuf_wdbits-1 downto 0);
signal rbraddr: std_logic_vector(rbuf_rabits-1 downto 0);
signal rbrdata: std_logic_vector(rbuf_rdbits-1 downto 0);
signal wbwrite,wbwritebig,rbwrite: std_ulogic;
signal gnd: std_logic_vector(3 downto 0);
signal vcc: std_ulogic;
begin
gnd <= (others => '0');
vcc <= '1';
fe0: ddr2spax_ahb
generic map (
hindex => hindex,
haddr => haddr,
hmask => hmask,
ioaddr => 0,
iomask => 0,
burstlen => burstlen,
nosync => nosync,
ahbbits => ahbbits,
devid => GAISLER_AHB2AVLA,
ddrbits => avldbits/2
)
port map (
rst => rst_ahb,
clk_ahb => clk_ahb,
ahbsi => ahbsi,
ahbso => ahbso,
request => request,
start_tog => start_tog,
response => response,
wbwaddr => wbwaddr,
wbwdata => wbwdata,
wbwrite => wbwrite,
wbwritebig => wbwritebig,
rbraddr => rbraddr,
rbrdata => rbrdata,
hwidth => gnd(0),
beid => gnd(3 downto 0)
);
be0: ahb2avl_async_be
generic map (
avldbits => avldbits,
avlabits => avlabits,
ahbbits => ahbbits,
burstlen => burstlen,
nosync => nosync
)
port map (
rst => rst_avl,
clk => clk_avl,
avlsi => avlsi,
avlso => avlso,
request => request,
start_tog => start_tog,
response => response,
wbraddr => wbraddr,
wbrdata => wbrdata,
rbwaddr => rbwaddr,
rbwdata => rbwdata,
rbwrite => rbwrite
);
wbuf: ddr2buf
generic map (tech => 0, wabits => wbuf_wabits, wdbits => wbuf_wdbits,
rabits => wbuf_rabits_r, rdbits => wbuf_rdbits,
sepclk => 1, wrfst => 0)
port map ( rclk => clk_avl, renable => vcc, raddress => wbraddr(wbuf_rabits_r-1 downto 0),
dataout => wbrdata, wclk => clk_ahb, write => wbwrite,
writebig => wbwritebig, waddress => wbwaddr, datain => wbwdata);
rbuf: ddr2buf
generic map (tech => 0, wabits => rbuf_wabits, wdbits => rbuf_wdbits,
rabits => rbuf_rabits, rdbits => rbuf_rdbits,
sepclk => 1, wrfst => 0)
port map ( rclk => clk_ahb, renable => vcc, raddress => rbraddr,
dataout => rbrdata,
wclk => clk_avl, write => rbwrite,
writebig => '0', waddress => rbwaddr, datain => rbwdata);
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/techmap/unisim/ddr_phy_unisim.vhd | 1 | 103327 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: ddr_phy_unisim.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: DDR PHY for Virtex-2 and Virtex-4
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.ODDR;
use unisim.FD;
use unisim.IDDR;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
------------------------------------------------------------------
-- Virtex4 DDR PHY -----------------------------------------------
------------------------------------------------------------------
entity virtex4_ddr_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2 ;
clk_div : integer := 2; rskew : integer := 0;
phyiconf : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0);
ck : in std_logic_vector(2 downto 0)
);
end;
architecture rtl of virtex4_ddr_phy is
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR
generic ( DDR_CLK_EDGE : string := "SAME_EDGE";
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
SRTYPE : string := "ASYNC");
port
( Q1 : out std_ulogic;
Q2 : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
signal vcc, gnd, dqsn, oe, lockl : std_ulogic;
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl, dllfb : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1 downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr address
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
attribute keep : boolean;
attribute keep of rclk90b : signal is true;
attribute syn_keep : boolean;
attribute syn_keep of rclk90b : signal is true;
attribute syn_preserve : boolean;
attribute syn_preserve of rclk90b : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR : component is true;
attribute syn_noprune of ODDR : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mclk <= clk;
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div)
port map ( CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR clock generation
ddrref_pad : clkpad generic map (tech => virtex4)
port map (ddr_clk_fb, ddrclkfbl);
bufg1 : BUFG port map (I => clk_0ro, O => clk_0r);
-- bufg2 : BUFG port map (I => clk_90ro, O => clk_90r);
clk_90r <= not clk_270r;
-- bufg3 : BUFG port map (I => clk_180ro, O => clk_180r);
clk_180r <= not clk_0r;
bufg4 : BUFG port map (I => clk_270ro, O => clk_270r);
clkout <= clk_270r; clk0r <= clk_270r; clk90r <= clk_0r;
clk180r <= clk_90r; clk270r <= clk_180r;
dllfb <= clk_0r;
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2)
port map ( CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_0ro,
CLK90 => clk_90ro, CLK180 => clk_180ro, CLK270 => clk_270ro,
LOCKED => lockl);
rstdel : process (mclk, rst)
begin
if rst = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk_0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk_0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
fbdclk0r : ODDR port map ( Q => ddr_clk_fb_outr, C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
fbclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outr);
ddrclkdiffio : if phyiconf = 0 generate
ddrclocks0 : for i in 0 to 2 generate
dclk0r : ODDR port map ( Q => ddr_clkl(i), C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad_ds generic map (tech => virtex4, level => sstl2_ii)
port map (ddr_clk(i), ddr_clkb(i), ddr_clkl(i), '1');
end generate;
end generate;
ddrclknodiffio : if phyiconf = 1 generate
ddrclocks1 : for i in 0 to 2 generate
dclk0r : ODDR port map ( Q => ddr_clkl(i), C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
ddrclk1_pad : outpad generic map (tech => virtex4, level => sstl2_ii)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : ODDR port map ( Q => ddr_clkbl(i), C => clk90r, CE => vcc,
D1 => gnd, D2 => vcc, R => gnd, S => gnd);
ddrclk1b_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
end generate;
ddrbanks : for i in 0 to 1 generate
csn0gen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_csnr(i), C => clk0r, CE => vcc,
D1 => csn(i), D2 => csn(i), R => gnd, S => gnd);
csn0_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_ckenr(i), C => clk0r, CE => vcc,
D1 => ckel(i), D2 => ckel(i), R => gnd, S => gnd);
cke_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
rasgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_rasnr, C => clk0r, CE => vcc,
D1 => rasn, D2 => rasn, R => gnd, S => gnd);
rasn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_rasb, ddr_rasnr);
casgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_casnr, C => clk0r, CE => vcc,
D1 => casn, D2 => casn, R => gnd, S => gnd);
casn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_casb, ddr_casnr);
wengen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_wenr, C => clk0r, CE => vcc,
D1 => wen, D2 => wen, R => gnd, S => gnd);
wen_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_web, ddr_wenr);
dmgen : for i in 0 to dbits/8-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dmr(i), C => clk0r, CE => vcc,
D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
ddr_bm_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
bagen : for i in 0 to 1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_bar(i), C => clk0r, CE => vcc,
D1 => ba(i), D2 => ba(i), R => gnd, S => gnd);
ddr_ba_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
dagen : for i in 0 to 13 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_adr(i), C => clk0r, CE => vcc,
D1 => addr(i), D2 => addr(i), R => gnd, S => gnd);
ddr_ad_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- DQS generation
dsqreg : FD port map ( Q => dqsn, C => clk180r, D => oe);
dqsgen : for i in 0 to dbits/8-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqsin(i), C => clk90r, CE => vcc,
D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dqs(i), i => ddr_dqsin(i), en => ddr_dqsoen(i),
o => ddr_dqsoutl(i));
end generate;
-- Data bus
read_rstdel : process (clk_0r, lockl)
begin
if lockl = '0' then dll2rst <= (others => '1');
elsif rising_edge(clk_0r) then
dll2rst <= dll2rst(1 to 3) & '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk0, O => rclk0b);
bufg8 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg9 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
nops : if rskew = 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ps : if rskew /= 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => rskew)
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ddgen : for i in 0 to dbits-1 generate
qi : IDDR generic map (DDR_CLK_EDGE => "OPPOSITE_EDGE")
port map ( Q1 => dqinl(i), --(i+dbits), -- 1-bit output for positive edge of clock
Q2 => dqin(i), -- 1-bit output for negative edge of clock
C => rclk90b, --clk270r, --dqsclk((2*i)/dbits), -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dqin(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd -- 1-bit set
);
dinq1 : FD port map ( Q => dqin(i+dbits), C => rclk270b, D => dqinl(i));
dout : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqout(i), C => clk0r, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => ddr_dqin(i));
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.FDDRRSE;
use unisim.IFDDRRSE;
use unisim.FD;
-- pragma translate_on
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.oddrv2;
------------------------------------------------------------------
-- Virtex2 DDR PHY -----------------------------------------------
------------------------------------------------------------------
entity virtex2_ddr_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2 ;
clk_div : integer := 2; rskew : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0)
);
end;
architecture rtl of virtex2_ddr_phy is
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component FDDRRSE
-- generic ( INIT : bit := '0');
port
(
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component IFDDRRSE
port (
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component oddrv2
generic ( tech : integer := virtex4);
port
( Q : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
signal vcc, gnd, dqsn, oe, lockl : std_ulogic;
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1 downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr address
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of FDDRRSE : component is true;
attribute syn_noprune of IFDDRRSE : component is true;
attribute syn_noprune of oddrv2 : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mclk <= clk; mlock <= rst;
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
CLKIN_PERIOD => 10.0)
port map ( CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR output clock generation
bufg1 : BUFG port map (I => clk_0ro, O => clk_0r);
-- bufg2 : BUFG port map (I => clk_90ro, O => clk_90r);
clk_90r <= not clk_270r;
-- bufg3 : BUFG port map (I => clk_180ro, O => clk_180r);
clk_180r <= not clk_0r;
bufg4 : BUFG port map (I => clk_270ro, O => clk_270r);
clkout <= clk_270r; clk0r <= clk_270r; clk90r <= clk_0r;
clk180r <= clk_90r; clk270r <= clk_180r;
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2)
port map ( CLKIN => mclk, CLKFB => clk_0r, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_0ro,
CLK90 => clk_90ro, CLK180 => clk_180ro, CLK270 => clk_270ro,
LOCKED => lockl);
rstdel : process (mclk, mlock)
begin
if mlock = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk_0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk_0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
fbdclk0r : FDDRRSE port map ( Q => ddr_clk_fb_outr, C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
fbclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outr);
ddrclocks : for i in 0 to 2 generate
dclk0r : FDDRRSE port map ( Q => ddr_clkl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : FDDRRSE port map ( Q => ddr_clkbl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => gnd, D1 => vcc, R => gnd, S => gnd);
ddrclkb_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
ddrbanks : for i in 0 to 1 generate
csn0gen : FD port map ( Q => ddr_csnr(i), C => clk0r, D => csn(i));
csn0_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : FD port map ( Q => ddr_ckenr(i), C => clk0r, D => ckel(i));
cke_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
-- DDR single-edge control signals
rasgen : FD port map ( Q => ddr_rasnr, C => clk0r, D => rasn);
rasn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_rasb, ddr_rasnr);
casgen : FD port map ( Q => ddr_casnr, C => clk0r, D => casn);
casn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_casb, ddr_casnr);
wengen : FD port map ( Q => ddr_wenr, C => clk0r, D => wen);
wen_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_web, ddr_wenr);
dmgen : for i in 0 to dbits/8-1 generate
da0 : oddrv2 port map ( Q => ddr_dmr(i), C1 => clk0r, C2 => clk180r,
CE => vcc, D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
ddr_bm_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
bagen : for i in 0 to 1 generate
da0 : FD port map ( Q => ddr_bar(i), C => clk0r, D => ba(i));
ddr_ba_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
dagen : for i in 0 to 13 generate
da0 : FD port map ( Q => ddr_adr(i), C => clk0r, D => addr(i));
ddr_ad_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- DQS generation
dsqreg : FD port map ( Q => dqsn, C => clk180r, D => oe);
dqsgen : for i in 0 to dbits/8-1 generate
da0 : oddrv2
port map ( Q => ddr_dqsin(i), C1 => clk90r, C2 => clk270r,
CE => vcc, D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dqs(i), i => ddr_dqsin(i), en => ddr_dqsoen(i),
o => ddr_dqsoutl(i));
end generate;
-- Data bus
ddrref_pad : clkpad generic map (tech => virtex2)
port map (ddr_clk_fb, ddrclkfbl);
read_rstdel : process (clk_0r, lockl)
begin
if lockl = '0' then dll2rst <= (others => '1');
elsif rising_edge(clk_0r) then
dll2rst <= dll2rst(1 to 3) & '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk0, O => rclk0b);
bufg8 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg9 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
nops : if rskew = 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ps : if rskew /= 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => rskew)
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ddgen : for i in 0 to dbits-1 generate
qi : IFDDRRSE
port map ( Q0 => dqinl(i), --(i+dbits), -- 1-bit output for positive edge of clock
Q1 => dqin(i), -- 1-bit output for negative edge of clock
C0 => rclk90b, -- clk270r, --dqsclk((2*i)/dbits), -- 1-bit clock input
C1 => rclk270b, -- clk90r, --dqsclk((2*i)/dbits), -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dq(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd -- 1-bit set
);
-- dinq1 : FD port map ( Q => dqin(i+dbits), C => clk90r, D => dqinl(i));
dinq1 : FD port map ( Q => dqin(i+dbits), C => rclk270b, D => dqinl(i));
dout : oddrv2
port map ( Q => ddr_dqout(i), C1 => clk0r, C2 => clk180r, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => open); -- o => ddr_dqin(i));
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.ODDR2;
use unisim.IDDR2;
use unisim.FD;
-- pragma translate_on
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.oddrc3e;
------------------------------------------------------------------
-- Spartan3E DDR PHY -----------------------------------------------
------------------------------------------------------------------
entity spartan3e_ddr_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2 ;
clk_div : integer := 2; rskew : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- DDR state clock
clkread : out std_ulogic; -- DDR read clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0)
);
end;
architecture rtl of spartan3e_ddr_phy is
component oddrc3e
generic ( tech : integer := virtex4);
port
( Q : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component ODDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component IDDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT_Q0 : bit := '0';
INIT_Q1 : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
signal vcc, gnd, dqsn, oe, lockl : std_ulogic;
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl, dllfb : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1 downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr address
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR2 : component is true;
attribute syn_noprune of ODDR2 : component is true;
attribute syn_noprune of oddrc3e : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mclk <= clk; mlock <= rst;
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
CLKIN_PERIOD => 10.0)
port map ( CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR output clock generation
bufg1 : BUFG port map (I => clk_0ro, O => clk_0r);
-- bufg2 : BUFG port map (I => clk_90ro, O => clk_90r);
clk_90r <= not clk_270r;
-- bufg3 : BUFG port map (I => clk_180ro, O => clk_180r);
clk_180r <= not clk_0r;
bufg4 : BUFG port map (I => clk_270ro, O => clk_270r);
clkout <= clk_270r;
-- clkout <= clk_90r when DDR_FREQ > 120 else clk_0r;
clk0r <= clk_270r; clk90r <= clk_0r;
clk180r <= clk_90r; clk270r <= clk_180r;
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2)
port map ( CLKIN => mclk, CLKFB => clk_0r, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_0ro,
CLK90 => clk_90ro, CLK180 => clk_180ro, CLK270 => clk_270ro,
LOCKED => lockl);
rstdel : process (mclk, mlock)
begin
if mlock = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk_0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk_0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
fbdclk0r : ODDR2 port map ( Q => ddr_clk_fb_outr, C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
fbclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outr);
ddrclocks : for i in 0 to 2 generate
dclk0r : ODDR2 port map ( Q => ddr_clkl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : ODDR2 port map ( Q => ddr_clkbl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => gnd, D1 => vcc, R => gnd, S => gnd);
ddrclkb_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
ddrbanks : for i in 0 to 1 generate
csn0gen : FD port map ( Q => ddr_csnr(i), C => clk0r, D => csn(i));
csn0_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : FD port map ( Q => ddr_ckenr(i), C => clk0r, D => ckel(i));
cke_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
-- DDR single-edge control signals
rasgen : FD port map ( Q => ddr_rasnr, C => clk0r, D => rasn);
rasn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_rasb, ddr_rasnr);
casgen : FD port map ( Q => ddr_casnr, C => clk0r, D => casn);
casn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_casb, ddr_casnr);
wengen : FD port map ( Q => ddr_wenr, C => clk0r, D => wen);
wen_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_web, ddr_wenr);
dmgen : for i in 0 to dbits/8-1 generate
da0 : oddrc3e
port map ( Q => ddr_dmr(i), C1 => clk0r, C2 => clk180r,
CE => vcc, D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
ddr_bm_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
bagen : for i in 0 to 1 generate
da0 : FD port map ( Q => ddr_bar(i), C => clk0r, D => ba(i));
ddr_ba_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
dagen : for i in 0 to 13 generate
da0 : FD port map ( Q => ddr_adr(i), C => clk0r, D => addr(i));
ddr_ad_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- DQS generation
dsqreg : FD port map ( Q => dqsn, C => clk180r, D => oe);
dqsgen : for i in 0 to dbits/8-1 generate
da0 : oddrc3e
port map ( Q => ddr_dqsin(i), C1 => clk90r, C2 => clk270r,
CE => vcc, D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad generic map (tech => virtex4, level => sstl2_i)
port map (pad => ddr_dqs(i), i => ddr_dqsin(i), en => ddr_dqsoen(i),
o => ddr_dqsoutl(i));
end generate;
-- Data bus
ddrref_pad : clkpad generic map (tech => virtex2)
port map (ddr_clk_fb, ddrclkfbl);
read_rstdel : process (clk_0r, lockl)
begin
if lockl = '0' then dll2rst <= (others => '1');
elsif rising_edge(clk_0r) then
dll2rst <= dll2rst(1 to 3) & '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk0, O => rclk0b);
bufg8 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg9 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
clkread <= not rclk90b;
nops : if rskew = 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ps : if rskew /= 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => rskew)
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ddgen : for i in 0 to dbits-1 generate
qi : IDDR2
port map ( Q0 => dqinl(i), Q1 => dqin(i), C0 => rclk90b, C1 => rclk270b,
CE => vcc, D => ddr_dqin(i), R => gnd, S => gnd );
dinq1 : FD port map ( Q => dqin(i+dbits), C => rclk270b, D => dqinl(i));
dout : oddrc3e
port map ( Q => ddr_dqout(i), C1 => clk0r, C2 => clk180r, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad generic map (tech => virtex4, level => sstl2_i)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => ddr_dqin(i));
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.ODDR;
use unisim.FD;
use unisim.IDELAY;
use unisim.ISERDES;
use unisim.BUFIO;
use unisim.IDELAYCTRL;
use unisim.IDDR;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
------------------------------------------------------------------
-- Virtex5 DDR2 PHY ----------------------------------------------
------------------------------------------------------------------
entity virtex5_ddr2_phy_wo_pads is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2;
ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0;
ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0;
ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8 : integer := 0;
ddelayb9 : integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0;
numidelctrl : integer := 4; norefclk : integer := 0;
tech : integer := virtex5; odten : integer := 0;
eightbanks : integer range 0 to 1 := 0;
dqsse : integer range 0 to 1 := 0; abits: integer := 14; nclk: integer := 3;
ncs: integer := 2);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkref200 : in std_logic; -- input 200MHz clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock return
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0); -- ddr address
ba : in std_logic_vector ( 2 downto 0); -- ddr bank address
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0)
);
end;
architecture rtl of virtex5_ddr2_phy_wo_pads is
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR
generic ( DDR_CLK_EDGE : string := "SAME_EDGE";
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
SRTYPE : string := "ASYNC");
port
( Q1 : out std_ulogic;
Q2 : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component IDELAY
generic ( IOBDELAY_TYPE : string := "DEFAULT";
IOBDELAY_VALUE : integer := 0);
port ( O : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
I : in std_ulogic;
INC : in std_ulogic;
RST : in std_ulogic);
end component;
component OBUFDS
generic (
CAPACITANCE : string := "DONT_CARE";
IOSTANDARD : string := "DEFAULT";
SLEW : string := "SLOW"
);
port (
O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic
);
end component;
component IDELAYCTRL
port ( RDY : out std_ulogic;
REFCLK : in std_ulogic;
RST : in std_ulogic);
end component;
signal vcc, gnd, oe, lockl : std_ulogic;
signal dqsn : std_logic_vector(dbits/8-1 downto 0);
signal cbdqsn : std_logic_vector(dbits/8-1 downto 0);
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_rasnr2, ddr_casnr2, ddr_wenr2 : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(nclk-1 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(ncs-1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl, dllfb : std_ulogic;
signal ddr_dqin, ddr_dqin_nodel : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_cbdqin, ddr_cbdqin_nodel : std_logic_vector (dbits-1 downto 0); -- ddr checkbits
signal ddr_cbdqout : std_logic_vector (dbits-1 downto 0); -- ddr checkbits
signal ddr_cbdqoen : std_logic_vector (dbits-1 downto 0); -- ddr checkbits
signal ddr_adr : std_logic_vector (abits-1 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1+eightbanks downto 0); -- ddr address
signal ddr_adr2 : std_logic_vector (abits-1 downto 0); -- ddr address
signal ddr_bar2 : std_logic_vector (1+eightbanks downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr data mask
signal ddr_cbdmr : std_logic_vector (dbits/8-1 downto 0); -- ddr checkbit mask
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen_reg: std_logic_vector (dbits/8-1 downto 0); -- ddr dqs reg
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_cbdqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_cbdqsoen_reg: std_logic_vector (dbits/8-1 downto 0); -- ddr dqs reg
signal ddr_cbdqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_cbdqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk, dqsclkn : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
signal clk200, clk200_0, clk200fb, clk200fx, lock200 : std_logic;
signal odtl : std_logic_vector(ncs-1 downto 0);
signal refclk_rdy : std_logic_vector(numidelctrl-1 downto 0);
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
type ddelay_type is array (0 to 11) of integer;
constant ddelay : ddelay_type := (ddelayb0, ddelayb1, ddelayb2,
ddelayb3, ddelayb4, ddelayb5,
ddelayb6, ddelayb7, ddelayb8,
ddelayb9, ddelayb10, ddelayb11);
attribute syn_noprune : boolean;
attribute syn_noprune of IDELAYCTRL : component is true;
attribute syn_keep : boolean;
attribute syn_keep of dqsclk : signal is true;
attribute syn_preserve : boolean;
attribute syn_preserve of dqsclk : signal is true;
attribute syn_keep of dqsn : signal is true;
attribute syn_preserve of dqsn : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR : component is true;
attribute syn_noprune of ODDR : component is true;
attribute keep : boolean;
attribute keep of mclkfx : signal is true;
attribute keep of clk_90ro : signal is true;
attribute syn_keep of mclkfx : signal is true;
attribute syn_keep of clk_90ro : signal is true;
begin
-- Generate 200 MHz ref clock if not supplied
refclkx : if norefclk = 0 generate
buf_clk200 : BUFG port map( I => clkref200, O => clk200);
lock200 <= '1';
end generate;
norefclkx : if norefclk /= 0 generate
bufg0 : BUFG port map (I => clk200fx, O => clk200);
HMODE_dll200 : if (tech = virtex4 and MHz >= 210) or (tech = virtex5) generate
dll200 : DCM
generic map (
CLKFX_MULTIPLY => 400/MHz, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH",
CLK_FEEDBACK => "NONE")
port map (
CLKIN => clk, CLKFB => clk200fb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0),
LOCKED => lock200, CLKFX => clk200fx);
end generate;
LMODE_dll200 : if not ((tech = virtex4 and MHz >= 210) or (tech = virtex5)) generate
dll200 : DCM
generic map (
CLKFX_MULTIPLY => 400/MHz, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW",
CLK_FEEDBACK => "NONE")
port map (
CLKIN => clk, CLKFB => clk200fb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0),
LOCKED => lock200, CLKFX => clk200fx);
end generate;
end generate;
-- Delay control
idelctrl : for i in 0 to numidelctrl-1 generate
u : IDELAYCTRL port map (rst => dllrst(0), refclk => clk200, rdy => refclk_rdy(i));
end generate;
oe <= not oen;
vcc <= '1';
gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
dll0rst <= dllrst;
mlock <= '1';
mbufg0 : BUFG port map (I => clk, O => mclk);
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then
dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
HMODE_dllm : if (tech = virtex4 and (((MHz*clk_mul)/clk_div >= 210) or (MHz >= 210)))
or (tech = virtex5 and (((MHz*clk_mul)/clk_div > 140) or (MHz > 120))) generate
dllm : DCM
generic map (
CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH")
port map (
CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
LMODE_dllm : if not ((tech = virtex4 and (((MHz*clk_mul)/clk_div >= 210) or (MHz >= 210)))
or (tech = virtex5 and (((MHz*clk_mul)/clk_div > 140) or (MHz > 120)))) generate
dllm : DCM
generic map (
CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW")
port map (
CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
end generate;
-- DDR clock generation
bufg2 : BUFG port map (I => clk_90ro, O => clk90r);
clk180r <= not mclk;
clkout <= mclk;
dllfb <= clk90r;
HMODE_dll : if (tech = virtex4 and ((MHz*clk_mul)/clk_div >= 150))
or (tech = virtex5 and ((MHz*clk_mul)/clk_div >= 120)) generate
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH", --"HIGH")
PHASE_SHIFT => 64, CLKOUT_PHASE_SHIFT => "FIXED")--, CLKIN_PERIOD => real((1000*clk_div)/(MHz*clk_mul)))
port map ( CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
end generate;
LMODE_dll : if not ((tech = virtex4 and ((MHz*clk_mul)/clk_div >= 150))
or (tech = virtex5 and ((MHz*clk_mul)/clk_div >= 120))) generate
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", --"HIGH")
PHASE_SHIFT => 64, CLKOUT_PHASE_SHIFT => "FIXED")--, CLKIN_PERIOD => real((1000*clk_div)/(MHz*clk_mul)))
port map ( CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
end generate;
rstdel : process (mclk, rst, mlock, lock200)
begin
if rst = '0' or mlock = '0' or lock200 = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
--rcnt : process (clk_0r)
rcnt : process (clkoutret)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
--if rising_edge(clk_0r) then
if rising_edge(clkoutret) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked and orv(refclk_rdy);
-- Generate external DDR clock
ddrclocks : for i in 0 to nclk-1 generate
dclk0r : ODDR port map ( Q => ddr_clk(i), C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
ddr_clkb(i) <= '0'; -- unused
end generate;
-- ODT
odtgen : for i in 0 to ncs-1 generate
odtl(i) <= locked and orv(refclk_rdy) and odt(i);
ddr_odt(i) <= odtl(i);
end generate;
ddrbanks : for i in 0 to ncs-1 generate
csn0gen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_csnr(i), C => clk180r, CE => vcc,
D1 => csn(i), D2 => csn(i), R => gnd, S => gnd);
ddr_csb(i) <= ddr_csnr(i);
ckel(i) <= cke(i) and locked;
ckegen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_ckenr(i), C => clk180r, CE => vcc,
D1 => ckel(i), D2 => ckel(i), R => gnd, S => gnd);
ddr_cke(i) <= ddr_ckenr(i);
end generate;
rasgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_rasnr, C => clk180r, CE => vcc,
D1 => rasn, D2 => rasn, R => gnd, S => gnd);
ddr_rasb <= ddr_rasnr;
casgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_casnr, C => clk180r, CE => vcc,
D1 => casn, D2 => casn, R => gnd, S => gnd);
ddr_casb <= ddr_casnr;
wengen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_wenr, C => clk180r, CE => vcc,
D1 => wen, D2 => wen, R => gnd, S => gnd);
ddr_web <= ddr_wenr;
dmgen : for i in 0 to dbits/8-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dmr(i), C => clkoutret, CE => vcc,
D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
end generate;
ddr_dm <= ddr_dmr;
bagen : for i in 0 to 1+eightbanks generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_bar(i), C => clk180r, CE => vcc,
D1 => ba(i), D2 => ba(i), R => gnd, S => gnd);
end generate;
ddr_ba <= ddr_bar;
dagen : for i in 0 to abits-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_adr(i), C => clk180r, CE => vcc,
D1 => addr(i), D2 => addr(i), R => gnd, S => gnd);
end generate;
ddr_ad <= ddr_adr;
-- DQS generation
dqsgen : for i in 0 to dbits/8-1 generate
dsqreg : FD port map ( Q => dqsn(i), C => clk180r, D => oe);
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqsin(i), C => clk90r, CE => vcc,
--D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
D1 => dqsn(i), D2 => gnd, R => gnd, S => gnd);
doen_reg : FD port map ( Q => ddr_dqsoen_reg(i), C => clk180r, D => dqsoen);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk90r, D => ddr_dqsoen_reg(i));
end generate;
ddr_dqs_out <= ddr_dqsin;
ddr_dqs_oen <= ddr_dqsoen;
ddr_dqsoutl <= ddr_dqs_in;
-- Data bus
ddgen : for i in 0 to dbits-1 generate
del_dq0 : IDELAY generic map(IOBDELAY_TYPE => "VARIABLE", IOBDELAY_VALUE => ddelay(i/8))
port map(O => ddr_dqin(i), I => ddr_dqin_nodel(i), C => clkoutret, CE => cal_en(i/8),
INC => cal_inc(i/8), RST => cal_rst);
qi : IDDR generic map (DDR_CLK_EDGE => "OPPOSITE_EDGE")
port map ( Q1 => dqinl(i), --(i+dbits), -- 1-bit output for positive edge of clock
Q2 => dqin(i), --dqin(i), -- 1-bit output for negative edge of clock
C => clk180r, --clk270r, --dqsclk((2*i)/dbits), -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dqin(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd -- 1-bit set
);
dinq1 : FD port map ( Q => dqin(i+dbits), C => clkoutret, D => dqinl(i));
dout : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqout(i), C => clkoutret, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD
generic map (INIT => '1')
port map ( Q => ddr_dqoen(i), C => clkoutret, D => oen);
end generate;
ddr_dq_out <= ddr_dqout;
ddr_dq_oen <= ddr_dqoen;
ddr_dqin_nodel <= ddr_dq_in;
end;
------------------------------------------------------------------
-- Spartan 3A DDR2 PHY -------------------------------------------
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.IDDR2;
use unisim.ODDR2;
use unisim.FD;
use unisim.BUFIO;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity spartan3a_ddr2_phy is
generic (MHz : integer := 125; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2;
clk_div : integer := 2; tech : integer := spartan3;
rskew : integer := 0; eightbanks : integer range 0 to 1 := 0);
port ( rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- DDR clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(1 downto 0);
addr : in std_logic_vector (13 downto 0); -- row address
ba : in std_logic_vector ( 2 downto 0); -- bank address
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0);
cal_pll : in std_logic_vector(1 downto 0);
odt : in std_logic_vector(1 downto 0));
end;
architecture rtl of spartan3a_ddr2_phy is
component DCM
generic (CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false);
port ( CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG
port (O : out std_logic;
I : in std_logic);
end component;
component ODDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT : bit := '0'; -- Sets initial state of the Q0
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q : out std_ulogic; -- 1-bit DDR output data
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D0 : in std_ulogic; -- 1-bit data input (associated with C1)
D1 : in std_ulogic; -- 1-bit data input (associated with C1)
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
component FD
generic (INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT_Q0 : bit := '0'; -- Sets initial state of the Q0
INIT_Q1 : bit := '0'; -- Sets initial state of the Q1
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q0 : out std_ulogic; -- 1-bit output captured with C0 clock
Q1 : out std_ulogic; -- 1-bit output captured with C1 clock
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D : in std_ulogic; -- 1-bit DDR data input
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
signal vcc, gnd, oe, lockl : std_ulogic;
signal dqsn : std_logic_vector(dbits/8-1 downto 0);
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal ddr_clk_fbl, ddr_clk_fb_outl : std_ulogic;
signal clk_90ro : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal rclk0b, rclk90b, rclk180b, rclk270b : std_ulogic;
signal rclk0, rclk90, rclk180, rclk270 : std_ulogic;
signal rclk0b_high, rclk90b_high, rclk270b_high : std_ulogic;
signal rclk0_high, rclk90_high, rclk270_high : std_ulogic;
signal locked, vlockl, dllfb : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr row address
signal ddr_bar : std_logic_vector (1+eightbanks downto 0); -- ddr bank address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr mask
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqinl : std_logic_vector (dbits*2-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst : std_ulogic;
signal dll1rst : std_ulogic;
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal odtl : std_logic_vector(1 downto 0);
--signals needed for alignment with DQS
signal dm_delay : std_logic_vector (dbits/8-1 downto 0);
signal dqout_delay : std_logic_vector (dbits-1 downto 0);
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of dqsn : signal is true;
attribute syn_preserve of dqsn : signal is true;
attribute keep of mclkfx : signal is true;
attribute keep of clk_90ro : signal is true;
attribute syn_keep of mclkfx : signal is true;
attribute syn_keep of clk_90ro : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR2 : component is true;
attribute syn_noprune of ODDR2 : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mlock <= '1';
mbufg0 : BUFG port map (I => clk, O => mclk);
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then
dll0rst <= '1';
elsif rising_edge(clk) then
dll0rst <= '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div)
port map (CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst, CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR clock generation (90 degrees phase-shifted DLL)
bufg2 : BUFG port map (I => clk_90ro, O => clk90r);
dllfb <= clk90r;
dll : DCM
generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => 64)
port map (CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
clk0r <= mclk;
clk180r <= not mclk;
clk270r <= not clk90r;
clkout <= mclk;
rstdel : process (mclk, rst, mlock)
begin
if rst = '0' or mlock = '0' then
dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16);
vlock := '0';
elsif vlock = '0' then
cnt := cnt -1;
vlock := cnt(15) and not co;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
ddrclocks : for i in 0 to 2 generate
dclk0r : ODDR2
port map (Q => ddr_clkl(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : ODDR2
port map (Q => ddr_clkbl(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => gnd, D1 => vcc, R => gnd, S => gnd);
ddrclkb_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
-- Generate the DDR clock to be fed back for DQ synchronization
dclkfb0r : ODDR2
port map (Q => ddr_clk_fb_outl, C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclkfb_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outl);
-- The above clock fed back for DQ synchronization
ddrref_pad : clkpad generic map (tech => virtex4)
port map (ddr_clk_fb, ddr_clk_fbl);
-- ODT pads
odtgen : for i in 0 to 1 generate
odtl(i) <= locked and odt(i);
ddr_odt_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_odt(i), odtl(i));
end generate;
-- DDR single-edge control signals
ddrbanks : for i in 0 to 1 generate
csn0gen : FD
port map ( Q => ddr_csnr(i), C => clk0r, D => csn(i));
csn0_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : FD
port map ( Q => ddr_ckenr(i), C => clk0r, D => ckel(i));
cke_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
rasgen : FD
port map ( Q => ddr_rasnr, C => clk0r, D => rasn);
rasn_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_rasb, ddr_rasnr);
casgen : FD
port map ( Q => ddr_casnr, C => clk0r, D => casn);
casn_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_casb, ddr_casnr);
wengen : FD
port map ( Q => ddr_wenr, C => clk0r, D => wen);
wen_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_web, ddr_wenr);
bagen : for i in 0 to 1+eightbanks generate
ba0 : FD
port map ( Q => ddr_bar(i), C => clk0r, D => ba(i));
ddr_ba_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
addrgen : for i in 0 to 13 generate
addr0 : FD
port map ( Q => ddr_adr(i), C => clk0r, D => addr(i));
ddr_ad_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- Data mask (DM) generation
dmgen : for i in 0 to dbits/8-1 generate
dq_delay : FD
port map ( Q => dm_delay(i), C => clk0r, D => dm(i));
dm0 : ODDR2
generic map (DDR_ALIGNMENT => "NONE")
port map (Q => ddr_dmr(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dm(i+dbits/8), D1 => dm_delay(i), R => gnd, S => gnd);
ddr_bm_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
-- Data strobe (DQS) generation
dqsgen : for i in 0 to dbits/8-1 generate
dsqreg : FD port map ( Q => dqsn(i), C => clk180r, D => oe);
da0 : ODDR2
port map ( Q => ddr_dqsin(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => dqsn(i), D1 => gnd, R => gnd, S => gnd);
doen : FD
port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad_ds
generic map (tech => virtex5, level => sstl18_ii)
port map (padp => ddr_dqs(i), padn => ddr_dqsn(i), i => ddr_dqsin(i),
en => ddr_dqsoen(i), o => ddr_dqsoutl(i));
end generate;
-- Phase shift the feedback clock and use it to latch DQ
rstphase : process (ddr_clk_fbl, rst, lockl)
begin
if rst = '0' or lockl = '0' then
dll1rst <= '1';
elsif rising_edge(ddr_clk_fbl) then
dll1rst <= '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg8 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
bufg9 : BUFG port map (I => rclk180, O => rclk180b);
read_dll : DCM
generic map (clkin_period => 8.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "VARIABLE", PHASE_SHIFT => rskew)
port map ( CLKIN => ddr_clk_fbl, CLKFB => rclk90b, DSSEN => gnd, PSCLK => mclk,
PSEN => cal_pll(0), PSINCDEC => cal_pll(1), RST => dll1rst, CLK0 => rclk90,
CLK90 => rclk180); --, CLK180 => rclk270);
-- Data bus
ddgen : for i in 0 to dbits-1 generate
qi : IDDR2
port map (Q0 => dqinl(i+dbits), -- 1-bit output for positive edge of C0
Q1 => dqinl(i), -- 1-bit output for negative edge of C1
C0 => rclk90b, -- 1-bit clock input
C1 => rclk270b, -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dqin(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd); -- 1-bit set
dinq0 : FD
port map ( Q => dqin(i+dbits), C => rclk180b, D => dqinl(i));
dinq1 : FD
port map ( Q => dqin(i), C => rclk180b, D => dqinl(i+dbits));
dq_delay : FD
port map ( Q => dqout_delay(i), C => clk0r, D => dqout(i));
dout : ODDR2
generic map (DDR_ALIGNMENT => "NONE")
port map (Q => ddr_dqout(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dqout(i+dbits), D1 => dqout_delay(i), R => gnd, S => gnd);
doen : FD
port map (Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad
generic map (tech => virtex4, level => sstl18_ii)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => ddr_dqin(i));
end generate;
end;
------------------------------------------------------------------
-- Spartan 6 DDR2 PHY -------------------------------------------
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM_SP;
use unisim.IDDR2;
use unisim.ODDR2;
use unisim.FD;
use unisim.IODELAY2;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity spartan6_ddr2_phy_wo_pads is
generic (MHz : integer := 125; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2;
clk_div : integer := 2; tech : integer := spartan6;
rskew : integer := 0; eightbanks : integer range 0 to 1 := 0;
abits : integer := 14;
nclk : integer := 3; ncs : integer := 2 );
port ( rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- DDR clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0);
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0);
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0);
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0); -- row address
ba : in std_logic_vector ( 2 downto 0); -- bank address
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0));
end;
architecture rtl of spartan6_ddr2_phy_wo_pads is
component DCM_SP is
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false );
port (
CLK0 : out std_ulogic;
CLK180 : out std_ulogic;
CLK270 : out std_ulogic;
CLK2X : out std_ulogic;
CLK2X180 : out std_ulogic;
CLK90 : out std_ulogic;
CLKDV : out std_ulogic;
CLKFX : out std_ulogic;
CLKFX180 : out std_ulogic;
LOCKED : out std_ulogic;
PSDONE : out std_ulogic;
STATUS : out std_logic_vector(7 downto 0);
CLKFB : in std_ulogic;
CLKIN : in std_ulogic;
DSSEN : in std_ulogic;
PSCLK : in std_ulogic;
PSEN : in std_ulogic;
PSINCDEC : in std_ulogic;
RST : in std_ulogic );
end component;
component BUFG
port (O : out std_logic;
I : in std_logic);
end component;
component ODDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT : bit := '0'; -- Sets initial state of the Q0
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q : out std_ulogic; -- 1-bit DDR output data
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D0 : in std_ulogic; -- 1-bit data input (associated with C1)
D1 : in std_ulogic; -- 1-bit data input (associated with C1)
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
component FD
generic (INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT_Q0 : bit := '0'; -- Sets initial state of the Q0
INIT_Q1 : bit := '0'; -- Sets initial state of the Q1
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q0 : out std_ulogic; -- 1-bit output captured with C0 clock
Q1 : out std_ulogic; -- 1-bit output captured with C1 clock
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D : in std_ulogic; -- 1-bit DDR data input
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
component IODELAY2 is
generic (
COUNTER_WRAPAROUND : string := "WRAPAROUND";
DATA_RATE : string := "SDR";
DELAY_SRC : string := "IO";
IDELAY2_VALUE : integer := 0;
IDELAY_MODE : string := "NORMAL";
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_VALUE : integer := 0;
SERDES_MODE : string := "NONE";
SIM_TAPDELAY_VALUE : integer := 75 );
port (
BUSY : out std_ulogic;
DATAOUT : out std_ulogic;
DATAOUT2 : out std_ulogic;
DOUT : out std_ulogic;
TOUT : out std_ulogic;
CAL : in std_ulogic;
CE : in std_ulogic;
CLK : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
IOCLK0 : in std_ulogic;
IOCLK1 : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic );
end component;
signal vcc, gnd, oe, lockl : std_ulogic;
signal dqsn : std_logic_vector(dbits/8-1 downto 0);
signal dqsoen_reg : std_logic_vector(dbits/8-1 downto 0);
signal ddr_dq_indel : std_logic_vector(dbits-1 downto 0);
signal ckel : std_logic_vector(ncs-1 downto 0);
signal clk_90ro : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, dllfb : std_ulogic;
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal delay_cal : std_ulogic;
signal dcal_started : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of dqsn : signal is true;
attribute syn_preserve of dqsn : signal is true;
attribute keep of mclkfx : signal is true;
attribute keep of clk_90ro : signal is true;
attribute syn_keep of mclkfx : signal is true;
attribute syn_keep of clk_90ro : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR2 : component is true;
attribute syn_noprune of ODDR2 : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mlock <= '1';
mclk <= clk;
-- mbufg0 : BUFG port map (I => clk, O => mclk);
end generate;
clkscale : if clk_mul /= clk_div generate
-- Extend DCM reset signal.
dll0rstdel : process (clk, rst)
begin
if rst = '0' then
dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & "0";
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM_SP
generic map (
CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
CLK_FEEDBACK => "1X", CLKIN_PERIOD => 1000.0/real(MHz) )
port map (CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR clock generation (90 degrees phase-shifted DLL)
bufg2 : BUFG port map (I => clk_90ro, O => clk90r);
dllfb <= clk90r;
dll : DCM_SP
generic map ( CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => 64 )
port map (CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
clk0r <= mclk;
clk180r <= not mclk;
clk270r <= not clk90r;
clkout <= mclk;
-- Extend DCM reset signal.
dllrstdel : process (mclk, rst, mlock)
begin
if rst = '0' or mlock = '0' then
dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & "0";
end if;
end process;
-- Delay lock signal.
rdel : if rstdelay /= 0 generate
rcnt : process (clk0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16);
vlock := '0';
elsif vlock = '0' then
cnt := cnt -1;
vlock := cnt(15) and not co;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
ddrclocks : for i in 0 to nclk-1 generate
dclk0r : ODDR2
port map ( Q => ddr_clk(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => vcc, D1 => gnd, R => gnd, S => gnd );
end generate;
-- DDR single-edge control signals
ddrbanks : for i in 0 to ncs-1 generate
ddr_odt(i) <= locked and odt(i);
csn0gen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_csb(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => csn(i), D1 => csn(i), R => gnd, S => gnd );
ckel(i) <= cke(i) and locked;
ckegen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_cke(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => ckel(i), D1 => ckel(i), R => gnd, S => gnd );
end generate;
rasgen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_rasb, C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => rasn, D1 => rasn, R => gnd, S => gnd );
casgen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_casb, C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => casn, D1 => casn, R => gnd, S => gnd );
wengen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_web, C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => wen, D1 => wen, R => gnd, S => gnd );
bagen : for i in 0 to 1+eightbanks generate
ba0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_ba(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => ba(i), D1 => ba(i), R => gnd, S => gnd );
end generate;
addrgen : for i in 0 to abits-1 generate
addr0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_ad(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => addr(i), D1 => addr(i), R => gnd, S => gnd );
end generate;
-- Data mask (DM) generation
dmgen : for i in 0 to dbits/8-1 generate
dmgen0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dm(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dm(i+dbits/8), D1 => dm(i), R => gnd, S => gnd );
end generate;
-- Data strobe (DQS) generation
dqsgen : for i in 0 to dbits/8-1 generate
dqsreg : FD
port map ( Q => dqsn(i), C => clk180r, D => oe );
dqsgen0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dqs_out(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => dqsn(i), D1 => gnd, R => gnd, S => gnd );
doenreg : FD
port map ( Q => dqsoen_reg(i), C => clk180r, D => dqsoen );
doen0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dqs_oen(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => dqsoen_reg(i), D1 => dqsoen_reg(i), R => gnd, S => gnd );
end generate;
-- Data bus
ddgen : for i in 0 to dbits-1 generate
dqdelay : IODELAY2
generic map ( DATA_RATE => "DDR", DELAY_SRC => "IDATAIN",
IDELAY_TYPE => "VARIABLE_FROM_ZERO" )
port map ( BUSY => open, CAL => delay_cal, CE => cal_en(i/8), CLK => clk0r,
DATAOUT => ddr_dq_indel(i), DATAOUT2 => open, DOUT => open,
IDATAIN => ddr_dq_in(i), INC => cal_inc(i/8),
IOCLK0 => clk0r, IOCLK1 => clk180r,
ODATAIN => gnd, RST => cal_rst, T => vcc, TOUT => open );
din : IDDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( D => ddr_dq_indel(i), C0 => clk0r, C1 => clk180r, CE => vcc,
R => gnd, S => gnd, Q0 => dqin(i), Q1 => dqin(i+dbits) );
dout : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dq_out(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dqout(i+dbits), D1 => dqout(i), R => gnd, S => gnd );
doen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dq_oen(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => oen, D1 => oen, R => gnd, S => gnd );
end generate;
-- Generate IODELAY calibration command after core reset.
calcmd : process (mclk, rst)
begin
if rst = '0' then
dcal_started <= '0';
delay_cal <= '0';
elsif rising_edge(mclk) then
if mlock = '1' then
dcal_started <= '1';
delay_cal <= not dcal_started;
end if;
end if;
end process;
end architecture;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/techmap/maps/syncram.vhd | 1 | 10672 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: syncram
-- File: syncram.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: syncronous 1-port ram with tech selection
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config.all;
use grlib.config_types.all;
use grlib.stdlib.all;
use work.gencomp.all;
use work.allmem.all;
entity syncram is
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
testen : integer := 0; custombits: integer := 1);
port (
clk : in std_ulogic;
address : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
enable : in std_ulogic;
write : in std_ulogic;
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector(custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector(custombits-1 downto 0));
end;
architecture rtl of syncram is
constant nctrl : integer := abits + (TESTIN_WIDTH-2) + 2;
signal rena, wena : std_logic;
signal dataoutx, databp, testdata : std_logic_vector((dbits -1) downto 0);
constant SCANTESTBP : boolean := (testen = 1) and (tech /= 0) and (tech /= ut90);
signal xenable, xwrite: std_ulogic;
signal custominx,customoutx: std_logic_vector(syncram_customif_maxwidth downto 0);
begin
xenable <= enable and not testin(TESTIN_WIDTH-2) when testen/=0 else enable;
xwrite <= write and not testin(TESTIN_WIDTH-2) when testen/=0 else write;
-- RAM bypass for scan
scanbp : if SCANTESTBP generate
comb : process (address, datain, enable, write, testin)
variable tmp : std_logic_vector((dbits -1) downto 0);
variable ctrlsigs : std_logic_vector((nctrl -1) downto 0);
begin
ctrlsigs := testin(TESTIN_WIDTH-3 downto 0) & write & enable & address;
tmp := datain;
for i in 0 to nctrl-1 loop
tmp(i mod dbits) := tmp(i mod dbits) xor ctrlsigs(i);
end loop;
testdata <= tmp;
end process;
reg : process (clk)
begin
if rising_edge(clk) then
databp <= testdata;
end if;
end process;
dmuxout : for i in 0 to dbits-1 generate
x0: grmux2 generic map (tech)
port map (dataoutx(i), databp(i), testin(TESTIN_WIDTH-1), dataout(i));
end generate;
end generate;
custominx(custominx'high downto custombits) <= (others => '0');
custominx(custombits-1 downto 0) <= customin;
customout <= customoutx(custombits-1 downto 0);
nocust: if syncram_has_customif(tech)=0 generate
customoutx <= (others => '0');
end generate;
noscanbp : if not SCANTESTBP generate dataout <= dataoutx; end generate;
inf : if tech = inferred generate
x0 : generic_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, write);
end generate;
xcv : if (tech = virtex) generate
x0 : virtex_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
xc2v : if (is_unisim(tech) = 1) and (tech /= virtex) generate
x0 : unisim_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
vir : if tech = memvirage generate
x0 : virage_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
atrh : if tech = atc18rha generate
x0 : atc18rha_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite,
testin(TESTIN_WIDTH-1 downto TESTIN_WIDTH-4));
end generate;
axc : if (tech = axcel) or (tech = axdsp) generate
x0 : axcel_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
proa : if tech = proasic generate
x0 : proasic_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
umc18 : if tech = umc generate
x0 : umc_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
rhu : if tech = rhumc generate
x0 : rhumc_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
saed : if tech = saed32 generate
x0 : saed32_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
dar : if tech = dare generate
x0 : dare_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
proa3 : if tech = apa3 generate
x0 : proasic3_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
proa3e : if tech = apa3e generate
x0 : proasic3e_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
proa3l : if tech = apa3l generate
x0 : proasic3l_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
fus : if tech = actfus generate
x0 : fusion_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
ihp : if tech = ihp25 generate
x0 : ihp25_syncram generic map(abits, dbits)
port map(clk, address, datain, dataoutx, xenable, xwrite);
end generate;
ihprh : if tech = ihp25rh generate
x0 : ihp25rh_syncram generic map(abits, dbits)
port map(clk, address, datain, dataoutx, xenable, xwrite);
end generate;
alt : if (tech = altera) or (tech = stratix1) or (tech = stratix2) or
(tech = stratix3) or (tech = stratix4) or (tech = cyclone3) generate
x0 : altera_syncram generic map(abits, dbits)
port map(clk, address, datain, dataoutx, xenable, xwrite);
end generate;
rht : if tech = rhlib18t generate
x0 : rh_lib18t_syncram generic map(abits, dbits)
port map(clk, address, datain, dataoutx, xenable, xwrite, testin(TESTIN_WIDTH-3 downto TESTIN_WIDTH-4));
end generate;
lat : if tech = lattice generate
x0 : ec_syncram generic map(abits, dbits)
port map(clk, address, datain, dataoutx, xenable, xwrite);
end generate;
ut025 : if tech = ut25 generate
x0 : ut025crh_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
ut09 : if tech = ut90 generate
x0 : ut90nhbd_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite, testin(TESTIN_WIDTH-3));
end generate;
ut13 : if tech = ut130 generate
x0 : ut130hbd_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
pere : if tech = peregrine generate
x0 : peregrine_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
arti : if tech = memartisan generate
x0 : artisan_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
cust1 : if tech = custom1 generate
x0 : custom1_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
ecl : if tech = eclipse generate
rena <= xenable and not write;
wena <= xenable and write;
x0 : eclipse_syncram_2p generic map(abits, dbits)
port map(clk, rena, address, dataoutx, clk, address,
datain, wena);
end generate;
virage90 : if tech = memvirage90 generate
x0 : virage90_syncram generic map(abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
nex : if tech = easic90 generate
x0 : nextreme_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
smic : if tech = smic013 generate
x0 : smic13_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
tm65gplu : if tech = tm65gplus generate
x0 : tm65gplus_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
cmos9sfx : if tech = cmos9sf generate
x0 : cmos9sf_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
n2x : if tech = easic45 generate
x0 : n2x_syncram generic map (abits, dbits)
port map (clk, address, datain, dataoutx, xenable, xwrite);
end generate;
rh13t : if tech = rhlib13t generate
x0 : rh_lib13t_syncram generic map(abits, dbits)
port map(clk, address, datain, dataoutx, xenable, xwrite, testin(TESTIN_WIDTH-3 downto TESTIN_WIDTH-4));
end generate;
-- pragma translate_off
noram : if has_sram(tech) = 0 generate
x : process
begin
assert false report "syncram: technology " & tech_table(tech) &
" not supported"
severity failure;
wait;
end process;
end generate;
dmsg : if GRLIB_CONFIG_ARRAY(grlib_debug_level) >= 2 generate
x : process
begin
assert false report "syncram: " & tost(2**abits) & "x" & tost(dbits) &
" (" & tech_table(tech) & ")"
severity note;
wait;
end process;
end generate;
-- pragma translate_on
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/irqmp/irqmp.vhd | 1 | 11203 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: irqmp
-- File: irqmp.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: Multi-processor APB interrupt controller. Implements a
-- two-level interrupt controller for 15 interrupts.
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
use grlib.devices.all;
library gaisler;
use gaisler.leon3.all;
entity irqmp is
generic (
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#fff#;
ncpu : integer := 1;
eirq : integer := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
irqi : in irq_out_vector(0 to ncpu-1);
irqo : out irq_in_vector(0 to ncpu-1)
);
end;
architecture rtl of irqmp is
constant REVISION : integer := 3;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_IRQMP, 0, REVISION, 0),
1 => apb_iobar(paddr, pmask));
type mask_type is array (0 to ncpu-1) of std_logic_vector(15 downto 1);
type mask2_type is array (0 to ncpu-1) of std_logic_vector(15 downto 0);
type irl_type is array (0 to ncpu-1) of std_logic_vector(3 downto 0);
type irl2_type is array (0 to ncpu-1) of std_logic_vector(4 downto 0);
type reg_type is record
imask : mask_type;
ilevel : std_logic_vector(15 downto 1);
ipend : std_logic_vector(15 downto 1);
iforce : mask_type;
ibroadcast : std_logic_vector(15 downto 1);
irl : irl_type;
cpurst : std_logic_vector(ncpu-1 downto 0);
end record;
type ereg_type is record
imask : mask2_type;
ipend : std_logic_vector(15 downto 0);
irl : irl2_type;
end record;
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
constant RRES : reg_type := (
imask => (others => (others => '0')), ilevel => (others => '0'),
ipend => (others => '0'), iforce => (others => (others => '0')),
ibroadcast => (others => '0'), irl => (others => (others => '0')),
cpurst => (others => '0'));
constant ERES : ereg_type := (
imask => (others => (others => '0')), ipend => (others => '0'),
irl => (others => (others => '0')));
function prioritize(b : std_logic_vector(15 downto 0)) return std_logic_vector is
variable a : std_logic_vector(15 downto 0);
variable irl : std_logic_vector(3 downto 0);
variable level : integer range 0 to 15;
begin
irl := "0000"; level := 0; a := b;
for i in 15 downto 0 loop
level := i;
if a(i) = '1' then exit; end if;
end loop;
irl := conv_std_logic_vector(level, 4);
return(irl);
end;
signal r, rin : reg_type;
signal r2, r2in : ereg_type;
begin
comb : process(rst, r, r2, apbi, irqi)
variable v : reg_type;
variable temp : mask_type;
variable prdata : std_logic_vector(31 downto 0);
variable tmpirq : std_logic_vector(15 downto 0);
variable tmpvar : std_logic_vector(15 downto 1);
variable cpurun : std_logic_vector(ncpu-1 downto 0);
variable v2 : ereg_type;
variable irl2 : std_logic_vector(3 downto 0);
variable ipend2 : std_logic_vector(ncpu-1 downto 0);
variable temp2 : mask2_type;
variable neirq : integer;
begin
v := r; v.cpurst := (others => '0');
cpurun := (others => '0'); cpurun(0) := '1';
tmpvar := (others => '0'); ipend2 := (others => '0');
v2 := r2;
-- prioritize interrupts
if eirq /= 0 then
for i in 0 to ncpu-1 loop
temp2(i) := r2.ipend and r2.imask(i);
ipend2(i) := orv(temp2(i));
end loop;
end if;
for i in 0 to ncpu-1 loop
temp(i) := ((r.iforce(i) or r.ipend) and r.imask(i));
if eirq /= 0 then temp(i)(eirq) := temp(i)(eirq) or ipend2(i); end if;
v.irl(i) := prioritize((temp(i) and r.ilevel) & '0');
if v.irl(i) = "0000" then
if eirq /= 0 then temp(i)(eirq) := temp(i)(eirq) or ipend2(i); end if;
v.irl(i) := prioritize((temp(i) and not r.ilevel) & '0');
end if;
end loop;
-- register read
prdata := (others => '0');
case apbi.paddr(7 downto 6) is
when "00" =>
case apbi.paddr(4 downto 2) is
when "000" => prdata(15 downto 1) := r.ilevel;
when "001" =>
prdata(15 downto 1) := r.ipend;
if eirq /= 0 then prdata(31 downto 16) := r2.ipend; end if;
when "010" => prdata(15 downto 1) := r.iforce(0);
when "011" =>
when "100" | "101" =>
prdata(31 downto 28) := conv_std_logic_vector(ncpu-1, 4);
prdata(19 downto 16) := conv_std_logic_vector(eirq, 4);
for i in 0 to ncpu -1 loop prdata(i) := irqi(i).pwd; end loop;
if ncpu > 1 then
prdata(27) := '1';
case apbi.paddr(4 downto 2) is
when "101" =>
prdata := (others => '0');
prdata(15 downto 1) := r.ibroadcast;
when others =>
end case;
end if;
when others =>
end case;
when "01" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
prdata(15 downto 1) := r.imask(i);
if eirq /= 0 then prdata(31 downto 16) := r2.imask(i); end if;
end if;
end loop;
when "10" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
prdata(15 downto 1) := r.iforce(i);
end if;
end loop;
when "11" =>
if eirq /= 0 then
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
prdata(4 downto 0) := r2.irl(i);
end if;
end loop;
end if;
when others =>
end case;
-- register write
if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then
case apbi.paddr(7 downto 6) is
when "00" =>
case apbi.paddr(4 downto 2) is
when "000" => v.ilevel := apbi.pwdata(15 downto 1);
when "001" => v.ipend := apbi.pwdata(15 downto 1);
if eirq /= 0 then v2.ipend := apbi.pwdata(31 downto 16); end if;
when "010" => v.iforce(0) := apbi.pwdata(15 downto 1);
when "011" => v.ipend := r.ipend and not apbi.pwdata(15 downto 1);
if eirq /= 0 then v2.ipend := r2.ipend and not apbi.pwdata(31 downto 16); end if;
when "100" =>
for i in 0 to ncpu -1 loop v.cpurst(i) := apbi.pwdata(i); end loop;
when others =>
if ncpu > 1 then
case apbi.paddr(4 downto 2) is
when "101" =>
v.ibroadcast := apbi.pwdata(15 downto 1);
when others =>
end case;
end if;
end case;
when "01" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
v.imask(i) := apbi.pwdata(15 downto 1);
if eirq /= 0 then v2.imask(i) := apbi.pwdata(31 downto 16); end if;
end if;
end loop;
when "10" =>
for i in 0 to ncpu-1 loop
if i = conv_integer( apbi.paddr(5 downto 2)) then
v.iforce(i) := (r.iforce(i) or apbi.pwdata(15 downto 1)) and
not apbi.pwdata(31 downto 17);
end if;
end loop;
when others =>
end case;
end if;
-- register new interrupts
for i in 1 to 15 loop
if i > NAHBIRQ-1 then
exit;
end if;
if ncpu = 1 then
v.ipend(i) := v.ipend(i) or apbi.pirq(i);
else
v.ipend(i) := v.ipend(i) or (apbi.pirq(i) and not r.ibroadcast(i));
for j in 0 to ncpu-1 loop
tmpvar := v.iforce(j);
tmpvar(i) := tmpvar(i) or (apbi.pirq(i) and r.ibroadcast(i));
v.iforce(j) := tmpvar;
end loop;
end if;
end loop;
if eirq /= 0 then
for i in 16 to 31 loop
if i > NAHBIRQ-1 then exit; end if;
v2.ipend(i-16) := v2.ipend(i-16) or apbi.pirq(i);
end loop;
end if;
-- interrupt acknowledge
for i in 0 to ncpu-1 loop
if irqi(i).intack = '1' then
tmpirq := decode(irqi(i).irl);
temp(i) := tmpirq(15 downto 1);
v.iforce(i) := v.iforce(i) and not temp(i);
v.ipend := v.ipend and not ((not r.iforce(i)) and temp(i));
if eirq /= 0 then
if eirq = conv_integer(irqi(i).irl) then
v2.irl(i) := orv(temp2(i)) & prioritize(temp2(i));
if v2.irl(i)(4) = '1' then
v2.ipend(conv_integer(v2.irl(i)(3 downto 0))) := '0';
end if;
end if;
end if;
end if;
end loop;
-- reset
if (not RESET_ALL) and (rst = '0') then
v.imask := RRES.imask; v.iforce := RRES.iforce; v.ipend := RRES.ipend;
if ncpu > 1 then
v.ibroadcast := RRES.ibroadcast;
end if;
v2.ipend := ERES.ipend; v2.imask := ERES.imask; v2.irl := ERES.irl;
end if;
apbo.prdata <= prdata;
for i in 0 to ncpu-1 loop
irqo(i).irl <= r.irl(i); irqo(i).rst <= r.cpurst(i);
irqo(i).run <= cpurun(i);
irqo(i).rstvec <= (others => '0'); -- Alternate reset vector
irqo(i).iact <= '0';
irqo(i).index <= conv_std_logic_vector(i, 4);
irqo(i).hrdrst <= '0';
end loop;
rin <= v; r2in <= v2;
end process;
apbo.pirq <= (others => '0');
apbo.pconfig <= pconfig;
apbo.pindex <= pindex;
regs : process(clk)
begin
if rising_edge(clk) then
r <= rin;
if RESET_ALL and (rst = '0') then r <= RRES; end if;
end if;
end process;
dor2regs : if eirq /= 0 generate
regs : process(clk)
begin
if rising_edge(clk) then
r2 <= r2in;
if RESET_ALL and (rst = '0') then r2 <= ERES; end if;
end if;
end process;
end generate;
nor2regs : if eirq = 0 generate
-- r2 <= ((others => "0000000000000000"), "0000000000000000", (others => "00000"));
r2.ipend <= (others => '0');
driveregs: for i in 0 to (ncpu-1) generate
r2.imask(i) <= (others => '0');
r2.irl(i) <= (others => '0');
end generate driveregs;
end generate;
-- pragma translate_off
bootmsg : report_version
generic map ("irqmp" &
": Multi-processor Interrupt Controller rev " & tost(REVISION) &
", #cpu " & tost(NCPU) & ", eirq " & tost(eirq));
-- pragma translate_on
end;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/greth/greth_mb.vhd | 1 | 13884 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: greth_mb
-- File: greth_mb.vhd
-- Author: Marko Isomaki
-- Description: Ethernet Media Access Controller with Ethernet Debug
-- Communication Link and dual AHB master interfaces
------------------------------------------------------------------------------
library ieee;
library grlib;
library gaisler;
use ieee.std_logic_1164.all;
use grlib.stdlib.all;
use grlib.amba.all;
use grlib.devices.all;
library techmap;
use techmap.gencomp.all;
use gaisler.net.all;
use gaisler.ethernet_mac.all;
library eth;
use eth.ethcomp.all;
entity greth_mb is
generic(
hindex : integer := 0;
ehindex : integer := 0;
pindex : integer := 0;
paddr : integer := 0;
pmask : integer := 16#FFF#;
pirq : integer := 0;
memtech : integer := 0;
ifg_gap : integer := 24;
attempt_limit : integer := 16;
backoff_limit : integer := 10;
slot_time : integer := 128;
mdcscaler : integer range 0 to 255 := 25;
enable_mdio : integer range 0 to 1 := 0;
fifosize : integer range 4 to 512 := 8;
nsync : integer range 1 to 2 := 2;
edcl : integer range 0 to 3 := 0;
edclbufsz : integer range 1 to 64 := 1;
macaddrh : integer := 16#00005E#;
macaddrl : integer := 16#000000#;
ipaddrh : integer := 16#c0a8#;
ipaddrl : integer := 16#0035#;
phyrstadr : integer range 0 to 32 := 0;
rmii : integer range 0 to 1 := 0;
oepol : integer range 0 to 1 := 0;
scanen : integer range 0 to 1 := 0;
ft : integer range 0 to 2 := 0;
edclft : integer range 0 to 2 := 0;
mdint_pol : integer range 0 to 1 := 0;
enable_mdint : integer range 0 to 1 := 0;
multicast : integer range 0 to 1 := 0;
edclsepahb : integer range 0 to 1 := 0;
ramdebug : integer range 0 to 2 := 0;
mdiohold : integer := 1;
maxsize : integer;
gmiimode : integer range 0 to 1 := 0
);
port(
rst : in std_ulogic;
clk : in std_ulogic;
ahbmi : in ahb_mst_in_type;
ahbmo : out ahb_mst_out_type;
ahbmi2 : in ahb_mst_in_type;
ahbmo2 : out ahb_mst_out_type;
apbi : in apb_slv_in_type;
apbo : out apb_slv_out_type;
ethi : in eth_in_type;
etho : out eth_out_type
);
end entity;
architecture rtl of greth_mb is
function getfifosize(edcl, fifosize, ebufsize : in integer) return integer is
begin
if (edcl /= 0) and (ebufsize > fifosize) then
return ebufsize;
else
return fifosize;
end if;
end function;
constant fabits : integer := log2(fifosize);
type szvct is array (0 to 6) of integer;
constant ebuf : szvct := (64, 128, 128, 256, 256, 256, 256);
constant eabits : integer := log2(edclbufsz) + 8;
constant bufsize : std_logic_vector(2 downto 0) :=
conv_std_logic_vector(log2(edclbufsz), 3);
constant ebufsize : integer := ebuf(log2(edclbufsz));
constant txfifosize : integer := getfifosize(edcl, fifosize, ebufsize);
constant txfabits : integer := log2(txfifosize);
constant REVISION : amba_version_type := 0;
constant pconfig : apb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, REVISION, pirq),
1 => apb_iobar(paddr, pmask));
constant hconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_ETHMAC, 0, revision, 0),
others => zero32);
constant ehconfig : ahb_config_type := (
0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_EDCLMST, 0, REVISION, 0),
others => zero32);
signal irq : std_ulogic;
--rx ahb fifo
signal rxrenable : std_ulogic;
signal rxraddress : std_logic_vector(10 downto 0);
signal rxwrite : std_ulogic;
signal rxwdata : std_logic_vector(31 downto 0);
signal rxwaddress : std_logic_vector(10 downto 0);
signal rxrdata : std_logic_vector(31 downto 0);
--tx ahb fifo
signal txrenable : std_ulogic;
signal txraddress : std_logic_vector(10 downto 0);
signal txwrite : std_ulogic;
signal txwdata : std_logic_vector(31 downto 0);
signal txwaddress : std_logic_vector(10 downto 0);
signal txrdata : std_logic_vector(31 downto 0);
--edcl buf
signal erenable : std_ulogic;
signal eraddress : std_logic_vector(15 downto 0);
signal ewritem : std_ulogic;
signal ewritel : std_ulogic;
signal ewaddressm : std_logic_vector(15 downto 0);
signal ewaddressl : std_logic_vector(15 downto 0);
signal ewdata : std_logic_vector(31 downto 0);
signal erdata : std_logic_vector(31 downto 0);
signal lmdio_oe : std_ulogic;
-- Fix for wider bus
signal hwdata : std_logic_vector(31 downto 0);
signal hrdata : std_logic_vector(31 downto 0);
signal ehwdata : std_logic_vector(31 downto 0);
signal ehrdata : std_logic_vector(31 downto 0);
begin
ethc0: grethc
generic map(
ifg_gap => ifg_gap,
attempt_limit => attempt_limit,
backoff_limit => backoff_limit,
mdcscaler => mdcscaler,
enable_mdio => enable_mdio,
fifosize => fifosize,
nsync => nsync,
edcl => edcl,
edclbufsz => edclbufsz,
macaddrh => macaddrh,
macaddrl => macaddrl,
ipaddrh => ipaddrh,
ipaddrl => ipaddrl,
phyrstadr => phyrstadr,
rmii => rmii,
oepol => oepol,
scanen => scanen,
mdint_pol => mdint_pol,
enable_mdint => enable_mdint,
multicast => multicast,
edclsepahbg => edclsepahb,
ramdebug => ramdebug,
mdiohold => mdiohold,
maxsize => maxsize,
gmiimode => gmiimode
)
port map(
rst => rst,
clk => clk,
--ahb mst in
hgrant => ahbmi.hgrant(hindex),
hready => ahbmi.hready,
hresp => ahbmi.hresp,
hrdata => hrdata,
--ahb mst out
hbusreq => ahbmo.hbusreq,
hlock => ahbmo.hlock,
htrans => ahbmo.htrans,
haddr => ahbmo.haddr,
hwrite => ahbmo.hwrite,
hsize => ahbmo.hsize,
hburst => ahbmo.hburst,
hprot => ahbmo.hprot,
hwdata => hwdata,
--edcl ahb mst in
ehgrant => ahbmi2.hgrant(ehindex),
ehready => ahbmi2.hready,
ehresp => ahbmi2.hresp,
ehrdata => ehrdata,
--edcl ahb mst out
ehbusreq => ahbmo2.hbusreq,
ehlock => ahbmo2.hlock,
ehtrans => ahbmo2.htrans,
ehaddr => ahbmo2.haddr,
ehwrite => ahbmo2.hwrite,
ehsize => ahbmo2.hsize,
ehburst => ahbmo2.hburst,
ehprot => ahbmo2.hprot,
ehwdata => ehwdata,
--apb slv in
psel => apbi.psel(pindex),
penable => apbi.penable,
paddr => apbi.paddr,
pwrite => apbi.pwrite,
pwdata => apbi.pwdata,
--apb slv out
prdata => apbo.prdata,
--irq
irq => irq,
--rx ahb fifo
rxrenable => rxrenable,
rxraddress => rxraddress,
rxwrite => rxwrite,
rxwdata => rxwdata,
rxwaddress => rxwaddress,
rxrdata => rxrdata,
--tx ahb fifo
txrenable => txrenable,
txraddress => txraddress,
txwrite => txwrite,
txwdata => txwdata,
txwaddress => txwaddress,
txrdata => txrdata,
--edcl buf
erenable => erenable,
eraddress => eraddress,
ewritem => ewritem,
ewritel => ewritel,
ewaddressm => ewaddressm,
ewaddressl => ewaddressl,
ewdata => ewdata,
erdata => erdata,
--ethernet input signals
rmii_clk => ethi.rmii_clk,
tx_clk => ethi.tx_clk,
tx_dv => ethi.tx_dv,
rx_clk => ethi.rx_clk,
rxd => ethi.rxd(3 downto 0),
rx_dv => ethi.rx_dv,
rx_er => ethi.rx_er,
rx_col => ethi.rx_col,
rx_crs => ethi.rx_crs,
rx_en => ethi.rx_en,
mdio_i => ethi.mdio_i,
phyrstaddr => ethi.phyrstaddr,
mdint => ethi.mdint,
--ethernet output signals
reset => etho.reset,
txd => etho.txd(3 downto 0),
tx_en => etho.tx_en,
tx_er => etho.tx_er,
mdc => etho.mdc,
mdio_o => etho.mdio_o,
mdio_oe => lmdio_oe,
--scantest
testrst => ahbmi.testrst,
testen => ahbmi.testen,
testoen => ahbmi.testoen,
edcladdr => ethi.edcladdr,
edclsepahb => ethi.edclsepahb,
edcldisable => ethi.edcldisable);
etho.mdio_oe <= ahbmi.testoen when (scanen = 1) and (ahbmi.testen = '1')
else lmdio_oe;
irqdrv : process(irq)
begin
apbo.pirq <= (others => '0');
apbo.pirq(pirq) <= irq;
end process;
hrdata <= ahbreadword(ahbmi.hrdata);
ahbmo.hwdata <= ahbdrivedata(hwdata);
ahbmo.hconfig <= hconfig;
ahbmo.hindex <= hindex;
ahbmo.hirq <= (others => '0');
ehrdata <= ahbreadword(ahbmi2.hrdata);
ahbmo2.hwdata <= ahbdrivedata(ehwdata);
ahbmo2.hconfig <= ehconfig;
ahbmo2.hindex <= ehindex;
ahbmo2.hirq <= (others => '0');
apbo.pconfig <= pconfig;
apbo.pindex <= pindex;
-------------------------------------------------------------------------------
-- FIFOS ----------------------------------------------------------------------
-------------------------------------------------------------------------------
nft : if ft = 0 generate
tx_fifo0 : syncram_2p generic map(tech => memtech, abits => txfabits,
dbits => 32, sepclk => 0)
port map(clk, txrenable, txraddress(txfabits-1 downto 0), txrdata, clk,
txwrite, txwaddress(txfabits-1 downto 0), txwdata);
rx_fifo0 : syncram_2p generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0)
port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk,
rxwrite, rxwaddress(fabits-1 downto 0), rxwdata);
end generate;
ft1 : if ft /= 0 generate
tx_fifo0 : syncram_2pft generic map(tech => memtech, abits => txfabits,
dbits => 32, sepclk => 0, ft => ft)
port map(clk, txrenable, txraddress(txfabits-1 downto 0), txrdata, clk,
txwrite, txwaddress(txfabits-1 downto 0), txwdata);
rx_fifo0 : syncram_2pft generic map(tech => memtech, abits => fabits,
dbits => 32, sepclk => 0, ft => ft)
port map(clk, rxrenable, rxraddress(fabits-1 downto 0), rxrdata, clk,
rxwrite, rxwaddress(fabits-1 downto 0), rxwdata);
end generate;
-------------------------------------------------------------------------------
-- EDCL buffer ram ------------------------------------------------------------
-------------------------------------------------------------------------------
edclramnft : if (edcl /= 0) and (edclft = 0) generate
r0 : syncram_2p generic map (memtech, eabits, 16) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk,
ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16));
r1 : syncram_2p generic map (memtech, eabits, 16) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk,
ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0));
end generate;
edclramft1 : if (edcl /= 0) and (edclft /= 0) generate
r0 : syncram_2p generic map (memtech, eabits, 16, 0, 0, ft) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(31 downto 16), clk,
ewritem, ewaddressm(eabits-1 downto 0), ewdata(31 downto 16));
r1 : syncram_2p generic map (memtech, eabits, 16, 0, 0, ft) port map(
clk, erenable, eraddress(eabits-1 downto 0), erdata(15 downto 0), clk,
ewritel, ewaddressl(eabits-1 downto 0), ewdata(15 downto 0));
end generate;
-- pragma translate_off
bootmsg : report_version
generic map (
"greth" & tost(hindex) & ": 10/100 Mbit Ethernet MAC rev " & tost(REVISION)
& tost(hindex) & ", EDCL " & tost(edcl) & ", buffer " &
tost(edclbufsz) & " kbyte " & tost(txfifosize) & " txfifo," &
" irq " & tost(pirq)
);
-- pragma translate_on
end architecture;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/techmap/maps/syncram_2pbw.vhd | 1 | 9245 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: syncram_2pbw
-- File: syncram_2pbw.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: syncronous 2-port ram with tech selection and 8-bit write
-- strobes
------------------------------------------------------------------------------
library ieee;
library techmap;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use techmap.allmem.all;
library grlib;
use grlib.config.all;
use grlib.config_types.all;
use grlib.stdlib.all;
entity syncram_2pbw is
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
sepclk : integer := 0; wrfst : integer := 0; testen : integer := 0;
words : integer := 0; custombits : integer := 1);
port (
rclk : in std_ulogic;
renable : in std_logic_vector((dbits/8-1) downto 0);
raddress : in std_logic_vector((abits-1) downto 0);
dataout : out std_logic_vector((dbits-1) downto 0);
wclk : in std_ulogic;
write : in std_logic_vector((dbits/8-1) downto 0);
waddress : in std_logic_vector((abits-1) downto 0);
datain : in std_logic_vector((dbits-1) downto 0);
testin : in std_logic_vector(TESTIN_WIDTH-1 downto 0) := testin_none;
customclk: in std_ulogic := '0';
customin : in std_logic_vector((dbits/8)*custombits-1 downto 0) := (others => '0');
customout:out std_logic_vector((dbits/8)*custombits-1 downto 0));
end;
architecture rtl of syncram_2pbw is
constant nctrl : integer := abits*2 + 2 + 2*dbits/8;
signal dataoutx : std_logic_vector((dbits -1) downto 0);
signal databp, testdata : std_logic_vector((dbits -1) downto 0);
signal renable2 : std_logic_vector((dbits/8-1) downto 0);
constant SCANTESTBP : boolean := (testen = 1) and (tech /= 0) and (tech /= ut90);
constant iwrfst : integer := (1-syncram_2p_write_through(tech)) * wrfst;
signal xrenable,xwrite : std_logic_vector(dbits/8-1 downto 0);
signal custominx,customoutx: std_logic_vector(syncram_customif_maxwidth downto 0);
begin
xrenable <= renable when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0');
xwrite <= write when testen=0 or testin(TESTIN_WIDTH-2)='0' else (others => '0');
s2pbw : if has_sram_2pbw(tech) = 1 generate
no_wrfst : if iwrfst = 0 generate
scanbp : if SCANTESTBP generate
comb : process (waddress, raddress, datain, renable, write, testin)
variable tmp : std_logic_vector((dbits -1) downto 0);
variable ctrlsigs : std_logic_vector((nctrl -1) downto 0);
begin
ctrlsigs := testin(1 downto 0) & write & renable & raddress & waddress;
tmp := datain;
for i in 0 to nctrl-1 loop
tmp(i mod dbits) := tmp(i mod dbits) xor ctrlsigs(i);
end loop;
testdata <= tmp;
end process;
reg : process(wclk) begin
if rising_edge(wclk) then databp <= testdata; end if;
end process;
dmuxout : for i in 0 to dbits-1 generate
x0 : grmux2 generic map (tech)
port map (dataoutx(i), databp(i), testin(3), dataout(i));
end generate;
end generate;
noscanbp : if not SCANTESTBP generate dataout <= dataoutx; end generate;
-- Write contention check (if applicable)
wcheck : for i in 0 to dbits/8-1 generate
renable2(i) <= '0' when ((sepclk = 0 and syncram_2p_dest_rw_collision(tech) = 1) and
(renable(i) and write(i)) = '1' and raddress = waddress) else renable(i);
end generate;
end generate;
wrfst_gen : if iwrfst = 1 generate
-- No risk for read/write contention. Register addresses and mux on comparator
no_contention_check : if syncram_2p_dest_rw_collision(tech) = 0 generate
wfrstblocknoc : block
type wrfst_type is record
raddr : std_logic_vector((abits-1) downto 0);
waddr : std_logic_vector((abits-1) downto 0);
datain : std_logic_vector((dbits-1) downto 0);
write : std_logic_vector((dbits/8-1) downto 0);
renable : std_logic_vector((dbits/8-1) downto 0);
end record;
signal r : wrfst_type;
begin
comb : process(r, dataoutx, testin) begin
for i in 0 to dbits/8-1 loop
if (SCANTESTBP and (testin(3) = '1')) or
(((r.write(i) and r.renable(i)) = '1') and (r.raddr = r.waddr)) then
dataout(i*8+7 downto i*8) <= r.datain(i*8+7 downto i*8);
else dataout(i*8+7 downto i*8) <= dataoutx(i*8+7 downto i*8); end if;
end loop;
end process;
reg : process(wclk) begin
if rising_edge(wclk) then
r.raddr <= raddress; r.waddr <= waddress;
r.datain <= datain; r.write <= write;
r.renable <= renable;
end if;
end process;
end block wfrstblocknoc;
renable2 <= renable;
end generate;
-- Risk of read/write contention. Use same comparator to gate read enable
-- and mux data.
contention_safe : if syncram_2p_dest_rw_collision(tech) /= 0 generate
wfrstblockc : block
signal col, mux : std_logic_vector((dbits/8-1) downto 0);
signal rdatain : std_logic_vector((dbits-1) downto 0);
begin
comb : process(mux, renable, write, raddress, waddress, rdatain,
dataoutx, testin)
begin
for i in 0 to dbits/8-1 loop
col(i) <= '0'; renable2(i) <= renable(i);
if (write(i) and renable(i)) = '1' and raddress = waddress then
col(i) <= '1'; renable2(i) <= '0';
end if;
if (SCANTESTBP and (testin(3) = '1')) or mux(i) = '1' then
dataout(i*8+7 downto i*8) <= rdatain(i*8+7 downto i*8);
else dataout(i*8+7 downto i*8) <= dataoutx(i*8+7 downto i*8); end if;
end loop;
end process;
reg : process(wclk) begin
if rising_edge(wclk) then
rdatain <= datain; mux <= col;
end if;
end process;
end block wfrstblockc;
end generate;
end generate wrfst_gen;
custominx(custominx'high downto custombits) <= (others => '0');
custominx(custombits-1 downto 0) <= customin;
nocust: if has_sram_2pbw(tech)=0 or syncram_has_customif(tech)=0 generate
customoutx <= (others => '0');
end generate;
co0: if has_sram_2pbw(tech)=1 generate
customout(custombits-1 downto 0) <= customoutx(custombits-1 downto 0);
customout(customout'high downto custombits) <= (others => '0');
end generate;
n2x : if tech = easic45 generate
x0 : n2x_syncram_2p_be generic map (abits, dbits, sepclk, iwrfst)
port map (rclk, renable2, raddress, dataoutx, wclk,
write, waddress, datain);
end generate;
-- pragma translate_off
noram : if has_2pram(tech) = 0 generate
x : process
begin
assert false report "synram_2pbw: technology " & tech_table(tech) &
" not supported"
severity failure;
wait;
end process;
end generate;
dmsg : if GRLIB_CONFIG_ARRAY(grlib_debug_level) >= 2 generate
x : process
begin
assert false report "syncram_2pbw: " & tost(2**abits) & "x" & tost(dbits) &
" (" & tech_table(tech) & ")"
severity note;
wait;
end process;
end generate;
generic_check : process
begin
assert sepclk = 0 or wrfst = 0
report "syncram_2pbw: Write-first not supported for RAM with separate clocks"
severity failure;
wait;
end process;
-- pragma translate_on
end generate;
nos2pbw : if has_sram_2pbw(tech) /= 1 generate
rx : for i in 0 to dbits/8-1 generate
x0 : syncram_2p generic map (tech, abits, 8, sepclk, wrfst, testen, words, custombits)
port map (rclk, renable(i), raddress, dataout(i*8+7 downto i*8), wclk, write(i),
waddress, datain(i*8+7 downto i*8), testin,
customclk, customin((i+1)*custombits-1 downto i*custombits),
customout((i+1)*custombits-1 downto i*custombits));
end generate;
end generate;
end;
| gpl-2.0 |
a4a881d4/ringbus4xilinx | src/cbus/CMaster.vhd | 2 | 7611 | ---------------------------------------------------------------------------------------------------
--
-- Title : Control Bus Master
-- Design : Ring Bus
-- Author : Zhao Ming
-- Company : a4a881d4
--
---------------------------------------------------------------------------------------------------
--
-- File : CMaster.vhd
-- Generated : 2013/9/13
-- From :
-- By :
--
---------------------------------------------------------------------------------------------------
--
-- Description : Control bus master
--
-- Rev: 3.1
--
---------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library work;
use work.rb_config.all;
use work.contr_config.all;
entity CMaster is
generic(
Bwidth : natural := 16;
POS : natural := 0;
MyBusID : natural := 0
);
port(
-- system
clk : in STD_LOGIC;
rst : in STD_LOGIC;
-- send to bus
tx: out std_logic_vector(Bwidth-1 downto 0);
Req : out std_logic;
tx_sop : in std_logic;
en : in std_logic;
-- read from bus
rx_sop : in std_logic;
rx: in std_logic_vector(Bwidth-1 downto 0);
-- Local Bus
CS : in std_logic;
addr : in std_logic_vector(3 downto 0);
Din : in STD_LOGIC_VECTOR(7 downto 0);
Dout : out STD_LOGIC_VECTOR(7 downto 0);
cpuClk : in std_logic;
wr : in std_logic;
rd : in std_logic
--
);
end CMaster;
architecture behave of CMaster is
signal addr_cpu : std_logic_vector( Bwidth-1 downto 0 ) := (others=>'0');
signal word3_cpu : std_logic_vector( Bwidth-1 downto 0 ) := (others=>'0');
signal cs_wr : std_logic := '0';
signal inCommand : std_logic_vector( command_end downto command_start ) := (others => '0');
signal inDBUSID : std_logic_vector( dbusid_end downto dbusid_start ) := (others => '0');
signal inAddr : std_logic_vector( daddr_end downto daddr_start ) := (others => '0');
signal inTag, returnTag, rdTag : std_logic_vector( len_length-1 downto 0 ) := ( others=>'0' );
signal TagState : std_logic_vector( 2**len_length-1 downto 0 ) := ( others=>'0' );
signal req_cpu : std_logic := '0';
signal tstate,rstate : natural := 0;
signal busy_i : std_logic := '0';
signal tagen : std_logic := '0';
signal TagData : std_logic_vector( Bwidth-1 downto 0 ) := (others=>'0');
component AAI
generic(
width : natural := 32;
Baddr : std_logic_vector( 3 downto 0 ) := "0000"
);
port(
-- system signal
rst : in STD_LOGIC;
-- CPU bus
CS : in std_logic;
addr : in std_logic_vector( 3 downto 0 );
Din : in std_logic_vector( 7 downto 0 );
cpuClk : in std_logic;
Q : out std_logic_vector( width-1 downto 0 )
);
end component;
component blockdram
generic(
depth: integer := 256;
Dwidth: integer := 8;
Awidth: integer := 8
);
port(
addra: IN std_logic_VECTOR(Awidth-1 downto 0);
clka: IN std_logic;
addrb: IN std_logic_VECTOR(Awidth-1 downto 0);
clkb: IN std_logic;
dia: IN std_logic_VECTOR(Dwidth-1 downto 0);
wea: IN std_logic;
reb: IN std_logic;
dob: OUT std_logic_VECTOR(Dwidth-1 downto 0) := (others => '0')
);
end component;
begin
cs_wr <= cs and wr;
ADDR_AAI:AAI
generic map(
width => Bwidth,
Baddr => reg_Control_ADDR
)
port map(
rst => rst,
CS => cs_wr,
addr => addr,
Din => Din,
cpuClk => cpuClk,
Q => addr_cpu
);
DATA_AAI:AAI
generic map(
width => Bwidth,
Baddr => reg_Control_DATA
)
port map(
rst => rst,
CS => cs_wr,
addr => addr,
Din => Din,
cpuClk => cpuClk,
Q => word3_cpu
);
tagmem:blockdram
generic map(
depth => 2**len_length,
Dwidth => Bwidth,
Awidth => len_length
)
port map(
addra => returnTag,
clka => clk,
addrb => rdTag,
clkb => clk,
dia => rx,
wea => tagen,
reb => '1',
dob => TagData
);
cpuwriteP:process( cpuClk, rst, tstate )
begin
if rst='1' then
inAddr<=( others=>'0' );
inDBUSID<=( others=>'0' );
inCommand<=( others=>'0' );
inTag<=( others=>'0' );
rdTag<=( others=>'0' );
elsif rising_edge(cpuClk) then
if cs_wr='1' then
case addr is
when reg_Control_BADDR =>
inAddr<=Din( addr_length-1 downto 0 );
when reg_Control_BID =>
inDBUSID<=Din( busid_length-1 downto 0 );
when reg_Control_Tag =>
inTag<=Din( len_length-1 downto 0 );
when reg_Control_rdTag =>
rdTag<=Din( len_length-1 downto 0 );
when reg_Control_Command =>
inCommand<=Din( command_length-1 downto 0 );
when others =>
null;
end case;
end if;
end if;
if tstate=state_loading then
req_cpu<='0';
elsif rising_edge(cpuClk) then
if cs_wr='1' and addr=reg_Control_START then
req_cpu<='1';
end if;
end if;
end process;
TagStateP:process(clk,rst)
begin
if rst='1' then
TagState<=( others=>'0' );
elsif rising_edge(clk) then
if tstate=state_ADDR and inCommand=command_read then
TagState(conv_integer(inTag))<='1';
end if;
if tagen='1' then
TagState(conv_integer(returnTag))<='0';
end if;
end if;
end process;
FSMT:process(clk,rst)
begin
if rst='1' then
tstate<=state_IDLE;
req<='0';
busy_i<='0';
tx <= zeros( Bwidth-1 downto 0 );
elsif rising_edge(clk) then
case tstate is
when state_IDLE =>
if req_cpu='1' then
tstate<=state_LOADING;
busy_i<='1';
else
busy_i<='0';
end if;
req<='0';
when state_LOADING =>
tx( command_end downto command_start )<=inCommand;
tx( dbusid_end downto dbusid_start )<=inDBUSID;
tx( daddr_end downto daddr_start )<=inAddr;
tx( len_end downto len_start ) <= zeros(len_end downto len_start)+2;
req<='1';
tstate<=state_SENDING;
when state_SENDING =>
if en='1' and tx_sop='1' then
tx<=addr_cpu;
tstate<=state_ADDR;
req<='0';
end if;
when state_ADDR =>
if inCommand=command_write then
tx<=word3_cpu;
else
tx( command_end downto command_start )<=command_complete;
tx( dbusid_end downto dbusid_start )<=zeros( dbusid_end downto dbusid_start )+MyBusID;
tx( daddr_end downto daddr_start )<=zeros( daddr_end downto daddr_start )+POS;
tx( len_end downto len_start )<=inTag;
end if;
tstate<=state_IDLE;
busy_i<='0';
when others =>
req<='0';
tstate<=state_IDLE;
end case;
end if;
end process;
FSMR:process(clk,rst)
begin
if rst='1' then
rstate<=state_IDLE;
returnTag<=( others=>'0' );
tagen<='0';
elsif rising_edge(clk) then
case rstate is
when state_IDLE =>
if rx_sop='1' and rx( command_end downto command_start )=command_complete then
rstate<=state_ADDR;
tagen<='0';
end if;
tagen<='0';
when state_ADDR =>
returnTag<=rx( len_end downto len_start );
tagen<='1';
rstate<=state_IDLE;
when others =>
rstate<=state_IDLE;
end case;
end if;
end process;
rdP:process(rd,addr,cs,rdTag)
begin
if rd='1' and cs='1' then
case addr is
when reg_Control_Busy =>
Dout(0)<=busy_i;
Dout( 7 downto 1 )<=(others=>'Z');
when reg_Control_TagState =>
Dout(0)<=TagState(conv_integer(rdTag));
Dout( 7 downto 1 )<=(others=>'Z');
when reg_Control_TagData =>
Dout<=TagData( 7 downto 0 );
when others =>
Dout<=(others=>'Z');
end case;
end if;
end process;
end behave;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/srmmu/mmulrue.vhd | 1 | 3267 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: mmulrue
-- File: mmulrue.vhd
-- Author: Konrad Eisele, Jiri Gaisler, Gaisler Research
-- Description: MMU LRU logic
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.config_types.all;
use grlib.config.all;
use grlib.amba.all;
use grlib.stdlib.all;
library gaisler;
use gaisler.mmuconfig.all;
use gaisler.mmuiface.all;
entity mmulrue is
generic (
position : integer;
entries : integer := 8 );
port (
rst : in std_logic;
clk : in std_logic;
lruei : in mmulrue_in_type;
lrueo : out mmulrue_out_type );
end mmulrue;
architecture rtl of mmulrue is
constant entries_log : integer := log2(entries);
type lru_rtype is record
pos : std_logic_vector(entries_log-1 downto 0);
movetop : std_logic;
end record;
constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1;
signal c,r : lru_rtype;
begin
p0: process (rst, r, lruei)
variable v : lru_rtype;
variable ov : mmulrue_out_type;
begin
v := r; ov := mmulrue_out_none;
-- #init
if (r.movetop) = '1' then
if (lruei.fromleft) = '0' then
v.pos := lruei.left(entries_log-1 downto 0);
v.movetop := '0';
end if;
elsif (lruei.fromright) = '1' then
v.pos := lruei.right(entries_log-1 downto 0);
v.movetop := not lruei.clear;
end if;
if (lruei.touch and not lruei.clear) = '1' then -- touch request
if (v.pos = lruei.pos(entries_log-1 downto 0)) then -- check
v.movetop := '1';
end if;
end if;
if ((not RESET_ALL) and (rst = '0')) or (lruei.flush = '1') then
v.pos := conv_std_logic_vector(position, entries_log);
v.movetop := '0';
end if;
--# Drive signals
ov.pos(entries_log-1 downto 0) := r.pos;
ov.movetop := r.movetop;
lrueo <= ov;
c <= v;
end process p0;
p1: process (clk)
begin
if rising_edge(clk) then
r <= c;
if RESET_ALL and (rst = '0') then
r.pos <= conv_std_logic_vector(position, entries_log);
r.movetop <= '0';
end if;
end if;
end process p1;
end rtl;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-arrow-bemicro-sdk/testbench.vhd | 1 | 7265 | ------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
------------------------------------------------------------------------------
-- LEON3 BeMicro SDK design testbench
-- Copyright (C) 2011 - 2013 Aeroflex Gaisler
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library gaisler;
use gaisler.sim.all;
library techmap;
use techmap.gencomp.all;
use work.config.all; -- configuration
entity testbench is
generic (
fabtech : integer := CFG_FABTECH;
memtech : integer := CFG_MEMTECH;
padtech : integer := CFG_PADTECH;
clktech : integer := CFG_CLKTECH;
ncpu : integer := CFG_NCPU;
disas : integer := CFG_DISAS; -- Enable disassembly to console
dbguart : integer := CFG_DUART; -- Print UART on console
pclow : integer := CFG_PCLOW;
clkperiod : integer := 20 -- system clock period
);
end;
architecture behav of testbench is
constant promfile : string := "prom.srec"; -- rom contents
constant sramfile : string := "ram.srec"; -- ram contents
constant sdramfile : string := "ram.srec"; -- sdram contents
constant ct : integer := clkperiod/2;
signal cpu_rst_n : std_ulogic := '0';
signal clk_fpga_50m : std_ulogic := '0';
-- DDR SDRAM
signal ram_a : std_logic_vector (13 downto 0); -- ddr address
signal ram_ck_p : std_logic;
signal ram_ck_n : std_logic;
signal ram_cke : std_logic;
signal ram_cs_n : std_logic;
signal ram_ws_n : std_ulogic; -- ddr write enable
signal ram_ras_n : std_ulogic; -- ddr ras
signal ram_cas_n : std_ulogic; -- ddr cas
signal ram_dm : std_logic_vector(1 downto 0); -- ram_udm & ram_ldm
signal ram_dqs : std_logic_vector (1 downto 0); -- ram_udqs & ram_lqds
signal ram_ba : std_logic_vector (1 downto 0); -- ddr bank address
signal ram_d : std_logic_vector (15 downto 0); -- ddr data
-- Ethernet PHY
signal txd : std_logic_vector(3 downto 0);
signal rxd : std_logic_vector(3 downto 0);
signal tx_clk : std_logic;
signal rx_clk : std_logic;
signal tx_en : std_logic;
signal rx_dv : std_logic;
signal eth_crs : std_logic;
signal rx_er : std_logic;
signal eth_col : std_logic;
signal mdio : std_logic;
signal mdc : std_logic;
signal eth_reset_n : std_logic;
-- Temperature sensor
signal temp_sc : std_logic;
signal temp_cs_n : std_logic;
signal temp_sio : std_logic;
-- LEDs
signal f_led : std_logic_vector(7 downto 0);
-- User push-button
signal pbsw_n : std_logic;
-- Reconfig SW1 and SW2
signal reconfig_sw : std_logic_vector(2 downto 1);
-- SD card interface
signal sd_dat0 : std_logic;
signal sd_dat1 : std_logic;
signal sd_dat2 : std_logic;
signal sd_dat3 : std_logic;
signal sd_cmd : std_logic;
signal sd_clk : std_logic;
-- Ethernet PHY sim model
signal phy_tx_er : std_ulogic;
signal phy_gtx_clk : std_ulogic;
signal txdt : std_logic_vector(7 downto 0) := (others => '0');
signal rxdt : std_logic_vector(7 downto 0) := (others => '0');
-- EPCS
signal epcs_data : std_ulogic;
signal epcs_dclk : std_ulogic;
signal epcs_csn : std_logic;
signal epcs_asdi : std_logic;
begin
-- clock and reset
clk_fpga_50m <= not clk_fpga_50m after ct * 1 ns;
cpu_rst_n <= '0', '1' after 200 ns;
-- Push button, connected to DSU break, kept high
pbsw_n <= 'H';
reconfig_sw <= (others => 'H');
-- LEON3 SoC
d3 : entity work.leon3mp
generic map (fabtech, memtech, padtech, clktech, ncpu, disas, dbguart, pclow)
port map (
cpu_rst_n, clk_fpga_50m,
-- DDR SDRAM
ram_a, ram_ck_p, ram_ck_n, ram_cke, ram_cs_n, ram_ws_n,
ram_ras_n, ram_cas_n, ram_dm, ram_dqs, ram_ba, ram_d,
-- Ethernet PHY
txd, rxd, tx_clk, rx_clk, tx_en, rx_dv, eth_crs, rx_er,
eth_col, mdio, mdc, eth_reset_n,
-- Temperature sensor
temp_sc, temp_cs_n, temp_sio,
-- LEDs
f_led,
-- User push-button
pbsw_n,
-- Reconfig SW1 and SW2
reconfig_sw,
-- SD card interface
sd_dat0, sd_dat1, sd_dat2, sd_dat3, sd_cmd, sd_clk,
-- EPCS
epcs_data, epcs_dclk, epcs_csn, epcs_asdi
);
-- SD card signals
spiflashmod0 : spi_flash
generic map (ftype => 3, debug => 0, dummybyte => 0)
port map (sck => sd_clk, di => sd_cmd, do => sd_dat0, csn => sd_dat3);
sd_dat0 <= 'Z'; sd_cmd <= 'Z';
-- EPCS
spi0: spi_flash
generic map (
ftype => 4, debug => 0, fname => promfile, readcmd => CFG_SPIMCTRL_READCMD,
dummybyte => CFG_SPIMCTRL_DUMMYBYTE, dualoutput => CFG_SPIMCTRL_DUALOUTPUT,
memoffset => CFG_SPIMCTRL_OFFSET)
port map (sck => epcs_dclk, di => epcs_asdi, do => epcs_data,
csn => epcs_csn, sd_cmd_timeout => open,
sd_data_timeout => open);
-- On the BeMicro the temp_* signals are connected to a temperature sensor
temp_sc <= 'H'; temp_sio <= 'H';
-- DDR memory
ddr0 : ddrram
generic map(width => 16, abits => 14, colbits => 10, rowbits => 13,
implbanks => 1, fname => sdramfile, density => 2)
port map (ck => ram_ck_p, cke => ram_cke, csn => ram_cs_n,
rasn => ram_ras_n, casn => ram_cas_n, wen => ram_ws_n,
dm => ram_dm, ba => ram_ba, a => ram_a, dq => ram_d,
dqs => ram_dqs);
-- Ethernet PHY
mdio <= 'H'; phy_tx_er <= '0'; phy_gtx_clk <= '0';
txdt(3 downto 0) <= txd; rxd <= rxdt(3 downto 0);
p0: phy
generic map(base1000_t_fd => 0, base1000_t_hd => 0, address => 1)
port map(eth_reset_n, mdio, tx_clk, rx_clk, rxdt, rx_dv,
rx_er, eth_col, eth_crs, txdt, tx_en, phy_tx_er, mdc,
phy_gtx_clk);
-- LEDs
f_led <= (others => 'H');
-- Processor error mode indicator is connected to led(6).
iuerr : process
begin
wait for 2500 ns;
if to_x01(f_led(6)) = '1' then wait on f_led(6); end if;
assert (to_x01(f_led(6)) = '1')
report "*** IU in error mode, simulation halted ***"
severity failure ;
end process;
end ;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/lib/gaisler/gr1553b/gr1553b.in.vhd | 4 | 304 | -- MIL-STD-1553 controllers
constant CFG_GR1553B_ENABLE : integer := CONFIG_GR1553B_ENABLE;
constant CFG_GR1553B_RTEN : integer := CONFIG_GR1553B_RTEN;
constant CFG_GR1553B_BCEN : integer := CONFIG_GR1553B_BCEN;
constant CFG_GR1553B_BMEN : integer := CONFIG_GR1553B_BMEN;
| gpl-2.0 |
schmr/grlib | grlib-gpl-1.3.7-b4144/designs/leon3-xilinx-sp601/config.vhd | 1 | 7724 |
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := spartan6;
constant CFG_MEMTECH : integer := spartan6;
constant CFG_PADTECH : integer := spartan6;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := spartan6;
constant CFG_CLKMUL : integer := (18);
constant CFG_CLKDIV : integer := (9);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 16#32# + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 1;
constant CFG_SVT : integer := 1;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 1;
constant CFG_NWP : integer := (0);
constant CFG_PWD : integer := 1*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 1;
constant CFG_ISETSZ : integer := 8;
constant CFG_ILINE : integer := 8;
constant CFG_IREPL : integer := 0;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 1;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 4;
constant CFG_DREPL : integer := 0;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 0*2 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 1;
constant CFG_ITLBNUM : integer := 8;
constant CFG_DTLBNUM : integer := 8;
constant CFG_TLB_TYPE : integer := 0 + 1*2;
constant CFG_TLB_REP : integer := 0;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 1;
constant CFG_ITBSZ : integer := 2;
constant CFG_ATBSZ : integer := 2;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 0;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 1;
-- Ethernet DSU
constant CFG_DSU_ETH : integer := 0 + 0 + 0;
constant CFG_ETH_BUF : integer := 1;
constant CFG_ETH_IPM : integer := 16#C0A8#;
constant CFG_ETH_IPL : integer := 16#0033#;
constant CFG_ETH_ENM : integer := 16#020000#;
constant CFG_ETH_ENL : integer := 16#000009#;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 1;
constant CFG_MCTRL_RAM16BIT : integer := 0;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 0;
constant CFG_MCTRL_SEPBUS : integer := 0;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 0 + 0;
-- DDR controller
constant CFG_DDR2SP : integer := 0;
constant CFG_DDR2SP_INIT : integer := 0;
constant CFG_DDR2SP_FREQ : integer := 100;
constant CFG_DDR2SP_TRFC : integer := 130;
constant CFG_DDR2SP_DATAWIDTH : integer := 64;
constant CFG_DDR2SP_FTEN : integer := 0;
constant CFG_DDR2SP_FTWIDTH : integer := 0;
constant CFG_DDR2SP_COL : integer := 9;
constant CFG_DDR2SP_SIZE : integer := 8;
constant CFG_DDR2SP_DELAY0 : integer := 0;
constant CFG_DDR2SP_DELAY1 : integer := 0;
constant CFG_DDR2SP_DELAY2 : integer := 0;
constant CFG_DDR2SP_DELAY3 : integer := 0;
constant CFG_DDR2SP_DELAY4 : integer := 0;
constant CFG_DDR2SP_DELAY5 : integer := 0;
constant CFG_DDR2SP_DELAY6 : integer := 0;
constant CFG_DDR2SP_DELAY7 : integer := 0;
constant CFG_DDR2SP_NOSYNC : integer := 0;
-- Xilinx MIG
constant CFG_MIG_DDR2 : integer := 1;
constant CFG_MIG_RANKS : integer := (1);
constant CFG_MIG_COLBITS : integer := (10);
constant CFG_MIG_ROWBITS : integer := (13);
constant CFG_MIG_BANKBITS: integer := (2);
constant CFG_MIG_HMASK : integer := 16#F00#;
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- Gaisler Ethernet core
constant CFG_GRETH : integer := 1;
constant CFG_GRETH1G : integer := 0;
constant CFG_ETH_FIFO : integer := 32;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 4;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 0;
constant CFG_GPT_WDOG : integer := 16#0#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#0000#;
constant CFG_GRGPIO_WIDTH : integer := (8);
-- SPI memory controller
constant CFG_SPIMCTRL : integer := 0;
constant CFG_SPIMCTRL_SDCARD : integer := 0;
constant CFG_SPIMCTRL_READCMD : integer := 16#0#;
constant CFG_SPIMCTRL_DUMMYBYTE : integer := 0;
constant CFG_SPIMCTRL_DUALOUTPUT : integer := 0;
constant CFG_SPIMCTRL_SCALER : integer := 1;
constant CFG_SPIMCTRL_ASCALER : integer := 1;
constant CFG_SPIMCTRL_PWRUPCNT : integer := 0;
constant CFG_SPIMCTRL_OFFSET : integer := 16#0#;
-- SPI controller
constant CFG_SPICTRL_ENABLE : integer := 0;
constant CFG_SPICTRL_NUM : integer := 1;
constant CFG_SPICTRL_SLVS : integer := 1;
constant CFG_SPICTRL_FIFO : integer := 1;
constant CFG_SPICTRL_SLVREG : integer := 0;
constant CFG_SPICTRL_ODMODE : integer := 0;
constant CFG_SPICTRL_AM : integer := 0;
constant CFG_SPICTRL_ASEL : integer := 0;
constant CFG_SPICTRL_TWEN : integer := 0;
constant CFG_SPICTRL_MAXWLEN : integer := 0;
constant CFG_SPICTRL_SYNCRAM : integer := 0;
constant CFG_SPICTRL_FT : integer := 0;
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
| gpl-2.0 |
keith-epidev/VHDL-lib | src/components/full_adder/full_adder.vhd | 1 | 489 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.VHDL_lib.all;
entity FULL_ADDER is
port (
A,B,CIN : in std_logic;
SUM,CARRY : out std_logic
);
end FULL_ADDER;
architecture arch of FULL_ADDER is
signal I1, I2, I3 : std_logic;
signal concat: std_logic_vector(1 downto 0);
begin
concat <= I3&I2;
u1:HALF_ADDER port map(A,B,I1,I2);
u2:HALF_ADDER port map(I1,CIN,SUM,I3);
u3:OR_GATE generic map(width=>2) port map(concat,CARRY);
end arch;
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/floating_point_v7_0/hdl/shared/delay.vhd | 3 | 11968 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ijGsPeN2IsIR73K/pq7pNvIVfL+yGCBU9d2PYspGWocl4eglQ+ci1s2LKHxiK/khQcbf4FiA5kqc
aVvQMBHzVQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
j9jOQkhjSueqJxMr/PEFIfJ1lwfF7Y9tUSDeDkMS2PlN7uPiwmHvvTHM+GEqMzMdya7VIaAiCUq7
+t0EMUGllv682Ktjd6PjQVAnv9sX3WTHOL2DT6AMXFg0bNpQYhAkpk810eqyBTClrLcKov59URn7
EYWwi+9Rc6mFyHMHL+4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kPoG92c/oBOxgV/zdve01Jx7h5Eg7Iziljiy+f2Mr775K3XJFSArYaLzaIpJgnKSMHLAtIRqkD6N
69NYCR1DusSPZwdBjv9BpXBLkUCl3tnm/Lfsq8pkTsbqFb0oLr+4AfyGdFdPl7LzcAEAFSoFGiGz
7gaTG/dlkxVmmxllJEvUbjUS/EBdDLouJYG1TGYHBGcahRwC0gCz8TMnpSIIPcE/mbkEhWiYWh4o
aLtBKvg2c9+0XP/dHM4fzowL43OFN4gCLocFOV5aekhCY1LH4aDQF9tp8AE1ES8s+k+O8mDNRPW/
TxHBm31nat1dOhBGN9Chh5HOmcReW8Q3eYENpg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
4Qy/RPGogfA2cO5eoM91ob4uay7VAZnCvwQYb3elAmUZoEP/a+KmpmspGgrShCSQOFSAamIqYsJa
GnmN3+toeenoDuNUzt39ZLrXu4sMEmS/5nemZGGeXzFFbiZS1wh+BdHTAFnFhoCVVkP4TEQ5b6Hf
w4WlXdspCjjsS/lMcg8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
iThWEfjJcy2bl/WuwSnVnc13v6cua1tEs2BKKswvXSpocjn87BRf3HC4eJ9Qt16FX6RB0O8vjZnl
Q5eGo/YWfFj2nQILQPV7VDTZ+EwsHO4HNh9nmR5N5ibOIaiPCm0HxkAPkWcqg2Rbv/VsHcRNGaED
jbQ1dnBpg+R7NupqlgHDXZt5LLJ/RwftT8qZxUr6DW/Gk5bgg5cmI2Qg8BqVqssqykMgwZMQ0PsZ
HL1cVK12176nJxoZ3zACXuBfi6U2va9MyC71n6q/WDcHRSyEPzgU3QZsYUWhjGjY95B65ficQ94I
AmYkV6pMSJxClstFCHTtrwxoUro25R5VdQjbEA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7120)
`protect data_block
LLs8LriFBcBbqTuEBcCZ6+9ZawU41NQ4QLHKJUJC8b51j5g3/gzhJuwf8vwiqJ7hazjZe1h/Liqz
CC6U7C1EeQOxm9udNTPyRmeIpBeuYfgsuQEIoC6VieinzjZcfITk+rTnQh71OtY1XKPC7jEMnWpr
0NGIzxeaBNek5J5ozB0+QctTKkvvX5xZEainn7e0jQyd97yxv+UtF1GNQAobuMlyq8KGxhvYJ6Z/
uKE/CQbuf2rBnds/hbU5Xr31Momm8IXvYV267yPYr0wHkw2LKJuwzBkNmhquJrm7u5IPg7tqyj1c
sex7HVJfCEhpLmh53zXpP1HtfSYfIH4Wco1MAXggQgoA22FP3VUKNmL5lly3eVvObAAmCV8YUCiY
TfvEaC1ky0q1Z8n6YUneyLidPNFI00EAGOhVQWAklUx822Xl8isHGcYYwyKHWQwEzPLw5j3Oi/Lj
pi0MPfnDN5P4RtIFXVAu5y2LE/bZiYGMTLIqnp25nQvVsysE9o1mmkfgaujIB8FPpF72SaiQWdn7
o7+eDSXWYovG8G3aIXmNzwjYss54ayVfIDZaR4QSoUXKzpKS9CIAldJrVyucp95iOH2vxg+EfkuO
2Sai7KV+fdZshp7YN2kFSRUuyMllmE6eaVO7LzbPTU3px/gLENoDqDNK/rzKWWNJv3CskgXPqsrR
cRCyNbtpd+m5dhhDX7MpMWzEzk+TkLi4Vrps9684AOu4nfPr8yNdijuZaSuknagJX2BMsY+m6zd2
igwsKtrkmQUAQj19rAXMa+ATFfV8D9EoL4mCc2kz2OJvipK22wvIDGTCxvPHVHPWDVi22XImkuxk
Mn7C3cDlzQsTHyoS7JI2ci2GRX+pp8EGKazxbUo2ltd5y6WUQZOGvW+I8BLFMbICJBtVFDMS9Cw1
Bquy6mQ5L+MwVZupzyLEESYlJauFHXmjw4pcy5wALGpxECwI8ov3twCiBy9Jt/MPv1kliKvHdd6c
Ad3hkAoBURP7xtvdrTfAfJwd25sFbuow2/Sf2E3CPZhNoQ0Oe659/CEwd4HXBxXpub9t1yScZjJt
BwTz16rHhvQ9p6zHfGQAvwSW8/+9kk10WsNBDLV+g4HFBsTd0IesWuDzjieM54p6clHF009B9jBe
o07QTSiFekqcGTBIGkBWv2vywfkEWNpiGpOtdsDYGLdlQ63DEKi/GFzrVZD0vx8hjv6Y4lGwjMFm
5Kx4PyTODBfyZbjGEn6Sw3Uggvup5kta8ufACGbWVwFSxACencFwAMw2NB7Abmexh7tomSKqmFzY
12/kHM3pLTBwv221IKpMmhDJgVEnwMw5sQAbA2+RY68res9qCdhdC66PDN7IjfL3lG7zvgNt9xnV
/4Tj7GvVXsgqJdqlascVFRY00/o2T0NlxlcsoZVlXwkEFZKMCM6UknH0G/idjkCukP35CWTaJqOQ
MY02NsLQwL8kLgvPPVhoKVuhY74Fom4mFwMdx2sARooaQ5Z25l3jnLdwhSMOi+YHUJbPRWxDk784
+z6CQ0TE6+SPSJeAi1tOTVy1t44itoimMlu42BQ3QkyV3X06kd+G0sFZQiPp50P0U9egBx7MdOPv
qDF8B7ILeoCDGhfLW1qkKTMPs0icd0soBa5Ehr6vDEKtCJklV5j4VPTS7y9Mzp+T5bM50sAA+69t
FpdjBYfvH2zDzjQRvIChzyD8ceuE5uuQqqQTXgZOIOCbsBgWljxH/brSy/tbEW2K2+9n4ZmctE31
Q+LeKlBDOLVk5XnkBXiG6R67DmFAp9f2/0eRRLDGNZ8dCGxtU6vP5wvQmC3PxptjrWJbzWO2UJ5l
dcvLtmWXA4KtDdNHDzLH4C6F5ugdsltExuRUJ0docb55wumtEIaSPeC0Hch9K1N0wq7xqifNpM18
l+660B+pAitePsyZkLPhalTb6mFt9Glzvz69nnJbabdaUKG4LKhK3f/D0aKMEJxzKcL3arfBhDEf
flEXjU4wB/0bRWrAdlLAFPPnA+I7+/FUq2Yax/Y6dXSJumL90jnGSomAs1IlX5vDJp6GahEweV0j
D7/aWoOrnjmzv8YUnlDWL6PwccsPpXl4I6kIeU+SjD7kqBdaDHZMmKh//l6eemcteitTAh+VDmXX
GZCp3vHRBfVn1E6KVaL1mnpVehDLFQfeVkaiah4OiZfrckHv67BkbwErCL9Ciq/XmT0Hi3u88V9Z
kFagjL+LqNPm+xArKa8tmLgmUjrDVhavWEkfyoysAIg61oE1s4WGnTLWlc5mPTa4WfKQzPxc+sRd
fI333YBE59A9kGMa69QWaiM4hlXbEj9CMXcO74m83vFYtWyNwUbH7EEX+0SOMrbIS8fVbND2Vzvp
eKCSWND/on3HxpSWV9h77jSpNWS622GtzJTFWTECSicEogZ6ex4BsH7p+FXsdkPHORah+IeHewx7
13iPbbNxaJBLP1QplOBCXTCGL4MZ+ej30PuIosvsb7199tBLzrfMuFC2hYV4Ta5ln2twBwujedej
g8jFZZkpstrd4P4JNP2qDYmdu40ukiiZIuESEX3ezJ8KZ7g7sehqAi+nM9+bzpQob4VOwTM9Fc4h
G75ccIW9qO94HH8bSE5sDWvYRxiqAf+a0GIyPKLvQm6rR/CSMhP0ppeBc6Ii896fj4ouK8Ij+5I1
jl2E/O+SNgjbjSNcS0esrwJoLBl6E5X+Gjg8Pb0h7pQRb660Q0LgYJ9lDzN9TKkajrNh5fWFAUyz
WMUGF7WrW1O/fjGEhSTE03qMO+ioTCNsz0ySrGGtVPMCTetHrZ8Wv7QIQApssjoC2Jnr6u/9NRQG
PEM1qJCwkaXvLGvdbHPKpWVtjkJf+dhKpQZ0UEKKBcC/+oRFW83G8tJ0UXXwAbQMqdYVOCF/SQKb
KYUV+p6ixNPCeEYlVOeqZ1FJUaO9QjcBIYAcBcquTlS6/oa4eMj/n/+3CSt7WWRhU041tNX6YM8V
hRUOAbcVASCSF00thoceti2w2ymJl3ZGIgN0ppMP5/QQscLhI73u87G9Efi40puQueHKUr21OpDz
tMNfeYdrPktkQ7/UAcdDFF0jl6I4LWuyl7M1myVRalhOWO2Zqd//MyQ8/eVMdU+liokZ/WWj7V9M
izp3CwQdjaXuTWw0pUSoaFGBO7e1CJWGNjaGv3On9O1kchz1MaOVzrQci5JSCTpGoLTCLDqZj4B9
6rjBBfA8ad8nccpIURo0Xk7kwmwi68zhy9AUmFb7SGt5HLGPIeYkRNwGu1VmgGHSE1HpDSkVwyyf
juyX0lmcI/ftNh/nT+Fu5SJDT6M39DLhd8/pQfCfCuuvU7ZVAMazjKkLdG1cZEc+W4zD3gkQGyCn
y5iLfMro4f1fHbgPglNkRnYaf+FICZ4slVNJSt0zRlfq2qALzkPMZqxlEJnP2IUISVXtzEVQlXXy
viwh+NcxOyFLZuDs9BJQFhdcLLjWAyTaMngeN3Y/EFmt8ysh8t+r4plWnUglLwhhjYT2QXMq+wXn
oV7vSxPOc6jC5/yxkRSDAqJQOo+Vqum9mCccBslOJhV9aarnRBQ8wwllJduqDM7HX6iSyQv4VeR6
VpoPNkh5vv2ZWs5KUvpaZbeANyMRi1eVGeKEzaLyQIr5fcaxfROSGqTp/4JMSoNgMZUZo5lKf4RR
m63d9RYPq6kxeS/WqXaSmV40xSbfi3K5++MU7o4eAg3UmkRGLRoo2ysg/+NSVmZFEPc2RGJTUNKT
EE9ONiRxi7ZRg+OxWiWQ8HmsDQgCc52v+C6t2Qwl0E1zJj3/IHsWcltb42WwU84jLMPyAQwiIsg1
O7gWqs571he8TIW+4hbwD8CF4zbT5833qDDHAOBpGLh8RZD248J2BLn+AdXwQHBWClRr9t0GAPlb
wUONaLhFhIR9W3ryW6ZNG7SWVk7Yw/etjJDMvuC+XYtOXr6DqdJMYDiDYAkoQcLWqcra4G24GdzB
Q1dzxkykxtCb3vHNAoWLQJtza9t81wrB4ILM0lXlI4un1CH+UEZzxyGbOZph+VjmfLaZzuFh44VC
0O58iyq4p6wCpQ8gnjBB3bSvR2HlIZ5ODvNKcVffyWSe+bz/hViUPH48ZqLUR94THsJHT30ng593
KyUET9IlBJDHSwbhPp9RdVqhsS7EJUHmXAF7E5Q5W4z0TyBu27hPwUolBbScqq1PlrCM3yQoOyrq
jBO/xWQK4RgGcSFy0qbuKZc6LnElw2CyMx+VMJUOvJ64JFkqIRWHooapfVAVY+7H09I9IECbecND
NxJLXdw31T2yy7gU69tBisYVdcj/WG3t7VEsSPij8js/ptVzbi4FGl2k6vHUPTf25Kw3fx3XH9vi
k9yFM3ATdYUHqtge+gna5i/kFmM8KXqhtzThHe04ISAbgmfpZY/Qm00f+q+4N0ppTqguFwFIdp4d
SpRSRWdMQFYOTLd3tazbKoMuK987f1m3sFvD0G9JmjsL/t5lddwNMQ7oJT/42bB8B9eoMJAtOXfL
eTeImV7tD6NpkiDkOAHPqGdbjhGQ8sV1y36F6R+rwtf0Xbh3BBqHv+Y5rarucgMF1fR44YxtkcOn
EBNP5EMXXkGKUgI4t9qQ89Vfmrhe2kJDLsoQ350m19KNeCuInpVTIDQbO0BuH5K7mhz9ibE5cbP9
vnCUiJegA2DieKS5AiUtqfoWShxglDgeM04+KKpBELKwfqTb5uv5eaBh028yeIpm2KYqhBVOL9Oz
kt3uZsP1e9JzlJJ0cOFqfslf5/TlAOombhhX0edntBE4sXyLDlXy9xkFh/wZdSMuqwfOuUdH5cju
DSQ4BbxeQSdHSNOM04EKVSv31WpEuimRxmnvf1KXqVVhi68lavCzXdEQ2XIzRQ8tb91ErWgMVWoK
B5+fL3VjynEsjrkKKLADgbt3t+f9UBqER5lv9S2h8353X2N5NtYI6HKq6ZOyvugQ2MeiBASLLEAE
c+rsYlRygs4RwZBe3P0RRO87laQcCr5LFpvV8/xSgfTzOdhjZrorpIBk5V+R+i7gs3wxPETy61be
XhrJAL1oU8eB92of5JO/to+Z6h28NR+m+QVYZNwfnvwcL3moemYWSoQfVbht65rFswGxbv4t9VSF
ZWV1TeciTKWko4Pnho7q60gtNybibG/pzIEG/YlS1qm2kL9ed1WzndsaZICpTtMP4qHn18wBm8DT
NlJ1mz8IbEXBAbss5X0CHPL86ejlWRFmk0bIQ3CElVlG9Rc8SzdNvkYxkC1IBPZ6+Li44nI3HMFL
l0U66Bgw2RvQpfLKV6ehrygM4XGAfu5BgBhrIK7HTIJxzHAz40nO4J+bNYYashktx+o9GzMgPJh7
mA2dI1I0jVW1cwi41GNKIo0R688jzdikJ4RDNIzZLK/PLGVU3wJ/T/UoqfJjgDxUpVn28uljgu0p
J1UImOXVsFdn2a+vlRw/u195+ud4GnVDEZbuuik0Q5LmlMSku8zDLu8zV03xerqmt7DSlNRhUNoY
1ucGZfJIkaGdyCxVpPOpnnDA1frkjv/CsKvfUCvGYX2yzfpL0fzyjzHLEhkuMUAnS8RLuTuLi4ur
lj4HkYKYi+o0wwUqaQBudov1GABysKaDn5a7pxaqDys8fCwBLmI2+oY/Iu+pSkJEpMyc9ukvMZwF
79V1hJxkGm/fZHt42NJflm7XLdGKkiZ4mU2kNkFHzqH6aNIk1We/CYlTRafK5s/gij86mZjwXxOR
LtglWRN+LwUzkzAbJ1pAJLRSyYPH+/uZsTVfS2Q+37JPClKdnun4xaapIuDO+VqeZAR1KVvOecXI
1SDbQwSaLRU3JkZzgWuQ/xPLq0rck9JXX37sXMR3J14mGrQe1TbUGBUORHdIDwI1t3HPE+beTsaF
Cr0EjOqayTt5R+XDDUOXXIyTUJkFaIjnDK1yWhtRuPdo64fRfbFyvjTh7PTuA0vpsQ2AGxYigUR7
g6UhQ0XlxukCkuBYvx+KO84FODRWqH6K19y636BRv9OYX4Obv9XWqrtIVexo+7y+KCpKuQhgQwie
eJRbwwtPMTln1XL1xAIOLyYMcmzcvX/BawHMJMhaug1PVl+mg2s8KobDDDMpRBtJQgg17kn9NzYw
tvWJFESmCyPL+Np912fzCVa087i0hYT5aBt3jnk4ji0ZvARhMXldaaiy6w6/21ycM/QIBY7U4bZ9
E9MMblyd6+J9XB2io8+uI3PS5IHEr4Au5ZTunPvaFiRbNaXkAN0oq5VTW3oFEOWZzhY/syVI0H8q
d60xJ6YwXigiiWlk6kMsAolGS5zMoRTQ2W9MQz7jSSqys8jH/11ixDI9gDqnpZnla4prcd/H22YK
/SeddLLiq8BrbChV2X81RXR/lfXB4Ozb/63gjZzaduVHv0hZqyNy7r2FTJxCueS7K2vg+IBVr2/F
+bJdBnZVSCDA6Xdpgrek7CrC/F4t6wr3i2M2rmzv1RaC/qY9pWUUbjtGzk0ttccuCz8cP6GNJilo
Sg5NP8kGnJYR2/gPzqJcHnb9IwK4zf4Q9mvYRIu1LTgbx1/zeClT6dTMA4lbjp0NHEQW9TwVrRAh
by4vS0RZ3cidC39yVyg69vxAVXc1iexlPMsX90iCN8zzFBi/lphatdc2uZpW9ov5UqFaa6qKAU/N
lJj0x+AhLN8Z7HTI4K6Kva9+ksIkamXEhRVmkMV7KwCNyFFpnRodiGN6cRWT1P91c+tLK+ifIZLb
IXnD9FOT7vCb3ubnfMesXVBT8xkbzXDGxuVs6D6pXhnaITnRkyU2nZxDwBYuuyKNtBbuDM3CW9Vp
dfbChmTmuj4puiMuscwgCOMkWx74h3+mN+ajAGCFT1cJtvOqUTvBSCOELdIlpHjY8bodYDGgoxpU
YGBZXZNYwA2FBanaaRf9ptO/WLOYQiY9/uxB37AhCZf3kxE35dEeYQlDJweT2Kj95NBpWxpIngs7
Qo5nVWyLjyS2+4Kal4oiVa+19qdMFbaKjQsvU58BnS2kIHhPTEKdcjiyQWr7Bx1zuZD3uO14/J0z
vpumFUhaHxhZdCqlb802z0C35anBvBO/Qo2UA2DM4a7x7T683I7I+xxCBOLj/qHZ0drY4sSQRdNS
2L3QGAsFtUAfsqjTSJ0/ob1YarhMXf6B7WSKDS1WRBK2WMyWxJomGkrEoWLZ4rcICFGnXMYY4H/H
Azfekm2ykWbb/iQLl/CQe1A02SpfvcGBVH5Bt1ahMPLOSSLzrTsOBBB8Ka6T8BF5IPXmHnsvlyuV
UM1GFSf/VgjiABWSY8xP3/3B0QkrESo/KaAuHFKIXqCuk42dFCgC9q0aEIY+tax92twRQL4TXV0e
0sJ57/LnEqXUKD9DiXpfoDet0cqjRtm3ci0ZOTzboRTDdzBQFsZw0tbtBsg2uoj8vV95FnFq9K/4
LWQSO0XJ6Yq1Oe/F1piz0Otpqi+P3qcrHJlNugTj85CJzsvG+MApBpYTIHQPIqlr8wR+lUaqyLHH
lPvLVqkPmwEGEK0oqnbWmXtNMFeaiyTfMQpohmxjiq5Hlhaa5VbmYl9vG9nYZu+4fKCJtvw008wc
TbKkY0ZCei/jz64pRXWFNIn78rP4d2SNA0XMZ6MoV7DbXBWqtz52qArwU/LXzT5nat0/KNH+rgWj
fGgbd8fIN8Ha97NSduPx8vAPgaIdLmsqlT1DxuyGKAbGg+u+M2QuZc4evHOKcVi1fOLq3nQI6evy
7TxMlLXtHdAdyd54pI3gv9jmLc06NmUo2u39u/sGJxXv7PCv8molcZbAF+1AbLHucXPnJWxWf3KD
YW/zRIu9iA62jl5zN23K7NeRnN31p9KTSSFO13KHXoUtN0GwaR4kkTcmaDEQbeETAHMwuq2i7yTY
rxNG88hAvrZhgJoF0UnICT8GJU5xxckAiIWfJtsg+kbLfEnNTiVwgHPI2oqSLi8ofvWmh4UuV28J
Abjb7TJ2uqvpowe7oRIRsyjl1Da0Z+lvz88vOxiRw+/ZcOzNlHsuDZuLWRHIyn8HLiAnZTwUT03g
V++5wVRpQVrLLFeI6Eld/NOVXQ8a84HXAjAV5olFV2eS2bBGwj7dS6rmiVyjsi+qmdbM0hqe9R8O
p88/3DZpCn30FFvf3QV+a91F2QtrQoQxe6JAqZ2qIKqX1XtbTRqs0WqfpQmvHmct07uZA+iQpbq+
K/sldQRqunqXhXnVCe2ObMYVscCQyIiQBiiRXa0L54sJYjDDj6IY6JUoZKu3I7aIuOyG66tSHgLQ
S/n/X9LaagzkOCGowzc01vm9hNFvTKsHHaUYJ6r6+/KXYRct27uL26ZYXmbiK4ExIVAmGyuEDV1o
KVlt5ey1CVBdcvI6VrWwJtiRPKJSsj25fmWVZgFWNF9LoSMeFIiDHti93/eokw9OKnFPiNA/yvwi
t/5u7LwlHQTQ5HmwOu1Vow0Y7SVzyaWsijv5xGzN3uIs52VccdRbmVYlunSONQAE85EmB40pM9n4
P1iA1QknvqNmIE11NGD+R+IgYpd+PA1pzjLtizEFteEsehsdj2d1AQxt2IJf3k8XKpR9ue1Yas1g
GHREH4szpRpjsg9STvPPzuhRnbJ0t8vvfQYupa6sUsNcYGtMp6daR6EpN4Q0+v2jmMvK9iOtWeXS
y5qleujb3JQybH2GFiiK1icEqTQUG7JGxMA/u767tZB+SSEgzLXf+kPhIvEuMBOUEcxNLMMII3Tz
ED1XlOXB+7dUGGxhUvZp0OZNHyRN8qJZ3SyXnIZu06V8aeKGXxgGJ+fyFP0Ar0fqbYzusHYpaEvB
8QW2Tm+W1uxU5woUQR93a2WfDXGOps7bfQCMR7oXSRY1+t1Tkj5vA7NOfnlvKrDt0b+0lFK+JyMQ
qEizG13BTSUYxTYCbrro/oHdIu38jSqhDeLx3RunRnbNuj8uEQWaV39AiyICSgvi/5Srjr92mXMK
yyyKM3MLR5NIGZBbOJ7UOCX0fcelZHsBwMn8oFUQrJVPplxknK4koanL169WG/X5Ggm00cjaT4w5
9tT6xFl6ZhMFH2sajD7FhSangvlLAkHBz9MDUy4CpVEG7Ku6hiCouj1o831yt8QBKOcjPZliMOEw
PiH3prtGiyTcfu080OFdX6wsGkZ2MJJ+dV5wXuohg5JKwhyMuGOUx3KT3gaM4v3LJMrPQLEygFBP
FEesBkZWUqopqt5NS8Q1mex7GRCsqRIcoS6M9SQm5T2nxcINtxVr/XcxZ2IZArqjkTlD2YRtdX/s
NRCB5hubt0x4JGQg7aWcmgThfmzJeh7HY7kfm6NgeK8NRnPKgcyPtO71txytcC465XzS0Kc9LOOQ
YnRjhqnZiV3r/zQ9tC6NPo0Qaj+LUR/7aOSHvDIgffJ97l65ANTR8c7Rpl44hiDYdMO6gZ0cJklq
VxxpvM07qvYiwFPKvgZsqBSuROiSHGwIfEInlX1i2ajDS6pzvYIRNE2XfX6Ap9UjUDLS99T33i7A
eGZlgVPyEDgMKk7/40qDOU4esTKHdtX/ul7y4BTbNaNnxGANbplYveQodgf/K+78nHt/Gw==
`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/xfft_v9_0_fp.vhd | 3 | 84613 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pSjJBEnxB5fZCGmWK6DQAuU93fRaNyqtfmSu9gimwflp4EfBxh3maaFSTOn7f6kCFQrXLOEEKvVv
OA2z+PQDJw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Rpczg73Vq3kmmKYGXHMAytfNhSjAw64tSXRB0HEI+o6xo5XwWzyVKoQIpNZQOboJRZ+DVaDFGjR7
gF+PIXYC4sVHsFdfMHTVo62sD7IPOr3a25xXCSfy299nv0mbSvzbCuXaOdzA9ggpO6UUgWWZ4TVz
klUUuqjIK8IzappE4Lw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oAJnKjR/LeWj0FjMfH8f/7NuFmmc86AWIr1WJfcZpaHirMrzAaGofVdUPwtS4exceOCAOE7hg5Zy
XIpzE9KDjaAMgiiOGAILw0+OS4/U6noHI2EJoDejtV1gJ56/PtWPtECDCnx9rEbg76yalX01F/QZ
XQZgcLpNuNavYV9C5syJAyOqYxxDhvplj6BLn73AXDwCEa6I7fT7GFP7Jzz0IXMVO+RkwVhv+DPs
T3HD9QJZnLdZulMCxjcv6uL00RTwQlseW+tjuti9fTvaTCCzgyb7wPi9UAF7cgr9+I5tKJ/jS2Et
zNmbQLH3cWxmE/hDBfv2Pn++G7PKCfPuAZcGdw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Kw9ks136QLSNzAmfmAxBvdWHN3WqowII2gsEIjFpNzpHVkMrpL6W5a5sZJ4+o0gGr9TY1Na1Wa/5
QYrVpjQmUkG5UFwXRK1D12zW+2IeBGwlGLQNNt3NYFrSJL9mTfdHrrnKNLMSehaDVOIfUYJa4h7y
jlQtJD5S0J6WStDSPUE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XMvqyKl+8F8yJa8F4rNxN2NuNjtGoG+13T6oS+beZ5fIty14zFJXe/PEwBkZDmrHRZhDL7JU9llV
+ArHDXXfUaXLQkc8/fAHDshKcsS6wytq9dtgPvQ0UyB+Oqv1xrAPeO/5qhY+ofBmRfV5Gcz4lvlh
GYFIVeTYONKXM3o/yJ1gxhAtbpBBN3SK5lOEaEXYZTenFsm0Sfws0sVuAvxr0xWPeeLM9h635eGi
vnTrSS+/qnbpbcV3VhVez/c87JOMI1WpDBoO5NbkancQQzCTOeEDImeH7NkRC6f9Af/pC4BXveQ3
fkacSB/A+hlC8lCOkO21FxPv69k4jIQpatHHQA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 60896)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_5/part_1/ip/dds/xbip_dsp48_addsub_v3_0/hdl/xbip_dsp48_addsub_v3_0_pkg.vhd | 8 | 27921 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
X04Yg7ZrmlemE7LFbLp6Hf6WFSF5tfdTODCsiDJ2IRmXZyxMhJzxq+CgeFtD97Gll3XjXH0rYYSR
0t3Q1k3hGg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V3gRVPNrtyBZ2jGk8I8ci6zmN6AxxcYdAEsce7Rpc0s4TLnBZZez1gQ8tAFe0N+V8PHz+3ohXdlb
E9DF7sE9tNsg88g0lNKCfdrtAFAz0sFxhQcFOnqoQb8mqFoJ/vAyYVcM4hLD8ydRFY4mZXWa1/Zn
XJ+US2XDOnrI93qc13A=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XsfvvtgzMygvyNbMas1nCRF+gK0oPtbt5FE7aJQfUKu0/yhnRrXSJ/8k3+sy7x9RT2wYrd5qlcd2
yJ7dXtwQVRVJoW+kIDcn69Z2OWHLZDXWKqL5WI38RYIhcQsH0t2hkR9UXMYQyIiXDBniPcrYkkXr
CvVVaeqia4iEr4A7Adq9HUZdpGyT6o7bs2jUU3oWG7cUf/jWWxlXvErlrjaV8L2Xd4IMkxFr6TW2
n8svGj4MBhpFlf3D6Jqyfjwib9kmlbpuvrGHpW798rseTauXk4cA9mCefthE518Z10PpcygAhRzq
QJ9suqynF+1lKTsqtL7QZURSNBw8ocxBQ2WuKg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ExHesyMXqXElKMfGQ8Wn2P/PxJrgz2QTZX6C9sQu5eGlZY7fzwa2FUzfU0Ic9KE1kghvUttlIC22
Yev8TDYBptqn6VX/Qjaf3Tq7sbLyyqzDhRhH4D2crw7gQgONZMatqLG/r681KD/5akkOAhpQquWR
WaRvMrTGgj1vb9eb4I4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WXmQ2Xpu1tgTOZepp3ZADjihVVy7KzQYCaxR3VcX5MqYgjz40kv7/EIh7aCNXoMSNzKyj1aN/ftp
gD7HW6yc2AIasl8wxfVW/WEDv1ZmmlIOagkolmndaIkMvwzQDNNVwxOx+PtCGdge7sJQGOueqLBi
yGtK9lZCbsM9r7F4mBSliPkWaLY85UY63G3ZdavOHndCQTItlFsNPtA0/1tMM/s9yG+Ekwan+A1v
IN2Sz1m/3zn+LHa5S3vZCGSKBGi7J8Zcpc9jSoa1P3+KYNq5XKq5CFCQowvA/ZEuLGcSiUp7YW3r
3sbWGGhWRPQUgFCRtPaCPO27CBZYS7nClUnUDg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18928)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/stereo_radio/ip/dds/dds_compiler_v6_0/hdl/pkg_dds_compiler_v6_0.vhd | 4 | 179717 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
okrrrBqYeQxNX59tSjFUXAMlrbyAArdHpGoMYYfX72ATj+s0ekRqi++4D27yspnd7qC90QJw/kEU
biSMOuN6Mg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aujzokoGXp7r7A46c04S608RTmZRcdO3mzyGm10rPO5SXYTA+OvuBKN4EcpC056127ZZVUGmjTyC
aU4MzhdaET9q+P1DrBlxG66Cm38AO1p90AFgOdWq4L8O/HyRBk9QAZ8P4MGPOtNeyExJU7QH3U2R
6qN/+02ufbmjcPjrK/g=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Rz8HGFYiegD/0eCTaddrlTD0Tvz0LreoZBvBmZeC9B7wJBfV1MV+8gO782uUgzFuZx9wzkLVxVNr
JcsM6ECk+EO3CuWgbuVreIzPrP6yvZyyzIljmenJ8B9AzqGDNFnlF94SUmpIIszmhw7FWH47lhzl
U3cQ4ThytfLEe3EDIArqXqRlO5xSB0UdQ+XIpO6OecYPHWEdNVV+rmwgF4DvOoJ40F1/wMX1ndvs
ZY0rusFSpVQV+qbKa/yhuwVkhLMksO9P8GlU0BY9yb6okvALA5TTzdt+kFBoGLiI9/mTBhAonsux
iwPm7gc/TVNyGmf9kg6V5iEf+AdsnY6d8riL/Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iOjQZVDPz70DlBydB/rJT6unRkoRvXMEOK7unGMYuJ3C5Mg4pH65KBSvx21iDBngZdIUceJffzoC
fWZX4ZvkMae4VKqwSzYyXSEessz7Gk9k9s7ff5CjRisoz0PQrPuQMQUOhW2QUQCnBjWK1/l68zNh
975G1bygsrcPQlvpNJY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
W+f1RhX7WJL7HmeszAN83iVJFofHg8RN5teaaBXcsyJUJE4o0+lme/5fNQPY7qO1iEVpLaENOFP0
jmqQtK8AoOdJWop5Dr7dcPDuT1O/lWwoutREkwcWQWqWguFqOjMaxbh4H1akQetGcQxNhL+NRaKN
npoj8R8MOQwDxao76l2NHdlCkcjxDXkAy9i5zotcMYCAZuUGq7zcxNQ4g6zPfK2lEQgQU0tkKJH0
DjCa0C4ZMMtpSRyt4/49jAPGPgRLLsxT5idn9vGfPfHA0Z5VAY+7gVxVManNagtv+fwaOtyFVcSW
AzLGPtlQIzbLuGSbneGwrNetU1spB3VXUdtQFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 131296)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/dds/axi_utils_v2_0/hdl/glb_ifx_slave.vhd | 15 | 13849 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
aPjM0QsuUtUx4ns4FPTQtBAbch6cmzeJsmyenTYn8VD8Y1rRTzPOir3iipzPXDfBMXQE3bKb0yrh
utK9VJZIeQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WNfbcV/Sp9mpVLKzdjhOxyeLnxWwsvvKMMGAESu/tlQyxG4gYS7/DPqTxdiBbNRJVJg9JZTM0LQe
3vwryoOrbU81/9pMNyFsPco57o+489p6f8NLM54tbmBHKZTDh9JLPjlN3Sd0PZenIKqZIGQ6FLZU
60FKOhpocLUQgckj+TE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nScE99ZQDRhmT25d003KPvEGAW8h0K+YEK2a6MT3M7Umss1zc/gV7VY3Tl9Dk5rxyGb4gYDWCVEc
/cZFoD2YGszrkh19nDEPhHhej2/mbTz74jDYzavHGC//rpEMJsdSRVem9eEOI4VEh4ZkL1EvQqpQ
yWWojNE9a1WEDVApedCzYq1OWdCiA9yK6oNcEbpns1NmTOUtZSN8OfyaUvGwE7sRTGijBqvsJ7RZ
85TEoZOrazkcKWdev6bnMetSyfselErDJlRqtWtM4vQG5wV/6nqcPjOtMVO1vGJ+TuxylhDJl9fj
EfOhmWGmW7OIaVzDPS5E4WdgPTpive/HwdW/uw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ulwAU7t+lDvQHyaOaAi1pUvaw5CWA1X51LRFF/lR1WcRYxW1ehHBX1sv3AInvmCksCulfBPS2vcF
bxlwrWnp9KJXumCBfMlskN22G99xQj2byiZZ4WBouvYXZrdozLxHb1+qOlhVymOAQGhBnZ3DRgCY
7f+hDJLb2AHEU6PZOsU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sH8vlXiQxMZ1rW5HWC+X4b/LPJfn4ZdS8E+V/Krt1m8DvzeqjGLuGJr5Td00ED/P2464p1wlZsen
eRhMYe3ozvnZsaNDIJIIj/PMwZDJ0801u2S31+92VM00v4ugxsVoTomeYwlGq+zk7rxCCJBdhBbW
2rx/tGn1uhowawuO8Ky4H5qycCnONsH8hp2BvYM9JWAB0/BXTG3sNIK+tbItLkAIfMP2wbTbbDBB
6HhfkkBBYBbX1Y1aHwPWr+TQF6Ps1CB2eCnb/6N6IgI1CfVu0vq+zuJP7jSw/U78eP/WZP4pkCyG
4SaZoJ7XlXnne1d99mmQh7E2tPbPolLUEFnxSQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8512)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_7/part_3/ip/bram/blk_mem_gen_v8_2/simulation/blk_mem_gen_v8_2.vhd | 7 | 291006 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FYtbFbqmK/B/kCJP+DgS56+3hfKXdnNccYVgv7ZfmywRTa9SUVox7/UJVjzU+NNjUxppP1c8HvAm
pXGKCy549g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BcdQ3F1KjLBbbWYRjiWggAGVyxKXO6Sye4jwTIf40oC/Lwr7voGJV6yXdFP/R+2oZ8J5GaWVbEJV
VtUF0cZhjDLuOtpLfWlkjGooma21f7ccH9IQldCY4ZJ3CFvgjFMnOz1m5r1vWyif0C0ct/K52vTD
MWecRcqX7uvSUVBFhaI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RoITahUUix0Jq83YED4zbqaMv6y33ga/c+ItA0cRLBZjza3+Ayzg2TAD2nrLk4pN/D/R1I9ipYfJ
jOicIVksI+pNKh9uG3PeC1dvVqXKIUY7pEcTvCEwpNt8olm1x/UlUGKVqcH6JAiY/mh1F4IRncMx
nNvcYQfsZr2Mkj91/Xq+wX7U1FaYtqjyp82pFO9g+rH8Sfn2ItvD6z3yV2NWsNQzI6GlXj7202PP
zhDmyRPARxYrbn2tzm/8uK/ofnnagu12tS+wfWCsS40bbAMlU17iskL7PXMuZs62qZs84hYghM3p
3+vNSCIo+EVUsiUPIrLwD2Ex6m4yCI+9IpTm1A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZgDgoXdPDQJWKmX3n9fDxw+dzv6UB1+9NEjzDSS6vbozGAesHmXxEw7VTa89+EJTzyfA3L0PyE28
UmW2nczH6e8SQd1UA14g79KzDAeoXDczRJwDw38kTVJlVF5q4/na+5B2vZd2ACrRsc+YVBwNZkGO
Yx5g/8gjPWTIWZPcu2o=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aanKk5kDiT9Hd2fnh3K547lkReIiAhJXS4sAzuxHAfOJbI+Uk/J5kvWoJHQ+YfjBnMZc9WkYwzhN
C32x5+r8eDikGtS068yDDM9HW187pOY8avZEukn1sw97/0cYYQVeK9qWawwDUAEkpBcGoALuG+LT
ercCEg7HcmUiUDAJAzve/rWYa3upvF9eCL2nEZvVZR/P22pIGtCZ+OoIkcGl3LjnB0fTPgvhG79T
nOThOXOSwbMb3a2sl08uX2ApZQJ5nU+gOu0EbrrcJjvmW7/3LvHOf12yeobwYLrZkLwsTPB7zyFU
lOCxntshbWQ5kozs4LdaT2cxxaNpVhaz+L3hog==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 213680)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/in_ranger.vhd | 3 | 8358 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
k2Rjmu4vuq0SOGlaJQObhcDkbtdL6XPLtwe44PYWOKxowB8RKS8TsQoeTtZDEAGlgBTPgeyM90hm
V8ejv7weHw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DMTayScMIuDfrXDiYfLUGlHEby+iekZC8Bwl7WWwCSkleY1Wis6tb+zDvhMQ/ZSySRRKRqF9YaOt
P2yx1sYDkoWYXIm3Vug0Fk+GKA8hI7VWK+6DRVKoQ2rln4uhozp8qyZfMleNdw35TbIoQVkMnjic
pLsEIO/41PvT3/xuFfw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K0w9skNZHG4bXzvzXnrJu1qEKHyZAdqi4MBU6gX7AQCKoGO6un/16Rqqu1O3MPBmR4crVY4MXzxa
XL1gEQZyrEtbMb15OnceZpxVMubNgvLPEmlZiBvlm5xODduFtIPyCg1BTyZJwwNxiyIa+Ql4voCH
ISdeU0rwG5Bj5Gb3rs3t8c73jLy/9/RxkZHVl4IMyA70Wtf/9NN9ur67Kj6WTMbuEsT01dCHGUhJ
DSA2+5ObShCtAirllRR2XYESO//PoUYHnDYg6deh0mvX8uCTvWBId5r/ai5OnVxSyFmImFChGuzu
lqXmfdZ6gREz3Hp1DVpl+3lFR4KBTeTB3MS7Kw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wI6/kp6/UAEfEw/UWN6oNpDJTQbn3wMD5QZkesvd76fe9iDwrfL8nDu9KPi+UN+wyDd7s6gfsMWu
vtGRcQVB80sOljJijJFZrWZEkrrxYIeRqbXQFIRXJTXJC0ayWzf/oRSw7LE+W5x2JbeUhR1kTIf1
HZGoKDMHBZrdCAJbF/k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
R2fJ8YZONWPLd6RB/I6USZEan391R61TCoC0H3gcaurMrv7/cK3Vuh4HzhpadOBjqwwcA5gdZ41o
2vBpgh/qty1XKS7oSsBhr506nKIVCBM0KFs4HIafgiyoSX3I0Gjh0YFl6Yviax9DrQPVf56Pv+rB
kI3oC8vZ5SDi/5sjdcSO42M8O/GY1fy2aKFb9bJw7q/nxGRwieDDM37ON07HBiP2KX3MLzjVRj5X
doYfIVG7dT5NfyZmlqi/xbN9h/yGHTDDVtBV8CPimDb0J1pZlxgmNTPRg0AnleWvwwzAjtt6L1dp
NCZYGbtZ6arg9YX+6xJoCoA76bCfk8WnCDI8nw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4448)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/lab_4/part_1/ip/fft/xfft_v9_0/hdl/r4_control.vhd | 2 | 63948 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PoLRj49PvhhujyrpIcgFcEfDVV3jskudsEfkoq/sOUWMc7Np3K1Ko0g2iY54AXzq5M48K1uaFU6u
yHYuCh49PA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iIdPXZjHkxfoxYl7+OSZovxcuiCEodBT1B6argHRETpccL+AkIr0wp5vx1yb3SFJrVYnTtr+NbOU
dfrK9rbHnFYx0fiSi+7Y1JD+Fe9dr+T4qup2DSZ+ur3plFsKDGgm6XoT5GIyH3tN0qMBF6QgFCt7
5MhJC3zSI5SNgeZK9Rs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Saftva8nr0iH62749RYdTbJJ5YVKS4rSIR7tB7RKHMpzQYxOlZWnjkWuQ4ius0hv80pgpcmbz+IE
uHosme4Avz7LIgbPiBFNyabcjvEZmV0RnYheBRK8WCoblskUpWsSmpfFsGIjX3M6zgp+iFwMaSc+
EfC69yKUH5/iNOejmTAL9uB6xOLkFa+SGcJSgwuiWs0sJTwmV1v7vEG1mVr25+tqUetWlSJUuhDZ
vvxWYZW1L3HSXhoy7Wa0LgPAxnKV1eh+dY8yGuijKMP28bslSbi6DvzftMwA3SBhujA1fphCVGM+
0A45/4GychaKWN7YptMqL7wnLeANzW3oLI8JFg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qhYxaX0EW6mLWxKlw49g7tnf4mWi1+CTZtFSmUXdFJX38uoAw+HnepV1UJZgr+LBPYjWMZMW2LJT
QgC5YuYGAXfLnuEt6+KCvg+7sM7aVkImCUnIixBzlYPDC+WOuiAsPD+CYQSEPoemS6ECmgHWSegQ
6IbW2D86QlmqClDHaw4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X60x351XYIfPJfgBN8b4in9zFx1M56RjkiAy4o+ExWuIkklkh9gvqi51966Qe8BbywzjNBjYyf0H
X9nlq5s9JA2ems7udlH9CXSUOwYBwW2qrNjq/nH26sAQFVFVVVe0VieB5yE6cDY2O5nEmt3BIDJR
9lwHh1M+Y3admrjEc6umF04eJRt+hzhJ5f513cVfcswGm/sBmK4KZSGXLnfuEsLvUyj0pJ8g+xYk
s0q5H88Mzfl/r1v/yp7g6eLmtN9Rm3ecF/cS4J+c52poFIsCC7d7nLTqN2UbjLdzOQJtuYfvBTMF
qCQbf8i21fkRC3UVJl7sS0jZ6taJ0FMx3NbvZg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 45600)
`protect data_block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`protect end_protected
| gpl-2.0 |
keith-epidev/VHDL-lib | top/mono_radio/ip/xfft/xfft_v9_0/hdl/r22_cnt_ctrl.vhd | 3 | 13545 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
QUW+ypAWcwUa3eoBO9FzADkjMp95x23G3qw+JuK43+Ud1g72s1o84cmzbmNJsPEDJhXMzRI1gq+H
1QiCvDr3Qw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gXd9vUfRQ8s8XgIPWYRaOm6uixPn6WkSx10HdWup87ymET9KU4tWjYU43QralAk1HEk1Y62X/04f
EcFofVuHanLmFpMBVkmW2wdLw2M3CyMz4tYy2mJxatZPGm0yPDB842Qj1HTuqKY/Ho01GkyivLdR
Ol8AyCZm9vDAs4UQ83o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
cGXo3xhm69YKn+3thin92hpvXvvfRRTlDZ01mx3Kg35yUvPYmv/XwxmwOCXN6fCBNNbg+GWsGPDb
rOaJmrowJUI0YZmdHLfpd2OaSZpf+SBsFd1arigRY+lwwY0pNnGZ4R+WEZxYBkLUBAEEfXZtfGZE
yE/bTtuioiXCeyj2nKHrkdHfMye/t9LzMmJXcsb+MKBwJJQ5hlUh4ZyEpgVueTRH1npJ5vxvWPZR
gZvEcj3rZ8q/P0t90XhS6qTAE+DeyRuIjNYSQff+r9pA8xygqeNYf8Evc0cCFGtGQ4U0rJBshLEW
YdJQ3KCGWOCMcVjzITqTXjM1ShwSmN7AkVP9JA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NJUNYs+vnASONOX+UbloCyNY5SLFZ/88okn2uztLUlsfGRtXw6VxGPcH/lTxZoxArmLA5YTbiNZH
hll/8UKBtjv0t6ltFiKO0HhEi170HDALAFEOijl3VYdogNTvlY9L4KTlrldg+zk1x92b4ZRMS0fR
X1/kbI9Wyf/jTroO6OM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BpI4Q6A2ktY+4saXReYPvTrKms3+ZNQgiTUsFYhmczBb0rNwa7sL1B65f+YDCr/RHXsh5HTYL6/B
ecxfc2DClUfB+hCwAxh2mtKlvYkClIlcoYTjT2Fh+rOxyj7NDJCwoKG6CgTbSOCq/t0n+jMjHP4S
LzYwsA7Pmjv6Az6xWY6FoXCWe9cZ/p7nc+GGWfaffXonxM8Qigufe/tM9IKums4PfQwtTs64hbbj
ovcMfHhzMbUbcI6d3YHRmZySdR7pqlySDWo3lvHDlmj3F3E2DAx0zi+Qs7wqs6bV/wBgzG0DqQFU
JJFJBgHz02mo4hvM5utkOLeMMBsIlA3hpyD6pA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8288)
`protect data_block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`protect end_protected
| gpl-2.0 |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.