repo_name
stringlengths 6
79
| path
stringlengths 5
236
| copies
stringclasses 54
values | size
stringlengths 1
8
| content
stringlengths 0
1.04M
⌀ | license
stringclasses 15
values |
---|---|---|---|---|---|
nickg/nvc
|
test/regress/record2.vhd
|
5
|
468
|
entity record2 is
end entity;
architecture test of record2 is
type rec is record
x, y : integer;
end record;
procedure set_to(variable r : inout rec;
constant n : in integer) is
begin
r.x := n;
r.y := r.x;
end procedure;
begin
process is
variable r : rec;
begin
set_to(r, 5);
assert r.x = 5;
assert r.y = 5;
wait;
end process;
end architecture;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_sg_v4_1/hdl/src/vhdl/axi_sg_rd_status_cntl.vhd
|
13
|
18971
|
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_sg_rd_status_cntl.vhd
--
-- Description:
-- This file implements the DataMover Master Read Status Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_sg_rd_status_cntl is
generic (
C_STS_WIDTH : Integer := 8;
-- sets the width of the Status ports
C_TAG_WIDTH : Integer range 1 to 8 := 4
-- Sets the width of the Tag field in the Status reply
);
port (
-- Clock and Reset input --------------------------------------
--
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
---------------------------------------------------------------
-- Command Calculator Status Interface ---------------------------
--
calc2rsc_calc_error : in std_logic ; --
-- Indication from the Command Calculator that a calculation --
-- error has occured. --
-------------------------------------------------------------------
-- Address Controller Status Interface ----------------------------
--
addr2rsc_calc_error : In std_logic ; --
-- Indication from the Data Channel Controller FIFO that it --
-- is empty (no commands pending) --
--
addr2rsc_fifo_empty : In std_logic ; --
-- Indication from the Address Controller FIFO that it --
-- is empty (no commands pending) --
-------------------------------------------------------------------
-- Data Controller Status Interface ---------------------------------------------
--
data2rsc_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The command tag --
--
data2rsc_calc_error : In std_logic ; --
-- Indication from the Data Channel Controller FIFO that it --
-- is empty (no commands pending) --
--
data2rsc_okay : In std_logic ; --
-- Indication that the AXI Read transfer completed with OK status --
--
data2rsc_decerr : In std_logic ; --
-- Indication that the AXI Read transfer completed with decode error status --
--
data2rsc_slverr : In std_logic ; --
-- Indication that the AXI Read transfer completed with slave error status --
--
data2rsc_cmd_cmplt : In std_logic ; --
-- Indication by the Data Channel Controller that the --
-- corresponding status is the last status for a parent command --
-- pulled from the command FIFO --
--
rsc2data_ready : Out std_logic; --
-- Handshake bit from the Read Status Controller Module indicating --
-- that the it is ready to accept a new Read status transfer --
--
data2rsc_valid : in std_logic ; --
-- Handshake bit output to the Read Status Controller Module --
-- indicating that the Data Controller has valid tag and status --
-- indicators to transfer --
----------------------------------------------------------------------------------
-- Command/Status Module Interface ----------------------------------------------
--
rsc2stat_status : Out std_logic_vector(C_STS_WIDTH-1 downto 0); --
-- Read Status value collected during a Read Data transfer --
-- Output to the Command/Status Module --
--
stat2rsc_status_ready : In std_logic; --
-- Input from the Command/Status Module indicating that the --
-- Status Reg/FIFO is ready to accept a transfer --
--
rsc2stat_status_valid : Out std_logic ; --
-- Control Signal to the Status Reg/FIFO indicating a new status --
-- output value is valid and ready for transfer --
---------------------------------------------------------------------------------
-- Address and Data Controller Pipe halt ----------------------------------
--
rsc2mstr_halt_pipe : Out std_logic --
-- Indication to Halt the Data and Address Command pipeline due --
-- to the Status FIFO going full or an internal error being logged --
---------------------------------------------------------------------------
);
end entity axi_sg_rd_status_cntl;
architecture implementation of axi_sg_rd_status_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constant Declarations --------------------------------------------
Constant OKAY : std_logic_vector(1 downto 0) := "00";
Constant EXOKAY : std_logic_vector(1 downto 0) := "01";
Constant SLVERR : std_logic_vector(1 downto 0) := "10";
Constant DECERR : std_logic_vector(1 downto 0) := "11";
Constant STAT_RSVD : std_logic_vector(3 downto 0) := "0000";
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant STAT_REG_TAG_WIDTH : integer := 4;
-- Signal Declarations --------------------------------------------
signal sig_tag2status : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_rsc2status_valid : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_rd_sts_okay_reg : std_logic := '0';
signal sig_rd_sts_interr_reg : std_logic := '0';
signal sig_rd_sts_decerr_reg : std_logic := '0';
signal sig_rd_sts_slverr_reg : std_logic := '0';
signal sig_rd_sts_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_rd_sts_reg : std_logic := '0';
signal sig_push_rd_sts_reg : std_logic := '0';
Signal sig_rd_sts_push_ok : std_logic := '0';
signal sig_rd_sts_reg_empty : std_logic := '0';
signal sig_rd_sts_reg_full : std_logic := '0';
begin --(architecture implementation)
-- Assign the status write output control
rsc2stat_status_valid <= sig_rsc2status_valid ;
sig_rsc2status_valid <= sig_rd_sts_reg_full;
-- Formulate the status outout value (assumes an 8-bit status width)
rsc2stat_status <= sig_rd_sts_okay_reg &
sig_rd_sts_slverr_reg &
sig_rd_sts_decerr_reg &
sig_rd_sts_interr_reg &
sig_tag2status;
-- Detect that a push of a new status word is completing
sig_rd_sts_push_ok <= sig_rsc2status_valid and
stat2rsc_status_ready;
-- Signal a halt to the execution pipe if new status
-- is valid but the Status FIFO is not accepting it
rsc2mstr_halt_pipe <= sig_rsc2status_valid and
(not(stat2rsc_status_ready) );
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_TAG_LE_STAT
--
-- If Generate Description:
-- Populates the TAG bits into the availble Status bits when
-- the TAG width is less than or equal to the available number
-- of bits in the Status word.
--
------------------------------------------------------------
GEN_TAG_LE_STAT : if (TAG_WIDTH <= STAT_REG_TAG_WIDTH) generate
-- local signals
signal lsig_temp_tag_small : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0) := (others => '0');
begin
sig_tag2status <= lsig_temp_tag_small;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: POPULATE_SMALL_TAG
--
-- Process Description:
--
--
-------------------------------------------------------------
POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg)
begin
-- Set default value
lsig_temp_tag_small <= (others => '0');
-- Now overload actual TAG bits
lsig_temp_tag_small(TAG_WIDTH-1 downto 0) <= sig_rd_sts_tag_reg;
end process POPULATE_SMALL_TAG;
end generate GEN_TAG_LE_STAT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_TAG_GT_STAT
--
-- If Generate Description:
-- Populates the TAG bits into the availble Status bits when
-- the TAG width is greater than the available number of
-- bits in the Status word. The upper bits of the TAG are
-- clipped off (discarded).
--
------------------------------------------------------------
GEN_TAG_GT_STAT : if (TAG_WIDTH > STAT_REG_TAG_WIDTH) generate
-- local signals
signal lsig_temp_tag_big : std_logic_vector(STAT_REG_TAG_WIDTH-1 downto 0);
begin
sig_tag2status <= lsig_temp_tag_big;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: POPULATE_BIG_TAG
--
-- Process Description:
--
--
-------------------------------------------------------------
POPULATE_SMALL_TAG : process (sig_rd_sts_tag_reg)
begin
-- Set default value
lsig_temp_tag_big <= (others => '0');
-- Now overload actual TAG bits
lsig_temp_tag_big <= sig_rd_sts_tag_reg(STAT_REG_TAG_WIDTH-1 downto 0);
end process POPULATE_SMALL_TAG;
end generate GEN_TAG_GT_STAT;
------- Read Status Collection Logic --------------------------------
rsc2data_ready <= sig_rsc2data_ready ;
sig_rsc2data_ready <= sig_rd_sts_reg_empty;
sig_push_rd_sts_reg <= data2rsc_valid and
sig_rsc2data_ready;
sig_pop_rd_sts_reg <= sig_rd_sts_push_ok;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: RD_STATUS_FIFO_REG
--
-- Process Description:
-- Implement Read status FIFO register.
-- This register holds the Read status from the Data Controller
-- until it is transfered to the Status FIFO.
--
-------------------------------------------------------------
RD_STATUS_FIFO_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_pop_rd_sts_reg = '1') then
sig_rd_sts_tag_reg <= (others => '0');
sig_rd_sts_interr_reg <= '0';
sig_rd_sts_decerr_reg <= '0';
sig_rd_sts_slverr_reg <= '0';
sig_rd_sts_okay_reg <= '1'; -- set back to default of "OKAY"
sig_rd_sts_reg_full <= '0';
sig_rd_sts_reg_empty <= '1';
Elsif (sig_push_rd_sts_reg = '1') Then
sig_rd_sts_tag_reg <= data2rsc_tag;
sig_rd_sts_interr_reg <= data2rsc_calc_error or
sig_rd_sts_interr_reg;
sig_rd_sts_decerr_reg <= data2rsc_decerr or sig_rd_sts_decerr_reg;
sig_rd_sts_slverr_reg <= data2rsc_slverr or sig_rd_sts_slverr_reg;
sig_rd_sts_okay_reg <= data2rsc_okay and
not(data2rsc_decerr or
sig_rd_sts_decerr_reg or
data2rsc_slverr or
sig_rd_sts_slverr_reg or
data2rsc_calc_error or
sig_rd_sts_interr_reg
);
sig_rd_sts_reg_full <= data2rsc_cmd_cmplt or
data2rsc_calc_error;
sig_rd_sts_reg_empty <= not(data2rsc_cmd_cmplt or
data2rsc_calc_error);
else
null; -- hold current state
end if;
end if;
end process RD_STATUS_FIFO_REG;
end implementation;
|
gpl-3.0
|
nickg/nvc
|
test/regress/issue90.vhd
|
5
|
510
|
entity issue90 is
end entity;
architecture test of issue90 is
procedure proc(x : inout integer) is
procedure nested_p1(x : inout integer) is
begin
x := x + 1;
end;
procedure nested_p2(x : inout integer) is
begin
nested_p1(x);
x := x + 1;
end;
begin
nested_p2(x);
x := x + 1;
end procedure;
begin
process is
variable v : integer := 0;
begin
proc(v);
assert v = 3;
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/elab/bounds10.vhd
|
5
|
642
|
entity UC is
port(
an_input: in bit_vector;
an_output: out bit_vector
);
end entity;
architecture test of UC is
begin
an_output <= an_input;
end architecture;
-------------------------------------------------------------------------------
entity bounds10 is
end entity;
architecture test of bounds10 is
signal an_input: bit_vector( 0 downto 0);
signal an_output: bit_vector(100 downto 0);
begin
UC:
entity work.UC
port map
(
an_input => an_input,
an_output => an_output
);
TEST:
an_input <= "0", "1" after 1 ns;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/regress/issue521.vhd
|
1
|
295
|
entity issue521 is
end entity;
architecture test of issue521 is
signal i : natural;
signal j : natural;
begin
p1: process is
begin
i <= i + 1;
wait for 0 ns;
end process;
p2: process (i) is
begin
j <= i;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/regress/issue8.vhd
|
5
|
435
|
package pack is
constant results : bit_vector(1 downto 0):="11";
end package;
-------------------------------------------------------------------------------
use work.pack.all;
entity issue8 is
end entity;
architecture test of issue8 is
signal bv : bit_vector(1 downto 0);
begin
bv <= results;
process is
begin
wait for 1 ns;
assert bv = "11";
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/simp/issue194.vhd
|
5
|
547
|
package pkg is
function other_fun return integer;
function fun return integer;
end package;
package body pkg is
function other_fun return integer is
begin
return 0;
end function;
function fun return integer is
function nested return integer is
begin
return other_fun;
end;
begin
return nested;
end function;
end package body;
use work.pkg.all;
entity issue194 is
end entity;
architecture a of issue194 is
begin
main : process
begin
assert fun = 0;
wait;
end process;
end architecture;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_timer_v2_0/hdl/src/vhdl/mux_onehot_f.vhd
|
3
|
12555
|
-- mux_onehot_f - arch and entity
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: mux_onehot_f.vhd
--
-- Description: Parameterizable multiplexer with one hot select lines.
--
-- Please refer to the entity interface while reading the
-- remainder of this description.
--
-- If n is the index of the single select line of S(0 to C_NB-1)
-- that is asserted, then
--
-- Y(0 to C_DW-1) <= D(n*C_DW to n*C_DW + C_DW -1)
--
-- That is, Y selects the nth group of C_DW consecutive
-- bits of D.
--
-- Note that C_NB = 1 is handled as a special case in which
-- Y <= D, without regard to the select line, S.
--
-- The Implementation depends on the C_FAMILY parameter.
-- If the target family supports the needed primitives,
-- a carry-chain structure will be implemented. Otherwise,
-- an implementation dependent on synthesis inferral will
-- be generated.
--
-------------------------------------------------------------------------------
-- Structure:
-- mux_onehot_f
-- family_support
--------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 11/30/05 -- First version derived from mux_onehot.vhd
-- -- by BLT and ALS.
--
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
---------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-------------------------------------------------------------------------------
-- Generic and Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics and Ports
--
-- C_DW: Data width of buses entering the mux. Valid range is 1 to 256.
-- C_NB: Number of data buses entering the mux. Valid range is 1 to 64.
--
-- input D -- input data bus
-- input S -- input select bus
-- output Y -- output bus
--
-- The input data is represented by a one-dimensional bus that is made up
-- of all of the data buses concatenated together. For example, a 4 to 1
-- mux with 2 bit data buses (C_DW=2,C_NB=4) is represented by:
--
-- D = (Bus0Data0, Bus0Data1, Bus1Data0, Bus1Data1, Bus2Data0, Bus2Data1,
-- Bus3Data0, Bus3Data1)
--
-- Y = (Bus0Data0, Bus0Data1) if S(0)=1 else
-- (Bus1Data0, Bus1Data1) if S(1)=1 else
-- (Bus2Data0, Bus2Data1) if S(2)=1 else
-- (Bus3Data0, Bus3Data1) if S(3)=1
--
-- Only one bit of S should be asserted at a time.
--
-------------------------------------------------------------------------------
--library proc_common_v4_0_2;
--use proc_common_v4_0_2.family_support.all; -- 'supported' function, etc.
--
entity mux_onehot_f is
generic( C_DW: integer := 32;
C_NB: integer := 5;
C_FAMILY : string := "virtexe");
port(
D: in std_logic_vector(0 to C_DW*C_NB-1);
S: in std_logic_vector(0 to C_NB-1);
Y: out std_logic_vector(0 to C_DW-1));
end mux_onehot_f;
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
architecture imp of mux_onehot_f is
--constant NLS : natural := native_lut_size(fam_as_string => C_FAMILY,
constant NLS : natural := 6; --native_lut_size(fam_as_string => C_FAMILY,
-- no_lut_return_val => 2*C_NB);
function lut_val(D, S : std_logic_vector) return std_logic is
variable rn : std_logic := '0';
begin
for i in D'range loop
rn := rn or (S(i) and D(i));
end loop;
return not rn;
end;
function min(i, j : integer) return integer is
begin
if i < j then return i; else return j; end if;
end;
-----------------------------------------------------------------------------
-- Signal and Type Declarations
-------------------------------------------------------------------------------
signal Dreord: std_logic_vector(0 to C_DW*C_NB-1);
signal sel: std_logic_vector(0 to C_DW*C_NB-1);
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin
-- Reorder data buses
WA_GEN : if C_DW > 0 generate -- XST WA
REORD: process( D )
variable m,n: integer;
begin
for m in 0 to C_DW-1 loop
for n in 0 to C_NB-1 loop
Dreord( m*C_NB+n) <= D( n*C_DW+m );
end loop;
end loop;
end process REORD;
end generate;
-------------------------------------------------------------------------------
-- REPSELS_PROCESS
-------------------------------------------------------------------------------
-- The one-hot select bus contains 1-bit for each bus. To more easily
-- parameterize the carry chains and reduce loading on the select bus, these
-- signals are replicated into a bus that replicates the select bits for the
-- data width of the busses
-------------------------------------------------------------------------------
REPSELS_PROCESS : process ( S )
variable i, j : integer;
begin
-- loop through all data bits and busses
for i in 0 to C_DW-1 loop
for j in 0 to C_NB-1 loop
sel(i*C_NB+j) <= S(j);
end loop;
end loop;
end process REPSELS_PROCESS;
GEN: if C_NB > 1 generate
constant BPL : positive := NLS / 2; -- Buses per LUT is the native lut
-- size divided by two.signals per bus.
constant NUMLUTS : positive := (C_NB+(BPL-1))/BPL;
begin
DATA_WIDTH_GEN: for i in 0 to C_DW-1 generate
signal cyout : std_logic_vector(0 to NUMLUTS);
signal lutout : std_logic_vector(0 to NUMLUTS-1);
begin
cyout(0) <= '0';
NUM_BUSES_GEN: for j in 0 to NUMLUTS - 1 generate
constant BTL : positive := min(BPL, C_NB - j*BPL);
-- Number of Buses This Lut (for last LUT this may be less than BPL)
begin
lutout(j) <= lut_val(D => Dreord(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1),
S => sel(i*C_NB+j*BPL to i*C_NB+j*BPL+BTL-1)
);
MUXCY_GEN : if NUMLUTS > 1 generate
MUXCY_I : component MUXCY
port map (CI=>cyout(j),
DI=> '1',
S=>lutout(j),
O=>cyout(j+1));
end generate;
end generate;
Y(i) <= cyout(NUMLUTS) when NUMLUTS > 1 else not lutout(0); -- If just one
-- LUT, then take value from
-- lutout rather than cyout.
end generate;
end generate;
ONE_GEN: if C_NB = 1 generate
Y <= D;
end generate;
end imp;
|
gpl-3.0
|
pleonex/Efponga
|
Pong/escenario.vhd
|
1
|
6726
|
LIBRARY IEEE;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.STD_LOGIC_ARITH.all;
--USE IEEE.NUMERIC_STD.all;
USE IEEE.STD_LOGIC_UNSIGNED.all;
ENTITY escenario IS
PORT (
vert_sync : IN STD_LOGIC;
pixel_row : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
pixel_column : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
Red : OUT STD_LOGIC;
Green : OUT STD_LOGIC;
Blue : OUT STD_LOGIC;
-- Controles del juego
btn_up1 : IN STD_LOGIC;
btn_down1 : IN STD_LOGIC;
btn_up2 : IN STD_LOGIC;
btn_down2 : IN STD_LOGIC;
-- Marcados de 7 segmentos
hex00 : OUT STD_LOGIC;
hex01 : OUT STD_LOGIC;
hex02 : OUT STD_LOGIC;
hex03 : OUT STD_LOGIC;
hex04 : OUT STD_LOGIC;
hex05 : OUT STD_LOGIC;
hex06 : OUT STD_LOGIC;
hex20 : OUT STD_LOGIC;
hex21 : OUT STD_LOGIC;
hex22 : OUT STD_LOGIC;
hex23 : OUT STD_LOGIC;
hex24 : OUT STD_LOGIC;
hex25 : OUT STD_LOGIC;
hex26 : OUT STD_LOGIC
);
END escenario;
ARCHITECTURE funcional OF escenario IS
-- Pelota de juego
COMPONENT bola
PORT(
-- Variables de dibujado
vert_sync : IN STD_LOGIC;
pixel_row : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
pixel_column : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
Red : OUT STD_LOGIC;
Green : OUT STD_LOGIC;
Blue : OUT STD_LOGIC;
-- Control de bola
bola_x : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
bola_y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
bola_size_x : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
bola_size_y : OUT STD_LOGIC_VECTOR(9 DOWNTO 0);
rebote_xIzq : IN STD_LOGIC;
rebote_xDer : IN STD_LOGIC;
rebote_y : IN STD_LOGIC;
gol1 : OUT STD_LOGIC;
gol2 : OUT STD_LOGIC
);
END COMPONENT;
-- Pala de juego
COMPONENT pala
GENERIC (
DEFAULT_POS_X : STD_LOGIC_VECTOR(9 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(0, 10)
);
PORT (
-- Puertos para dibujado
vert_sync : IN STD_LOGIC;
pixel_row : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
pixel_column : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
Red : OUT STD_LOGIC;
Green : OUT STD_LOGIC;
Blue : OUT STD_LOGIC;
-- Botones de control
btn_up : IN STD_LOGIC;
btn_down : IN STD_LOGIC;
-- Control de rebotes de bola
bola_x : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
bola_y : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
bola_size_x : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
bola_size_y : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
rebote : OUT STD_LOGIC
);
END COMPONENT;
-- Marcador
COMPONENT marcador
PORT (
numero : IN UNSIGNED(3 DOWNTO 0);
hex0 : OUT STD_LOGIC;
hex1 : OUT STD_LOGIC;
hex2 : OUT STD_LOGIC;
hex3 : OUT STD_LOGIC;
hex4 : OUT STD_LOGIC;
hex5 : OUT STD_LOGIC;
hex6 : OUT STD_LOGIC
);
END COMPONENT;
-- Constantes de la pantalla
CONSTANT PANTALLA_ANCHO : STD_LOGIC_VECTOR(9 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(640, 10);
CONSTANT PANTALLA_ALTO : STD_LOGIC_VECTOR(9 DOWNTO 0) := CONV_STD_LOGIC_VECTOR(480, 10);
-- Variables
SIGNAL red_bola, red_palaIzq, red_palaDer : STD_LOGIC;
SIGNAL green_bola, green_palaIzq, green_palaDer : STD_LOGIC;
SIGNAL blue_bola, blue_palaIzq, blue_palaDer : STD_LOGIC;
SIGNAL bola_x, bola_y, bola_size_x, bola_size_y : STD_LOGIC_VECTOR(9 DOWNTO 0);
SIGNAL rebote_palaIzq, rebote_palaDer : STD_LOGIC;
SIGNAL contador1 : UNSIGNED(3 DOWNTO 0);
SIGNAL contador2 : UNSIGNED(3 DOWNTO 0);
SIGNAL gol1 : STD_LOGIC;
SIGNAL gol2 : STD_LOGIC;
BEGIN
Red <= red_bola or red_palaIzq or red_palaDer;
Green <= green_bola or green_palaIzq or green_palaDer;
Blue <= blue_bola or blue_palaIzq or blue_palaDer;
PROCESS (vert_sync)
BEGIN
IF (vert_sync'event AND vert_sync = '1') THEN
-- FIX: Arreglar fallo de doble detección de borde
contador1 <= contador1 + gol1;
contador2 <= contador2 + gol2;
END IF;
END PROCESS;
PELOTA: bola
PORT MAP (
vert_sync => vert_sync,
pixel_row => pixel_row,
pixel_column => pixel_column,
Red => red_bola,
Green => green_bola,
Blue => blue_bola,
bola_x => bola_x,
bola_y => bola_y,
bola_size_x => bola_size_x,
bola_size_y => bola_size_y,
rebote_xIzq => rebote_palaDer,
rebote_xDer => rebote_palaIzq,
rebote_y => '0',
gol1 => gol1,
gol2 => gol2
);
PALA_IZQ: pala
GENERIC MAP (
DEFAULT_POS_X => CONV_STD_LOGIC_VECTOR(10, 10)
)
PORT MAP (
vert_sync => vert_sync,
pixel_row => pixel_row,
pixel_column => pixel_column,
Red => red_palaIzq,
Green => green_palaIzq,
Blue => blue_palaIzq,
btn_up => btn_up1,
btn_down => btn_down1,
bola_x => bola_x,
bola_y => bola_y,
bola_size_x => bola_size_x,
bola_size_y => bola_size_y,
rebote => rebote_palaIzq
);
PALA_DER: pala
GENERIC MAP (
DEFAULT_POS_X => PANTALLA_ANCHO - CONV_STD_LOGIC_VECTOR(10, 10)
)
PORT MAP (
vert_sync => vert_sync,
pixel_row => pixel_row,
pixel_column => pixel_column,
Red => red_palaDer,
Green => green_palaDer,
Blue => blue_palaDer,
btn_up => btn_up2,
btn_down => btn_down2,
bola_x => bola_x,
bola_y => bola_y,
bola_size_x => bola_size_x,
bola_size_y => bola_size_y,
rebote => rebote_palaDer
);
MARCADOR1: marcador
PORT MAP (
numero => contador1,
hex0 => hex00,
hex1 => hex01,
hex2 => hex02,
hex3 => hex03,
hex4 => hex04,
hex5 => hex05,
hex6 => hex06
);
MARCADOR2: marcador
PORT MAP (
numero => contador2,
hex0 => hex20,
hex1 => hex21,
hex2 => hex22,
hex3 => hex23,
hex4 => hex24,
hex5 => hex25,
hex6 => hex26
);
END funcional;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_ms_strb_set.vhd
|
18
|
62690
|
-------------------------------------------------------------------------------
-- axi_datamover_ms_strb_set.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_ms_strb_set.vhd
--
-- Description:
-- This module implements a function to detect the most significant strobe
-- bit asserted and outputs the index value of that strobe bit. It can only
-- be used in applications where the asserted strobe bits are contiguous and
-- always asserted from LS to MS bit positions,
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_ms_strb_set is
generic (
C_STRB_WIDTH : Integer := 8;
-- Sets the width of the input strobe port
C_INDEX_WIDTH : Integer := 3
-- Sets the width of the ms_strb_index output port
-- Should be log2(C_STRB_WIDTH)
);
port (
-- Input strobe value ------------------------------------------------
strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Specifies the index of the most significant strobe set ------------
ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Invalid strobe input Indcation ------------------------------------
strb_error : Out std_logic --
-- Indicates an error with the strobe input, either a hole in the --
-- asserted strobes or not asserted from LS bits upwards. --
----------------------------------------------------------------------
);
end entity axi_datamover_ms_strb_set;
architecture implementation of axi_datamover_ms_strb_set is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_2
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 2-bit wide strobe value.
--
-------------------------------------------------------------------
function get_ms_index_2 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(1 downto 0);
begin
var_strb_value := input_strobe(1 downto 0);
case var_strb_value is
when "01" =>
var_ms_strb_index := 0;
when "11" | "10" =>
var_ms_strb_index := 1;
when others =>
var_ms_strb_index := 2;
end case;
Return (var_ms_strb_index);
end function get_ms_index_2;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_4
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 4-bit wide strobe value.
--
-------------------------------------------------------------------
function get_ms_index_4 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(3 downto 0);
begin
var_strb_value := input_strobe(3 downto 0);
case var_strb_value is
when "0001" =>
var_ms_strb_index := 0;
when "0011" | "0010" =>
var_ms_strb_index := 1;
when "0111" | "0110" | "0100" =>
var_ms_strb_index := 2;
when "1111" | "1110" | "1100" | "1000"=>
var_ms_strb_index := 3;
when others =>
var_ms_strb_index := 4;
end case;
Return (var_ms_strb_index);
end function get_ms_index_4;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_8
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 8-bit wide strobe value.
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_8 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(7 downto 0);
begin
var_strb_value := input_strobe(7 downto 0);
case var_strb_value is
when "00000001" =>
var_ms_strb_index := 0;
when "00000010" =>
var_ms_strb_index := 1;
when "00000100" =>
var_ms_strb_index := 2;
when "00001000" =>
var_ms_strb_index := 3;
when "00010000" =>
var_ms_strb_index := 4;
when "00100000" =>
var_ms_strb_index := 5;
when "01000000" =>
var_ms_strb_index := 6;
when "10000000" =>
var_ms_strb_index := 7;
when others =>
var_ms_strb_index := 8;
end case;
Return (var_ms_strb_index);
end function get_ms_index_8;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_16
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 16-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_16 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(15 downto 0);
begin
var_strb_value := input_strobe(15 downto 0);
case var_strb_value is
when "0000000000000001" =>
var_ms_strb_index := 0;
when "0000000000000010" =>
var_ms_strb_index := 1;
when "0000000000000100" =>
var_ms_strb_index := 2;
when "0000000000001000" =>
var_ms_strb_index := 3;
when "0000000000010000" =>
var_ms_strb_index := 4;
when "0000000000100000" =>
var_ms_strb_index := 5;
when "0000000001000000" =>
var_ms_strb_index := 6;
when "0000000010000000" =>
var_ms_strb_index := 7;
when "0000000100000000" =>
var_ms_strb_index := 8;
when "0000001000000000" =>
var_ms_strb_index := 9;
when "0000010000000000" =>
var_ms_strb_index := 10;
when "0000100000000000" =>
var_ms_strb_index := 11;
when "0001000000000000" =>
var_ms_strb_index := 12;
when "0010000000000000" =>
var_ms_strb_index := 13;
when "0100000000000000" =>
var_ms_strb_index := 14;
when "1000000000000000" =>
var_ms_strb_index := 15;
when others =>
var_ms_strb_index := 16;
end case;
Return (var_ms_strb_index);
end function get_ms_index_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_32
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 32-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_32 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(31 downto 0);
begin
var_strb_value := input_strobe(31 downto 0);
case var_strb_value is
when "00000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "00000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "00000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "00000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "00000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "00000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "00000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "00000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "00000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "00000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "00000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "00000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "00000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "00000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "00000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "00000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "00000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "00000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "00000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "00000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "00000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "00000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "00000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "00000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "00000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "00000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "00000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "00001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "00010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "00100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "01000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "10000000000000000000000000000000" =>
var_ms_strb_index := 31;
when others =>
var_ms_strb_index := 32;
end case;
Return (var_ms_strb_index);
end function get_ms_index_32;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_64
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 64-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_64 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(63 downto 0);
begin
var_strb_value := input_strobe(63 downto 0);
case var_strb_value is
when "0000000000000000000000000000000000000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "0000000000000000000000000000000000000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "0000000000000000000000000000000000000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "0000000000000000000000000000000000000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "0000000000000000000000000000000000000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "0000000000000000000000000000000000000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "0000000000000000000000000000000000000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "0000000000000000000000000000000000000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "0000000000000000000000000000000000000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "0000000000000000000000000000000000000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "0000000000000000000000000000000000000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "0000000000000000000000000000000000000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "0000000000000000000000000000000000000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "0000000000000000000000000000000000000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "0000000000000000000000000000000000000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "0000000000000000000000000000000000000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "0000000000000000000000000000000000000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "0000000000000000000000000000000000000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "0000000000000000000000000000000000000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "0000000000000000000000000000000000000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "0000000000000000000000000000000000000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "0000000000000000000000000000000000000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "0000000000000000000000000000000000000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "0000000000000000000000000000000000000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "0000000000000000000000000000000000000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "0000000000000000000000000000000000000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "0000000000000000000000000000000000000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "0000000000000000000000000000000000001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "0000000000000000000000000000000000010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "0000000000000000000000000000000000100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "0000000000000000000000000000000001000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "0000000000000000000000000000000010000000000000000000000000000000" =>
var_ms_strb_index := 31;
when "0000000000000000000000000000000100000000000000000000000000000000" =>
var_ms_strb_index := 32;
when "0000000000000000000000000000001000000000000000000000000000000000" =>
var_ms_strb_index := 33;
when "0000000000000000000000000000010000000000000000000000000000000000" =>
var_ms_strb_index := 34;
when "0000000000000000000000000000100000000000000000000000000000000000" =>
var_ms_strb_index := 35;
when "0000000000000000000000000001000000000000000000000000000000000000" =>
var_ms_strb_index := 36;
when "0000000000000000000000000010000000000000000000000000000000000000" =>
var_ms_strb_index := 37;
when "0000000000000000000000000100000000000000000000000000000000000000" =>
var_ms_strb_index := 38;
when "0000000000000000000000001000000000000000000000000000000000000000" =>
var_ms_strb_index := 39;
when "0000000000000000000000010000000000000000000000000000000000000000" =>
var_ms_strb_index := 40;
when "0000000000000000000000100000000000000000000000000000000000000000" =>
var_ms_strb_index := 41;
when "0000000000000000000001000000000000000000000000000000000000000000" =>
var_ms_strb_index := 42;
when "0000000000000000000010000000000000000000000000000000000000000000" =>
var_ms_strb_index := 43;
when "0000000000000000000100000000000000000000000000000000000000000000" =>
var_ms_strb_index := 44;
when "0000000000000000001000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 45;
when "0000000000000000010000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 46;
when "0000000000000000100000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 47;
when "0000000000000001000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 48;
when "0000000000000010000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 49;
when "0000000000000100000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 50;
when "0000000000001000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 51;
when "0000000000010000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 52;
when "0000000000100000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 53;
when "0000000001000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 54;
when "0000000010000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 55;
when "0000000100000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 56;
when "0000001000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 57;
when "0000010000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 58;
when "0000100000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 59;
when "0001000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 60;
when "0010000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 61;
when "0100000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 62;
when "1000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 63;
when others =>
var_ms_strb_index := 64;
end case;
Return (var_ms_strb_index);
end function get_ms_index_64;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_128
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 64-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_128 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(127 downto 0);
begin
var_strb_value := input_strobe(127 downto 0);
case var_strb_value is
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" =>
var_ms_strb_index := 31;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" =>
var_ms_strb_index := 32;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" =>
var_ms_strb_index := 33;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" =>
var_ms_strb_index := 34;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" =>
var_ms_strb_index := 35;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" =>
var_ms_strb_index := 36;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" =>
var_ms_strb_index := 37;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" =>
var_ms_strb_index := 38;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" =>
var_ms_strb_index := 39;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" =>
var_ms_strb_index := 40;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" =>
var_ms_strb_index := 41;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" =>
var_ms_strb_index := 42;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" =>
var_ms_strb_index := 43;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" =>
var_ms_strb_index := 44;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 45;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 46;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 47;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 48;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 49;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 50;
when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 51;
when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 52;
when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 53;
when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 54;
when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 55;
when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 56;
when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 57;
when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 58;
when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 59;
when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 60;
when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 61;
when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 62;
when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 63;
when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 64;
when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 65;
when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 66;
when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 67;
when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 68;
when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 69;
when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 70;
when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 71;
when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 72;
when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 73;
when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 74;
when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 75;
when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 76;
when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 77;
when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 78;
when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 79;
when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 80;
when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 81;
when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 82;
when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 83;
when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 84;
when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 85;
when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 86;
when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 87;
when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 88;
when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 89;
when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 90;
when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 91;
when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 92;
when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 93;
when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 94;
when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 95;
when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 96;
when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 97;
when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 98;
when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 99;
when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 100;
when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 101;
when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 102;
when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 103;
when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 104;
when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 105;
when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 106;
when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 107;
when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 108;
when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 109;
when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 110;
when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 111;
when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 112;
when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 113;
when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 114;
when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 115;
when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 116;
when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 117;
when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 118;
when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 119;
when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 120;
when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 121;
when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 122;
when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 123;
when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 124;
when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 125;
when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 126;
when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 127;
when others =>
var_ms_strb_index := 128;
end case;
Return (var_ms_strb_index);
end function get_ms_index_128;
-- Constants
Constant ERROR_INDEX : natural := C_STRB_WIDTH;
Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value
Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value
-- Signals
signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_error : std_logic := '0';
signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0');
signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin --(architecture implementation)
-- Assign the ms asserted strobe value
ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un);
-- Assign the input strobe
sig_input_stbs <= strbs_in ; -- assign input strobes
-- Assign the strobe eror output
strb_error <= sig_strb_error ; -- assign the strobe error output
-- Rip the valid index bits
sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0);
-- Assert the Strobe Error output if an out of range index is returned
sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ;
sig_strb_error <= '1'
When (sig_temp_ms_index_nat >= ERROR_INDEX)
else '0';
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_1BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 1-bit strobe width case.
--
--
------------------------------------------------------------
GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate
begin
sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH);
end generate GEN_1BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_2BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 2-bit strobe width case.
--
--
------------------------------------------------------------
GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin
lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_2BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_4BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 4-bit strobe width case.
--
------------------------------------------------------------
GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin
lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_4BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_8BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 8-bit strobe width case.
--
------------------------------------------------------------
GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_8BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_16BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 16-bit strobe width case.
--
--
------------------------------------------------------------
GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_16BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_32BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 32-bit strobe width case.
--
------------------------------------------------------------
GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_32BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_64BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 64-bit strobe width case.
--
------------------------------------------------------------
GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_64BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_128BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 128-bit strobe width case.
--
------------------------------------------------------------
GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_128BIT_CASE;
end implementation;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_ms_strb_set.vhd
|
18
|
62690
|
-------------------------------------------------------------------------------
-- axi_datamover_ms_strb_set.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_ms_strb_set.vhd
--
-- Description:
-- This module implements a function to detect the most significant strobe
-- bit asserted and outputs the index value of that strobe bit. It can only
-- be used in applications where the asserted strobe bits are contiguous and
-- always asserted from LS to MS bit positions,
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_datamover_ms_strb_set is
generic (
C_STRB_WIDTH : Integer := 8;
-- Sets the width of the input strobe port
C_INDEX_WIDTH : Integer := 3
-- Sets the width of the ms_strb_index output port
-- Should be log2(C_STRB_WIDTH)
);
port (
-- Input strobe value ------------------------------------------------
strbs_in : in std_logic_vector(C_STRB_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Specifies the index of the most significant strobe set ------------
ms_strb_index : out std_logic_vector(C_INDEX_WIDTH-1 downto 0); --
----------------------------------------------------------------------
-- Invalid strobe input Indcation ------------------------------------
strb_error : Out std_logic --
-- Indicates an error with the strobe input, either a hole in the --
-- asserted strobes or not asserted from LS bits upwards. --
----------------------------------------------------------------------
);
end entity axi_datamover_ms_strb_set;
architecture implementation of axi_datamover_ms_strb_set is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_2
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 2-bit wide strobe value.
--
-------------------------------------------------------------------
function get_ms_index_2 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(1 downto 0);
begin
var_strb_value := input_strobe(1 downto 0);
case var_strb_value is
when "01" =>
var_ms_strb_index := 0;
when "11" | "10" =>
var_ms_strb_index := 1;
when others =>
var_ms_strb_index := 2;
end case;
Return (var_ms_strb_index);
end function get_ms_index_2;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_4
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 4-bit wide strobe value.
--
-------------------------------------------------------------------
function get_ms_index_4 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(3 downto 0);
begin
var_strb_value := input_strobe(3 downto 0);
case var_strb_value is
when "0001" =>
var_ms_strb_index := 0;
when "0011" | "0010" =>
var_ms_strb_index := 1;
when "0111" | "0110" | "0100" =>
var_ms_strb_index := 2;
when "1111" | "1110" | "1100" | "1000"=>
var_ms_strb_index := 3;
when others =>
var_ms_strb_index := 4;
end case;
Return (var_ms_strb_index);
end function get_ms_index_4;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_8
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 8-bit wide strobe value.
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_8 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(7 downto 0);
begin
var_strb_value := input_strobe(7 downto 0);
case var_strb_value is
when "00000001" =>
var_ms_strb_index := 0;
when "00000010" =>
var_ms_strb_index := 1;
when "00000100" =>
var_ms_strb_index := 2;
when "00001000" =>
var_ms_strb_index := 3;
when "00010000" =>
var_ms_strb_index := 4;
when "00100000" =>
var_ms_strb_index := 5;
when "01000000" =>
var_ms_strb_index := 6;
when "10000000" =>
var_ms_strb_index := 7;
when others =>
var_ms_strb_index := 8;
end case;
Return (var_ms_strb_index);
end function get_ms_index_8;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_16
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 16-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_16 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(15 downto 0);
begin
var_strb_value := input_strobe(15 downto 0);
case var_strb_value is
when "0000000000000001" =>
var_ms_strb_index := 0;
when "0000000000000010" =>
var_ms_strb_index := 1;
when "0000000000000100" =>
var_ms_strb_index := 2;
when "0000000000001000" =>
var_ms_strb_index := 3;
when "0000000000010000" =>
var_ms_strb_index := 4;
when "0000000000100000" =>
var_ms_strb_index := 5;
when "0000000001000000" =>
var_ms_strb_index := 6;
when "0000000010000000" =>
var_ms_strb_index := 7;
when "0000000100000000" =>
var_ms_strb_index := 8;
when "0000001000000000" =>
var_ms_strb_index := 9;
when "0000010000000000" =>
var_ms_strb_index := 10;
when "0000100000000000" =>
var_ms_strb_index := 11;
when "0001000000000000" =>
var_ms_strb_index := 12;
when "0010000000000000" =>
var_ms_strb_index := 13;
when "0100000000000000" =>
var_ms_strb_index := 14;
when "1000000000000000" =>
var_ms_strb_index := 15;
when others =>
var_ms_strb_index := 16;
end case;
Return (var_ms_strb_index);
end function get_ms_index_16;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_32
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 32-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_32 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(31 downto 0);
begin
var_strb_value := input_strobe(31 downto 0);
case var_strb_value is
when "00000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "00000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "00000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "00000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "00000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "00000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "00000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "00000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "00000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "00000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "00000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "00000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "00000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "00000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "00000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "00000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "00000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "00000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "00000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "00000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "00000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "00000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "00000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "00000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "00000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "00000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "00000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "00001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "00010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "00100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "01000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "10000000000000000000000000000000" =>
var_ms_strb_index := 31;
when others =>
var_ms_strb_index := 32;
end case;
Return (var_ms_strb_index);
end function get_ms_index_32;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_64
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 64-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_64 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(63 downto 0);
begin
var_strb_value := input_strobe(63 downto 0);
case var_strb_value is
when "0000000000000000000000000000000000000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "0000000000000000000000000000000000000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "0000000000000000000000000000000000000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "0000000000000000000000000000000000000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "0000000000000000000000000000000000000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "0000000000000000000000000000000000000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "0000000000000000000000000000000000000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "0000000000000000000000000000000000000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "0000000000000000000000000000000000000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "0000000000000000000000000000000000000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "0000000000000000000000000000000000000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "0000000000000000000000000000000000000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "0000000000000000000000000000000000000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "0000000000000000000000000000000000000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "0000000000000000000000000000000000000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "0000000000000000000000000000000000000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "0000000000000000000000000000000000000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "0000000000000000000000000000000000000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "0000000000000000000000000000000000000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "0000000000000000000000000000000000000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "0000000000000000000000000000000000000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "0000000000000000000000000000000000000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "0000000000000000000000000000000000000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "0000000000000000000000000000000000000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "0000000000000000000000000000000000000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "0000000000000000000000000000000000000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "0000000000000000000000000000000000000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "0000000000000000000000000000000000001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "0000000000000000000000000000000000010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "0000000000000000000000000000000000100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "0000000000000000000000000000000001000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "0000000000000000000000000000000010000000000000000000000000000000" =>
var_ms_strb_index := 31;
when "0000000000000000000000000000000100000000000000000000000000000000" =>
var_ms_strb_index := 32;
when "0000000000000000000000000000001000000000000000000000000000000000" =>
var_ms_strb_index := 33;
when "0000000000000000000000000000010000000000000000000000000000000000" =>
var_ms_strb_index := 34;
when "0000000000000000000000000000100000000000000000000000000000000000" =>
var_ms_strb_index := 35;
when "0000000000000000000000000001000000000000000000000000000000000000" =>
var_ms_strb_index := 36;
when "0000000000000000000000000010000000000000000000000000000000000000" =>
var_ms_strb_index := 37;
when "0000000000000000000000000100000000000000000000000000000000000000" =>
var_ms_strb_index := 38;
when "0000000000000000000000001000000000000000000000000000000000000000" =>
var_ms_strb_index := 39;
when "0000000000000000000000010000000000000000000000000000000000000000" =>
var_ms_strb_index := 40;
when "0000000000000000000000100000000000000000000000000000000000000000" =>
var_ms_strb_index := 41;
when "0000000000000000000001000000000000000000000000000000000000000000" =>
var_ms_strb_index := 42;
when "0000000000000000000010000000000000000000000000000000000000000000" =>
var_ms_strb_index := 43;
when "0000000000000000000100000000000000000000000000000000000000000000" =>
var_ms_strb_index := 44;
when "0000000000000000001000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 45;
when "0000000000000000010000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 46;
when "0000000000000000100000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 47;
when "0000000000000001000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 48;
when "0000000000000010000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 49;
when "0000000000000100000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 50;
when "0000000000001000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 51;
when "0000000000010000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 52;
when "0000000000100000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 53;
when "0000000001000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 54;
when "0000000010000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 55;
when "0000000100000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 56;
when "0000001000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 57;
when "0000010000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 58;
when "0000100000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 59;
when "0001000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 60;
when "0010000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 61;
when "0100000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 62;
when "1000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 63;
when others =>
var_ms_strb_index := 64;
end case;
Return (var_ms_strb_index);
end function get_ms_index_64;
-------------------------------------------------------------------
-- Function
--
-- Function Name: get_ms_index_128
--
-- Function Description:
-- Returns the index of the most significant strobe set in a
-- 64-bit wide strobe value.
--
--
-- Note that this function expects an input vector marking the
-- assertion/deassertion boundaries, not the actual strobe vector.
--
-------------------------------------------------------------------
function get_ms_index_128 (input_strobe : std_logic_vector) return natural is
Variable var_ms_strb_index : natural := 0;
Variable var_strb_value : std_logic_vector(127 downto 0);
begin
var_strb_value := input_strobe(127 downto 0);
case var_strb_value is
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001" =>
var_ms_strb_index := 0;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010" =>
var_ms_strb_index := 1;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100" =>
var_ms_strb_index := 2;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000" =>
var_ms_strb_index := 3;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000" =>
var_ms_strb_index := 4;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000" =>
var_ms_strb_index := 5;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000" =>
var_ms_strb_index := 6;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000" =>
var_ms_strb_index := 7;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000" =>
var_ms_strb_index := 8;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000" =>
var_ms_strb_index := 9;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000" =>
var_ms_strb_index := 10;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000" =>
var_ms_strb_index := 11;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000" =>
var_ms_strb_index := 12;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000" =>
var_ms_strb_index := 13;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000" =>
var_ms_strb_index := 14;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000" =>
var_ms_strb_index := 15;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000" =>
var_ms_strb_index := 16;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000" =>
var_ms_strb_index := 17;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000" =>
var_ms_strb_index := 18;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000" =>
var_ms_strb_index := 19;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000" =>
var_ms_strb_index := 20;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000" =>
var_ms_strb_index := 21;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000" =>
var_ms_strb_index := 22;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000" =>
var_ms_strb_index := 23;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000" =>
var_ms_strb_index := 24;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000" =>
var_ms_strb_index := 25;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000" =>
var_ms_strb_index := 26;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000" =>
var_ms_strb_index := 27;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000" =>
var_ms_strb_index := 28;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000" =>
var_ms_strb_index := 29;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000" =>
var_ms_strb_index := 30;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000" =>
var_ms_strb_index := 31;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000" =>
var_ms_strb_index := 32;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000" =>
var_ms_strb_index := 33;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000" =>
var_ms_strb_index := 34;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000" =>
var_ms_strb_index := 35;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000" =>
var_ms_strb_index := 36;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000" =>
var_ms_strb_index := 37;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000" =>
var_ms_strb_index := 38;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000" =>
var_ms_strb_index := 39;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000" =>
var_ms_strb_index := 40;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000" =>
var_ms_strb_index := 41;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000" =>
var_ms_strb_index := 42;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000" =>
var_ms_strb_index := 43;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000" =>
var_ms_strb_index := 44;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 45;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 46;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 47;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 48;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 49;
when "00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 50;
when "00000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 51;
when "00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 52;
when "00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 53;
when "00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 54;
when "00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 55;
when "00000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 56;
when "00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 57;
when "00000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 58;
when "00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 59;
when "00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 60;
when "00000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 61;
when "00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 62;
when "00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 63;
when "00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 64;
when "00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 65;
when "00000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 66;
when "00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 67;
when "00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 68;
when "00000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 69;
when "00000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 70;
when "00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 71;
when "00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 72;
when "00000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 73;
when "00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 74;
when "00000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 75;
when "00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 76;
when "00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 77;
when "00000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 78;
when "00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 79;
when "00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 80;
when "00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 81;
when "00000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 82;
when "00000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 83;
when "00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 84;
when "00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 85;
when "00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 86;
when "00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 87;
when "00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 88;
when "00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 89;
when "00000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 90;
when "00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 91;
when "00000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 92;
when "00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 93;
when "00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 94;
when "00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 95;
when "00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 96;
when "00000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 97;
when "00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 98;
when "00000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 99;
when "00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 100;
when "00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 101;
when "00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 102;
when "00000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 103;
when "00000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 104;
when "00000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 105;
when "00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 106;
when "00000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 107;
when "00000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 108;
when "00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 109;
when "00000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 110;
when "00000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 111;
when "00000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 112;
when "00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 113;
when "00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 114;
when "00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 115;
when "00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 116;
when "00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 117;
when "00000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 118;
when "00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 119;
when "00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 120;
when "00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 121;
when "00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 122;
when "00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 123;
when "00010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 124;
when "00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 125;
when "01000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 126;
when "10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" =>
var_ms_strb_index := 127;
when others =>
var_ms_strb_index := 128;
end case;
Return (var_ms_strb_index);
end function get_ms_index_128;
-- Constants
Constant ERROR_INDEX : natural := C_STRB_WIDTH;
Constant TEMP_NAT_MAX : natural := 255; -- allows for a 0 to 255 strobe index value
Constant TEMP_UN_WIDTH : natural := 8; -- 8 bits allows for a 0 to 255 index value
-- Signals
signal sig_input_stbs : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_ms_asserted_index_un : unsigned(C_INDEX_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_error : std_logic := '0';
signal sig_temp_ms_index_un : unsigned(TEMP_UN_WIDTH-1 downto 0) := (others => '0');
signal sig_temp_ms_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin --(architecture implementation)
-- Assign the ms asserted strobe value
ms_strb_index <= STD_LOGIC_VECTOR(sig_ms_asserted_index_un);
-- Assign the input strobe
sig_input_stbs <= strbs_in ; -- assign input strobes
-- Assign the strobe eror output
strb_error <= sig_strb_error ; -- assign the strobe error output
-- Rip the valid index bits
sig_ms_asserted_index_un <= sig_temp_ms_index_un(C_INDEX_WIDTH-1 downto 0);
-- Assert the Strobe Error output if an out of range index is returned
sig_temp_ms_index_nat <= TO_INTEGER(sig_ms_asserted_index_un) ;
sig_strb_error <= '1'
When (sig_temp_ms_index_nat >= ERROR_INDEX)
else '0';
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_1BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 1-bit strobe width case.
--
--
------------------------------------------------------------
GEN_1BIT_CASE : if (C_STRB_WIDTH = 1) generate
begin
sig_temp_ms_index_un <= TO_UNSIGNED( 0, TEMP_UN_WIDTH);
end generate GEN_1BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_2BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 2-bit strobe width case.
--
--
------------------------------------------------------------
GEN_2BIT_CASE : if (C_STRB_WIDTH = 2) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin
lsig_ms_asserted_index_nat <= get_ms_index_2(sig_input_stbs);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_2BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_4BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 4-bit strobe width case.
--
------------------------------------------------------------
GEN_4BIT_CASE : if (C_STRB_WIDTH = 4) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
begin
lsig_ms_asserted_index_nat <= get_ms_index_4(sig_input_stbs);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_4BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_8BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 8-bit strobe width case.
--
------------------------------------------------------------
GEN_8BIT_CASE : if (C_STRB_WIDTH = 8) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_8(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_8BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_16BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 16-bit strobe width case.
--
--
------------------------------------------------------------
GEN_16BIT_CASE : if (C_STRB_WIDTH = 16) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_16(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_16BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_32BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 32-bit strobe width case.
--
------------------------------------------------------------
GEN_32BIT_CASE : if (C_STRB_WIDTH = 32) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_32(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_32BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_64BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 64-bit strobe width case.
--
------------------------------------------------------------
GEN_64BIT_CASE : if (C_STRB_WIDTH = 64) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_64(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_64BIT_CASE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_128BIT_CASE
--
-- If Generate Description:
-- Generates the MS asserted strobe index for the
-- 128-bit strobe width case.
--
------------------------------------------------------------
GEN_128BIT_CASE : if (C_STRB_WIDTH = 128) generate
-- local signals
Signal lsig_ms_asserted_index_nat : natural range 0 to TEMP_NAT_MAX := 0;
Signal lsig_strb_last_assert_vect : std_logic_vector(C_STRB_WIDTH downto 0);
Signal lsig_strb_test_vect : std_logic_vector(C_STRB_WIDTH downto 0);
begin
-- Create a strobe vector with the most significant bit zeroed.
lsig_strb_test_vect <= '0' & sig_input_stbs;
------------------------------------------------------------
-- For Generate
--
-- Label: GEN_ASSERT_BNDRY_CHK
--
-- For Generate Description:
-- Find the assertion/deassertion boundaries in the input
-- Strobe vector in the least to most significant index
-- direction.
--
--
------------------------------------------------------------
GEN_ASSERT_BNDRY_CHK : for strb_index in 1 to C_STRB_WIDTH generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_FIND_DEASSERTION
--
-- Process Description:
-- Detects the case when two adjoining strobe bits have an
-- assertion transition from asserted to deasserted moving
-- from lower to higher bit ordering.
--
-------------------------------------------------------------
IMP_FIND_DEASSERTION : process (lsig_strb_test_vect)
begin
if ((lsig_strb_test_vect(strb_index-1) = '1') and
(lsig_strb_test_vect(strb_index) = '0')) then
lsig_strb_last_assert_vect(strb_index-1) <= '1';
else
lsig_strb_last_assert_vect(strb_index-1) <= '0';
end if;
end process IMP_FIND_DEASSERTION;
end generate GEN_ASSERT_BNDRY_CHK;
lsig_ms_asserted_index_nat <= get_ms_index_128(lsig_strb_last_assert_vect);
sig_temp_ms_index_un <= TO_UNSIGNED(lsig_ms_asserted_index_nat, TEMP_UN_WIDTH);
end generate GEN_128BIT_CASE;
end implementation;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ip/design_1_axi_bram_ctrl_0_0/sim/design_1_axi_bram_ctrl_0_0.vhd
|
2
|
15632
|
-- (c) Copyright 1995-2016 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_bram_ctrl:4.0
-- IP Revision: 7
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_bram_ctrl_v4_0_7;
USE axi_bram_ctrl_v4_0_7.axi_bram_ctrl;
ENTITY design_1_axi_bram_ctrl_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END design_1_axi_bram_ctrl_0_0;
ARCHITECTURE design_1_axi_bram_ctrl_0_0_arch OF design_1_axi_bram_ctrl_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF design_1_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_bram_ctrl IS
GENERIC (
C_BRAM_INST_MODE : STRING;
C_MEMORY_DEPTH : INTEGER;
C_BRAM_ADDR_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ID_WIDTH : INTEGER;
C_S_AXI_PROTOCOL : STRING;
C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER;
C_SINGLE_PORT_BRAM : INTEGER;
C_FAMILY : STRING;
C_S_AXI_CTRL_ADDR_WIDTH : INTEGER;
C_S_AXI_CTRL_DATA_WIDTH : INTEGER;
C_ECC : INTEGER;
C_ECC_TYPE : INTEGER;
C_FAULT_INJECT : INTEGER;
C_ECC_ONOFF_RESET_VALUE : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
ecc_interrupt : OUT STD_LOGIC;
ecc_ue : OUT STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_ctrl_awvalid : IN STD_LOGIC;
s_axi_ctrl_awready : OUT STD_LOGIC;
s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wvalid : IN STD_LOGIC;
s_axi_ctrl_wready : OUT STD_LOGIC;
s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_bvalid : OUT STD_LOGIC;
s_axi_ctrl_bready : IN STD_LOGIC;
s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_arvalid : IN STD_LOGIC;
s_axi_ctrl_arready : OUT STD_LOGIC;
s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_rvalid : OUT STD_LOGIC;
s_axi_ctrl_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rst_b : OUT STD_LOGIC;
bram_clk_b : OUT STD_LOGIC;
bram_en_b : OUT STD_LOGIC;
bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_b : OUT STD_LOGIC_VECTOR(12 DOWNTO 0);
bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_bram_ctrl;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
BEGIN
U0 : axi_bram_ctrl
GENERIC MAP (
C_BRAM_INST_MODE => "EXTERNAL",
C_MEMORY_DEPTH => 2048,
C_BRAM_ADDR_WIDTH => 11,
C_S_AXI_ADDR_WIDTH => 13,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ID_WIDTH => 12,
C_S_AXI_PROTOCOL => "AXI4",
C_S_AXI_SUPPORTS_NARROW_BURST => 0,
C_SINGLE_PORT_BRAM => 1,
C_FAMILY => "zynq",
C_S_AXI_CTRL_ADDR_WIDTH => 32,
C_S_AXI_CTRL_DATA_WIDTH => 32,
C_ECC => 0,
C_ECC_TYPE => 0,
C_FAULT_INJECT => 0,
C_ECC_ONOFF_RESET_VALUE => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awid => s_axi_awid,
s_axi_awaddr => s_axi_awaddr,
s_axi_awlen => s_axi_awlen,
s_axi_awsize => s_axi_awsize,
s_axi_awburst => s_axi_awburst,
s_axi_awlock => s_axi_awlock,
s_axi_awcache => s_axi_awcache,
s_axi_awprot => s_axi_awprot,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wlast => s_axi_wlast,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bid => s_axi_bid,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_arid => s_axi_arid,
s_axi_araddr => s_axi_araddr,
s_axi_arlen => s_axi_arlen,
s_axi_arsize => s_axi_arsize,
s_axi_arburst => s_axi_arburst,
s_axi_arlock => s_axi_arlock,
s_axi_arcache => s_axi_arcache,
s_axi_arprot => s_axi_arprot,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rid => s_axi_rid,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rlast => s_axi_rlast,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
s_axi_ctrl_awvalid => '0',
s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wvalid => '0',
s_axi_ctrl_bready => '0',
s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_arvalid => '0',
s_axi_ctrl_rready => '0',
bram_rst_a => bram_rst_a,
bram_clk_a => bram_clk_a,
bram_en_a => bram_en_a,
bram_we_a => bram_we_a,
bram_addr_a => bram_addr_a,
bram_wrdata_a => bram_wrdata_a,
bram_rddata_a => bram_rddata_a,
bram_rddata_b => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32))
);
END design_1_axi_bram_ctrl_0_0_arch;
|
gpl-3.0
|
nickg/nvc
|
test/regress/elab3.vhd
|
5
|
824
|
entity sub is
end entity;
architecture test of sub is
signal p : integer;
begin
process is
begin
wait for 2 ns;
report p'instance_name;
report p'path_name;
wait;
end process;
end architecture;
-------------------------------------------------------------------------------
entity elab3 is
end entity;
architecture test of elab3 is
signal x : integer;
begin
s: entity work.sub;
b: block is
signal y : integer;
begin
process is
begin
wait for 1 ns;
report y'instance_name;
report y'path_name;
wait;
end process;
end block;
process is
begin
report x'instance_name;
report x'path_name;
wait;
end process;
end architecture;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/proc_sys_reset_v5_0/hdl/src/vhdl/upcnt_n.vhd
|
44
|
7144
|
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
|
gpl-3.0
|
nickg/nvc
|
lib/std.19/textio.vhdl
|
1
|
8222
|
-- -----------------------------------------------------------------
--
-- Copyright 2019 IEEE P1076 WG Authors
--
-- See the LICENSE file distributed with this work for copyright and
-- licensing information and the AUTHORS file.
--
-- This file to you under the Apache License, Version 2.0 (the "License").
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
-- implied. See the License for the specific language governing
-- permissions and limitations under the License.
--
-- Library : This package shall be compiled into a library
-- : symbolically named std.
-- :
-- Developers: IEEE P1076 Working Group
-- :
-- Purpose : This packages defines subprograms for file I/O
-- :
-- Note : This package may be modified to include additional data
-- : required by tools, but it must in no way change the
-- : external interfaces or simulation behavior of the
-- : description. It is permissible to add comments and/or
-- : attributes to the package declarations, but not to change
-- : or delete any original lines of the package declaration.
-- : The package body may be changed only in accordance with
-- : the terms of Clause 16 of this standard.
-- :
-- --------------------------------------------------------------------
package TEXTIO is
-- Type definitions for text I/O:
type LINE is access STRING; -- A LINE is a pointer to a STRING value.
-- The predefined operations for this type are as follows:
-- function"=" (anonymous, anonymous: LINE) return BOOLEAN;
-- function"/=" (anonymous, anonymous: LINE) return BOOLEAN;
-- procedure DEALLOCATE (P: inout LINE);
type LINE_VECTOR is array(NATURAL range <>) of LINE;
-- The predefined operations for this type are as follows:
-- function "="(anonymous, anonymous: LINE_VECTOR) return BOOLEAN;
-- function "/="(anonymous, anonymous: LINE_VECTOR) return BOOLEAN;
-- function "&"(anonymous: LINE_VECTOR; anonymous: LINE_VECTOR) return LINE_VECTOR;
-- function "&"(anonymous: LINE_VECTOR; anonymous: LINE) return LINE_VECTOR;
-- function "&"(anonymous: LINE; anonymous: LINE_VECTOR) return LINE_VECTOR;
-- function "&"(anonymous: LINE; anonymous: LINE) return LINE_VECTOR;
type TEXT is file of STRING; -- A file of variable-length ASCII records.
-- The predefined operations for this type are as follows:
-- procedure FILE_OPEN (file F: TEXT; External_Name; in STRING; Open_Kind: in FILE_OPEN_KIND := READ_MODE);
-- procedure FILE_OPEN (Status: out FILE_OPEN_STATUS; file F: TEXT; External_Name: in STRING; Open_Kind: in FILE_OPEN_KIND := READ_MODE);
-- procedure FILE_REWIND (file F: FT);
-- procedure FILE_SEEK (file F: FT; Offset : INTEGER; Origin : FILE_ORIGIN_KIND := FILE_ORIGIN_BEGIN);
-- procedure FILE_TRUNCATE (file F: FT; Size : INTEGER; Origin : FILE_ORIGIN_KIND := FILE_ORIGIN_BEGIN);
-- function FILE_MODE (file F: FT) return FILE_OPEN_KIND;
-- function FILE_TELL (file F: FT; Origin : FILE_ORIGIN_KIND := FILE_ORIGIN_BEGIN) return INTEGER;
-- function FILE_SIZE (file F: FT) return INTEGER;
-- procedure FILE_CLOSE (file F: TEXT);
-- procedure READ (file F: TEXT; VALUE: out STRING);
-- procedure WRITE (file F: TEXT; VALUE: in STRING);
-- procedure FLUSH (file F: TEXT);
-- function ENDFILE (file F: TEXT) return BOOLEAN;
type SIDE is (RIGHT, LEFT); -- For justifying output data within fields.
-- The predefined operations for this type are as follows:
-- function "=" (anonymous, anonymous: SIDE) return BOOLEAN;
-- function "/=" (anonymous, anonymous: SIDE) return BOOLEAN;
-- function "<" (anonymous, anonymous: SIDE) return BOOLEAN;
-- function "<=" (anonymous, anonymous: SIDE) return BOOLEAN;
-- function ">" (anonymous, anonymous: SIDE) return BOOLEAN;
-- function ">=" (anonymous, anonymous: SIDE) return BOOLEAN;
-- function MINIMUM (L, R: SIDE) return SIDE;
-- function MAXIMUM (L, R: SIDE) return SIDE;
-- function TO_STRING (VALUE: SIDE) return STRING;
subtype WIDTH is NATURAL; -- For specifying widths of output fields.
function JUSTIFY (VALUE: STRING; JUSTIFIED: SIDE := RIGHT; FIELD: WIDTH := 0 ) return STRING;
-- Standard text files:
file INPUT: TEXT open READ_MODE is "STD_INPUT";
file OUTPUT: TEXT open WRITE_MODE is "STD_OUTPUT";
-- Input routines for standard types:
procedure READLINE (file F: TEXT; L: inout LINE);
procedure READ (L: inout LINE; VALUE: out BIT; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out BIT);
procedure READ (L: inout LINE; VALUE: out BIT_VECTOR; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out BIT_VECTOR);
procedure READ (L: inout LINE; VALUE: out BOOLEAN; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out CHARACTER; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out CHARACTER);
procedure READ (L: inout LINE; VALUE: out INTEGER; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out INTEGER);
procedure READ (L: inout LINE; VALUE: out REAL; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out REAL);
procedure READ (L: inout LINE; VALUE: out STRING; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out STRING);
procedure READ (L: inout LINE; VALUE: out TIME; GOOD: out BOOLEAN);
procedure READ (L: inout LINE; VALUE: out TIME);
procedure SREAD (L: inout LINE; VALUE: out STRING; STRLEN: out NATURAL);
alias STRING_READ is SREAD [LINE, STRING, NATURAL];
alias BREAD is READ [LINE, BIT_VECTOR, BOOLEAN];
alias BREAD is READ [LINE, BIT_VECTOR];
alias BINARY_READ is READ [LINE, BIT_VECTOR, BOOLEAN];
alias BINARY_READ is READ [LINE, BIT_VECTOR];
procedure OREAD (L: inout LINE; VALUE: out BIT_VECTOR; GOOD: out BOOLEAN);
procedure OREAD (L: inout LINE; VALUE: out BIT_VECTOR);
alias OCTAL_READ is OREAD [LINE, BIT_VECTOR, BOOLEAN];
alias OCTAL_READ is OREAD [LINE, BIT_VECTOR];
procedure HREAD (L: inout LINE; VALUE: out BIT_VECTOR; GOOD: out BOOLEAN);
procedure HREAD (L: inout LINE; VALUE: out BIT_VECTOR);
alias HEX_READ is HREAD [LINE, BIT_VECTOR, BOOLEAN];
alias HEX_READ is HREAD [LINE, BIT_VECTOR];
-- Output routines for standard types:
procedure WRITELINE (file F: TEXT; L: inout LINE);
procedure TEE (file F: TEXT; L: inout LINE);
procedure WRITE (L: inout LINE; VALUE: in BIT; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0);
procedure WRITE (L: inout LINE; VALUE: in BIT_VECTOR; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0);
procedure WRITE (L: inout LINE; VALUE: in BOOLEAN; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0);
procedure WRITE (L: inout LINE; VALUE: in CHARACTER; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0);
procedure WRITE (L: inout LINE; VALUE: in INTEGER; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0);
procedure WRITE (L: inout LINE; VALUE: in REAL; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0; DIGITS: in NATURAL:= 0);
procedure WRITE (L: inout LINE; VALUE: in REAL; FORMAT: in STRING);
procedure WRITE (L: inout LINE; VALUE: in STRING; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0);
procedure WRITE (L: inout LINE; VALUE: in TIME; JUSTIFIED: in SIDE:= RIGHT; FIELD: in WIDTH := 0; UNIT: in TIME:= ns);
alias SWRITE is WRITE [LINE, STRING, SIDE, WIDTH];
alias STRING_WRITE is WRITE [LINE, STRING, SIDE, WIDTH];
alias BWRITE is WRITE [LINE, BIT_VECTOR, SIDE, WIDTH];
alias BINARY_WRITE is WRITE [LINE, BIT_VECTOR, SIDE, WIDTH];
procedure OWRITE (L: inout LINE; VALUE: in BIT_VECTOR; JUSTIFIED: in SIDE := RIGHT; FIELD: in WIDTH := 0);
alias OCTAL_WRITE is OWRITE [LINE, BIT_VECTOR, SIDE, WIDTH];
procedure HWRITE (L: inout LINE; VALUE: in BIT_VECTOR; JUSTIFIED: in SIDE := RIGHT; FIELD: in WIDTH := 0);
alias HEX_WRITE is HWRITE [LINE, BIT_VECTOR, SIDE, WIDTH];
end package TEXTIO;
|
gpl-3.0
|
nickg/nvc
|
test/parse/range1.vhd
|
1
|
710
|
entity range1 is
end entity;
architecture test of range1 is
begin
p1: process is
variable x : character;
begin
for i in 1 to 3 loop -- OK
end loop;
for i in character'range loop -- OK
end loop;
for i in character loop -- OK
end loop;
for i in x'range loop -- Error
end loop;
for i in x loop -- Error
end loop;
for i in 4 loop -- Error
end loop;
for i in integer range 1 to 3 loop -- OK
end loop;
for i in x range 'a' to 'b' loop -- Error
end loop;
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/regress/real2.vhd
|
5
|
488
|
entity real2 is
end entity;
architecture test of real2 is
type real_vec is array (integer range <>) of real;
type real_rec is record
x, y : real;
end record;
begin
process is
variable a, b : real_vec(1 to 3);
variable r : real_rec;
begin
a := (1.0, 1.2, 3.4);
b := (0.9, 0.2, 4.1);
assert b < a;
r.x := 2.0;
r.y := 3.0;
assert r = (2.0, 3.0);
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/bounds/issue36.vhd
|
5
|
441
|
entity bounds18 is
generic (
W : integer range 1 to integer'high := 8
);
function func2(x : integer; w : natural) return integer is
begin
return x + w;
end func2;
pure function fA (
iA : integer range 0 to 2**W-1
) return integer is
begin
return func2(iA, W);
end function fA;
begin
assert (fA(0) = 0) report "should not assert" severity failure;
end entity bounds18;
|
gpl-3.0
|
nickg/nvc
|
test/regress/issue146.vhd
|
5
|
986
|
package A_NG is
type A_NG_TYPE is record
debug : integer;
end record;
procedure PROC_B(B_ARG:inout A_NG_TYPE; B_VAL:out integer);
end A_NG;
package body A_NG is
procedure PROC_A(A_ARG:inout A_NG_TYPE) is
begin
A_ARG.debug := A_ARG.debug + 1;
end procedure;
procedure PROC_B(B_ARG:inout A_NG_TYPE; B_VAL:out integer) is
procedure PROC_C(C_VAL:out integer) is
begin
PROC_A(B_ARG);
C_VAL := B_ARG.debug;
end procedure;
begin
PROC_C(B_VAL);
end procedure;
end A_NG;
-------------------------------------------------------------------------------
entity issue146 is
end entity;
use work.a_ng.all;
architecture test of issue146 is
begin
process is
variable a_arg : a_ng_type := ( debug => 4 );
variable c_val : integer;
begin
proc_b(a_arg, c_val);
assert c_val = 5;
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/regress/const1.vhd
|
5
|
474
|
entity const1 is
end entity;
architecture test of const1 is
type int_vector is array (integer range <>) of integer;
constant c : int_vector(1 to 5) := (1, 2, 3, 4, 5);
begin
process is
variable v : int_vector(1 to 2);
variable i : integer;
begin
i := c(3);
assert i = 3;
v := c(1 to 2);
assert v = (1, 2);
v := c(3 to 4);
assert v = (3, 4);
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
lib/vital/timing_p.vhdl
|
7
|
65467
|
-------------------------------------------------------------------------------
-- Title : Standard VITAL TIMING Package
-- : $Revision$
-- :
-- Library : This package shall be compiled into a library
-- : symbolically named IEEE.
-- :
-- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4
-- :
-- Purpose : This packages defines standard types, attributes, constants,
-- : functions and procedures for use in developing ASIC models.
-- :
-- Known Errors :
-- :
-- Note : No declarations or definitions shall be included in,
-- : or excluded from this package. The "package declaration"
-- : defines the objects (types, subtypes, constants, functions,
-- : procedures ... etc.) that can be used by a user. The package
-- : body shall be considered the formal definition of the
-- : semantics of this package. Tool developers may choose to
-- : implement the package body in the most efficient manner
-- : available to them.
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Acknowledgments:
-- This code was originally developed under the "VHDL Initiative Toward ASIC
-- Libraries" (VITAL), an industry sponsored initiative. Technical
-- Director: William Billowitch, VHDL Technology Group; U.S. Coordinator:
-- Steve Schultz; Steering Committee Members: Victor Berman, Cadence Design
-- Systems; Oz Levia, Synopsys Inc.; Ray Ryan, Ryan & Ryan; Herman van Beek,
-- Texas Instruments; Victor Martin, Hewlett-Packard Company.
-- ----------------------------------------------------------------------------
--
-- ----------------------------------------------------------------------------
-- Modification History :
-- ----------------------------------------------------------------------------
-- Version No:|Auth:| Mod.Date:| Changes Made:
-- v95.0 A | | 06/02/95 | Initial ballot draft 1995
-- v95.1 | | 08/31/95 | #203 - Timing violations at time 0
-- #204 - Output mapping prior to glitch detection
-- v98.0 |TAG | 03/27/98 | Initial ballot draft 1998
-- | #IR225 - Negative Premptive Glitch
-- **Pkg_effected=VitalPathDelay,
-- VitalPathDelay01,VitalPathDelay01z.
-- #IR105 - Skew timing check needed
-- **Pkg_effected=NONE, New code added!!
-- #IR248 - Allows VPD to use a default timing
-- delay
-- **Pkg_effected=VitalPathDelay,
-- VitalPathDelay01,VitalPathDelay01z,
-- #IR250 - Corrects fastpath condition in VPD
-- **Pkg_effected=VitalPathDelay01,
-- VitalPathDelay01z,
-- #IR252 - Corrects cancelled timing check call if
-- condition expires.
-- **Pkg_effected=VitalSetupHoldCheck,
-- VitalRecoveryRemovalCheck.
-- #IR105 - Skew timing check
-- **Pkg_effected=NONE, New code added
-- v98.1 | jdc | 03/25/99 | Changed UseDefaultDelay to IgnoreDefaultDelay
-- and set default to FALSE in VitalPathDelay()
-- v00.7 | dbb | 07/18/00 | Removed "maximum" from VitalPeriodPulse()
-- comments
LIBRARY IEEE;
USE IEEE.Std_Logic_1164.ALL;
PACKAGE VITAL_Timing IS
TYPE VitalTransitionType IS ( tr01, tr10, tr0z, trz1, tr1z, trz0,
tr0X, trx1, tr1x, trx0, trxz, trzx);
SUBTYPE VitalDelayType IS TIME;
TYPE VitalDelayType01 IS ARRAY (VitalTransitionType RANGE tr01 to tr10)
OF TIME;
TYPE VitalDelayType01Z IS ARRAY (VitalTransitionType RANGE tr01 to trz0)
OF TIME;
TYPE VitalDelayType01ZX IS ARRAY (VitalTransitionType RANGE tr01 to trzx)
OF TIME;
TYPE VitalDelayArrayType IS ARRAY (NATURAL RANGE <>) OF VitalDelayType;
TYPE VitalDelayArrayType01 IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01;
TYPE VitalDelayArrayType01Z IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01Z;
TYPE VitalDelayArrayType01ZX IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01ZX;
-- ----------------------------------------------------------------------
-- **********************************************************************
-- ----------------------------------------------------------------------
CONSTANT VitalZeroDelay : VitalDelayType := 0 ns;
CONSTANT VitalZeroDelay01 : VitalDelayType01 := ( 0 ns, 0 ns );
CONSTANT VitalZeroDelay01Z : VitalDelayType01Z := ( OTHERS => 0 ns );
CONSTANT VitalZeroDelay01ZX : VitalDelayType01ZX := ( OTHERS => 0 ns );
---------------------------------------------------------------------------
-- examples of usage:
---------------------------------------------------------------------------
-- tpd_CLK_Q : VitalDelayType := 5 ns;
-- tpd_CLK_Q : VitalDelayType01 := (tr01 => 2 ns, tr10 => 3 ns);
-- tpd_CLK_Q : VitalDelayType01Z := ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns );
-- tpd_CLK_Q : VitalDelayArrayType(0 to 1)
-- := (0 => 5 ns, 1 => 6 ns);
-- tpd_CLK_Q : VitalDelayArrayType01(0 to 1)
-- := (0 => (tr01 => 2 ns, tr10 => 3 ns),
-- 1 => (tr01 => 2 ns, tr10 => 3 ns));
-- tpd_CLK_Q : VitalDelayArrayType01Z(0 to 1)
-- := (0 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ),
-- 1 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ));
---------------------------------------------------------------------------
-- TRUE if the model is LEVEL0 | LEVEL1 compliant
ATTRIBUTE VITAL_Level0 : BOOLEAN;
ATTRIBUTE VITAL_Level1 : BOOLEAN;
SUBTYPE std_logic_vector2 IS std_logic_vector(1 DOWNTO 0);
SUBTYPE std_logic_vector3 IS std_logic_vector(2 DOWNTO 0);
SUBTYPE std_logic_vector4 IS std_logic_vector(3 DOWNTO 0);
SUBTYPE std_logic_vector8 IS std_logic_vector(7 DOWNTO 0);
-- Types for strength mapping of outputs
TYPE VitalOutputMapType IS ARRAY ( std_ulogic ) OF std_ulogic;
TYPE VitalResultMapType IS ARRAY ( UX01 ) OF std_ulogic;
TYPE VitalResultZMapType IS ARRAY ( UX01Z ) OF std_ulogic;
CONSTANT VitalDefaultOutputMap : VitalOutputMapType
:= "UX01ZWLH-";
CONSTANT VitalDefaultResultMap : VitalResultMapType
:= ( 'U', 'X', '0', '1' );
CONSTANT VitalDefaultResultZMap : VitalResultZMapType
:= ( 'U', 'X', '0', '1', 'Z' );
-- Types for fields of VitalTimingDataType
TYPE VitalTimeArrayT IS ARRAY (INTEGER RANGE <>) OF TIME;
TYPE VitalTimeArrayPT IS ACCESS VitalTimeArrayT;
TYPE VitalBoolArrayT IS ARRAY (INTEGER RANGE <>) OF BOOLEAN;
TYPE VitalBoolArrayPT IS ACCESS VitalBoolArrayT;
TYPE VitalLogicArrayPT IS ACCESS std_logic_vector;
TYPE VitalTimingDataType IS RECORD
NotFirstFlag : BOOLEAN;
RefLast : X01;
RefTime : TIME;
HoldEn : BOOLEAN;
TestLast : std_ulogic;
TestTime : TIME;
SetupEn : BOOLEAN;
TestLastA : VitalLogicArrayPT;
TestTimeA : VitalTimeArrayPT;
HoldEnA : VitalBoolArrayPT;
SetupEnA : VitalBoolArrayPT;
END RECORD;
FUNCTION VitalTimingDataInit RETURN VitalTimingDataType;
-- type for internal data of VitalPeriodPulseCheck
TYPE VitalPeriodDataType IS RECORD
Last : X01;
Rise : TIME;
Fall : TIME;
NotFirstFlag : BOOLEAN;
END RECORD;
CONSTANT VitalPeriodDataInit : VitalPeriodDataType
:= ('X', 0 ns, 0 ns, FALSE );
-- Type for specifying the kind of Glitch handling to use
TYPE VitalGlitchKindType IS (OnEvent,
OnDetect,
VitalInertial,
VitalTransport);
TYPE VitalGlitchDataType IS
RECORD
SchedTime : TIME;
GlitchTime : TIME;
SchedValue : std_ulogic;
LastValue : std_ulogic;
END RECORD;
TYPE VitalGlitchDataArrayType IS ARRAY (NATURAL RANGE <>)
OF VitalGlitchDataType;
-- PathTypes: for handling simple PathDelay info
TYPE VitalPathType IS RECORD
InputChangeTime : TIME; -- timestamp for path input signal
PathDelay : VitalDelayType; -- delay for this path
PathCondition : BOOLEAN; -- path sensitize condition
END RECORD;
TYPE VitalPath01Type IS RECORD
InputChangeTime : TIME; -- timestamp for path input signal
PathDelay : VitalDelayType01; -- delay for this path
PathCondition : BOOLEAN; -- path sensitize condition
END RECORD;
TYPE VitalPath01ZType IS RECORD
InputChangeTime : TIME; -- timestamp for path input signal
PathDelay : VitalDelayType01Z;-- delay for this path
PathCondition : BOOLEAN; -- path sensitize condition
END RECORD;
-- For representing multiple paths to an output
TYPE VitalPathArrayType IS ARRAY (NATURAL RANGE <> ) OF VitalPathType;
TYPE VitalPathArray01Type IS ARRAY (NATURAL RANGE <> ) OF VitalPath01Type;
TYPE VitalPathArray01ZType IS ARRAY (NATURAL RANGE <> ) OF VitalPath01ZType;
TYPE VitalTableSymbolType IS (
'/', -- 0 -> 1
'\', -- 1 -> 0
'P', -- Union of '/' and '^' (any edge to 1)
'N', -- Union of '\' and 'v' (any edge to 0)
'r', -- 0 -> X
'f', -- 1 -> X
'p', -- Union of '/' and 'r' (any edge from 0)
'n', -- Union of '\' and 'f' (any edge from 1)
'R', -- Union of '^' and 'p' (any possible rising edge)
'F', -- Union of 'v' and 'n' (any possible falling edge)
'^', -- X -> 1
'v', -- X -> 0
'E', -- Union of 'v' and '^' (any edge from X)
'A', -- Union of 'r' and '^' (rising edge to or from 'X')
'D', -- Union of 'f' and 'v' (falling edge to or from 'X')
'*', -- Union of 'R' and 'F' (any edge)
'X', -- Unknown level
'0', -- low level
'1', -- high level
'-', -- don't care
'B', -- 0 or 1
'Z', -- High Impedance
'S' -- steady value
);
SUBTYPE VitalEdgeSymbolType IS VitalTableSymbolType RANGE '/' TO '*';
-- Addition of Vital Skew Type Information
-- March 14, 1998
---------------------------------------------------------------------------
-- Procedures and Type Definitions for Defining Skews
---------------------------------------------------------------------------
TYPE VitalSkewExpectedType IS (none, s1r, s1f, s2r, s2f);
TYPE VitalSkewDataType IS RECORD
ExpectedType : VitalSkewExpectedType;
Signal1Old1 : TIME;
Signal2Old1 : TIME;
Signal1Old2 : TIME;
Signal2Old2 : TIME;
END RECORD;
CONSTANT VitalSkewDataInit : VitalSkewDataType := ( none, 0 ns, 0 ns, 0 ns, 0 ns );
-- ------------------------------------------------------------------------
--
-- Function Name: VitalExtendToFillDelay
--
-- Description: A six element array of delay values of type
-- VitalDelayType01Z is returned when a 1, 2 or 6
-- element array is given. This function will convert
-- VitalDelayType and VitalDelayType01 delay values into
-- a VitalDelayType01Z type following these rules:
--
-- When a VitalDelayType is passed, all six transition
-- values are assigned the input value. When a
-- VitalDelayType01 is passed, the 01 transitions are
-- assigned to the 01, 0Z and Z1 transitions and the 10
-- transitions are assigned to 10, 1Z and Z0 transition
-- values. When a VitalDelayType01Z is passed, the values
-- are kept as is.
--
-- The function is overloaded based on input type.
--
-- There is no function to fill a 12 value delay
-- type.
--
-- Arguments:
--
-- IN Type Description
-- Delay A one, two or six delay value Vital-
-- DelayType is passed and a six delay,
-- VitalDelayType01Z, item is returned.
--
-- INOUT
-- none
--
-- OUT
-- none
--
-- Returns
-- VitalDelayType01Z
--
-- -------------------------------------------------------------------------
FUNCTION VitalExtendToFillDelay (
CONSTANT Delay : IN VitalDelayType
) RETURN VitalDelayType01Z;
FUNCTION VitalExtendToFillDelay (
CONSTANT Delay : IN VitalDelayType01
) RETURN VitalDelayType01Z;
FUNCTION VitalExtendToFillDelay (
CONSTANT Delay : IN VitalDelayType01Z
) RETURN VitalDelayType01Z;
-- ------------------------------------------------------------------------
--
-- Function Name: VitalCalcDelay
--
-- Description: This function accepts a 1, 2 or 6 value delay and
-- chooses the correct delay time to delay the NewVal
-- signal. This function is overloaded based on the
-- delay type passed. The function returns a single value
-- of time.
--
-- This function is provided for Level 0 models in order
-- to calculate the delay which should be applied
-- for the passed signal. The delay selection is performed
-- using the OldVal and the NewVal to determine the
-- transition to select. The default value of OldVal is X.
--
-- This function cannot be used in a Level 1 model since
-- the VitalPathDelay routines perform the delay path
-- selection and output driving function.
--
-- Arguments:
--
-- IN Type Description
-- NewVal New value of the signal to be
-- assigned
-- OldVal Previous value of the signal.
-- Default value is 'X'
-- Delay The delay structure from which to
-- select the appropriate delay. The
-- function overload is based on the
-- type of delay passed. In the case of
-- the single delay, VitalDelayType, no
-- selection is performed, since there
-- is only one value to choose from.
-- For the other cases, the transition
-- from the old value to the new value
-- decide the value returned.
--
-- INOUT
-- none
--
-- OUT
-- none
--
-- Returns
-- Time The time value selected from the
-- Delay INPUT is returned.
--
-- -------------------------------------------------------------------------
FUNCTION VitalCalcDelay (
CONSTANT NewVal : IN std_ulogic := 'X';
CONSTANT OldVal : IN std_ulogic := 'X';
CONSTANT Delay : IN VitalDelayType
) RETURN TIME;
FUNCTION VitalCalcDelay (
CONSTANT NewVal : IN std_ulogic := 'X';
CONSTANT OldVal : IN std_ulogic := 'X';
CONSTANT Delay : IN VitalDelayType01
) RETURN TIME;
FUNCTION VitalCalcDelay (
CONSTANT NewVal : IN std_ulogic := 'X';
CONSTANT OldVal : IN std_ulogic := 'X';
CONSTANT Delay : IN VitalDelayType01Z
) RETURN TIME;
-- ------------------------------------------------------------------------
--
-- Function Name: VitalPathDelay
--
-- Description: VitalPathDelay is the Level 1 routine used to select
-- the propagation delay path and schedule a new output
-- value.
--
-- For single and dual delay values, VitalDelayType and
-- VitalDelayType01 are used. The output value is
-- scheduled with a calculated delay without strength
-- modification.
--
-- For the six delay value, VitalDelayType01Z, the output
-- value is scheduled with a calculated delay. The drive
-- strength can be modified to handle weak signal strengths
-- to model tri-state devices, pull-ups and pull-downs as
-- an example.
--
-- The correspondence between the delay type and the
-- path delay function is as follows:
--
-- Delay Type Path Type
--
-- VitalDelayType VitalPathDelay
-- VitalDelayType01 VitalPathDelay01
-- VitalDelayType01Z VitalPathDelay01Z
--
-- For each of these routines, the following capabilities
-- is provided:
--
-- o Transition dependent path delay selection
-- o User controlled glitch detection with the ability
-- to generate "X" on output and report the violation
-- o Control of the severity level for message generation
-- o Scheduling of the computed values on the specified
-- signal.
--
-- Selection of the appropriate path delay begins with the
-- candidate paths. The candidate paths are selected by
-- identifying the paths for which the PathCondition is
-- true. If there is a single candidate path, then that
-- delay is selected. If there is more than one candidate
-- path, then the shortest delay is selected using
-- transition dependent delay selection. If there is no
-- candidate paths, then the delay specified by the
-- DefaultDelay parameter to the path delay is used.
--
-- Once the delay is known, the output signal is then
-- scheduled with that delay. In the case of
-- VitalPathDelay01Z, an additional result mapping of
-- the output value is performed before scheduling. The
-- result mapping is performed after transition dependent
-- delay selection but before scheduling the final output.
--
-- In order to perform glitch detection, the user is
-- obligated to provide a variable of VitalGlitchDataType
-- for the propagation delay functions to use. The user
-- cannot modify or use this information.
--
-- Arguments:
--
-- IN Type Description
-- OutSignalName string The name of the output signal
-- OutTemp std_logic The new output value to be driven
-- Paths VitalPathArrayType A list of paths of VitalPathArray
-- VitalPathArrayType01 type. The VitalPathDelay routine
-- VitalPathArrayType01Z is overloaded based on the type
-- of constant passed in. With
-- VitalPathArrayType01Z, the
-- resulting output strengths can be
-- mapped.
-- DefaultDelay VitalDelayType The default delay can be changed
-- VitalDelayType01 from zero-delay to another set
-- VitalDelayType01Z of values.
--
-- IgnoreDefaultDelay BOOLEAN If TRUE, the default delay will
-- be used when no paths are
-- selected. If false, no event
-- will be scheduled if no paths are
-- selected.
--
-- Mode VitalGlitchKindType The value of this constant
-- selects the type of glitch
-- detection.
-- OnEvent Glitch on transition event
-- | OnDetect Glitch immediate on detection
-- | VitalInertial No glitch, use INERTIAL
-- assignment
-- | VitalTransport No glitch, use TRANSPORT
-- assignment
-- XOn BOOLEAN Control for generation of 'X' on
-- glitch. When TRUE, 'X's are
-- scheduled for glitches, otherwise
-- no are generated.
-- MsgOn BOOLEAN Control for message generation on
-- glitch detect. When TRUE,
-- glitches are reported, otherwise
-- they are not reported.
-- MsgSeverity SEVERITY_LEVEL The level at which the message,
-- or assertion, will be reported.
-- IgnoreDefaultDelay BOOLEAN Tells the VPD whether to use the
-- default delay value in the absense
-- of a valid delay for input conditions 3/14/98 MG
--
-- OutputMap VitalOutputMapType For VitalPathDelay01Z, the output
-- can be mapped to alternate
-- strengths to model tri-state
-- devices, pull-ups and pull-downs.
--
-- INOUT
-- GlitchData VitalGlitchDataType The internal data storage
-- variable required to detect
-- glitches.
--
-- OUT
-- OutSignal std_logic The output signal to be driven
--
-- Returns
-- none
--
-- -------------------------------------------------------------------------
PROCEDURE VitalPathDelay (
SIGNAL OutSignal : OUT std_logic;
VARIABLE GlitchData : INOUT VitalGlitchDataType;
CONSTANT OutSignalName : IN string;
CONSTANT OutTemp : IN std_logic;
CONSTANT Paths : IN VitalPathArrayType;
CONSTANT DefaultDelay : IN VitalDelayType := VitalZeroDelay;
CONSTANT Mode : IN VitalGlitchKindType := OnEvent;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT NegPreemptOn : IN BOOLEAN := FALSE; --IR225 3/14/98
CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE --IR248 3/14/98
);
PROCEDURE VitalPathDelay01 (
SIGNAL OutSignal : OUT std_logic;
VARIABLE GlitchData : INOUT VitalGlitchDataType;
CONSTANT OutSignalName : IN string;
CONSTANT OutTemp : IN std_logic;
CONSTANT Paths : IN VitalPathArray01Type;
CONSTANT DefaultDelay : IN VitalDelayType01 := VitalZeroDelay01;
CONSTANT Mode : IN VitalGlitchKindType := OnEvent;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT NegPreemptOn : IN BOOLEAN := FALSE; --IR225 3/14/98
CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE; --IR248 3/14/98
CONSTANT RejectFastPath : IN BOOLEAN := FALSE --IR250
);
PROCEDURE VitalPathDelay01Z (
SIGNAL OutSignal : OUT std_logic;
VARIABLE GlitchData : INOUT VitalGlitchDataType;
CONSTANT OutSignalName : IN string;
CONSTANT OutTemp : IN std_logic;
CONSTANT Paths : IN VitalPathArray01ZType;
CONSTANT DefaultDelay : IN VitalDelayType01Z := VitalZeroDelay01Z;
CONSTANT Mode : IN VitalGlitchKindType := OnEvent;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap;
CONSTANT NegPreemptOn : IN BOOLEAN := FALSE; --IR225 3/14/98
CONSTANT IgnoreDefaultDelay : IN BOOLEAN := FALSE; --IR248 3/14/98
CONSTANT RejectFastPath : IN BOOLEAN := FALSE --IR250
);
-- ------------------------------------------------------------------------
--
-- Function Name: VitalWireDelay
--
-- Description: VitalWireDelay is used to delay an input signal.
-- The delay is selected from the input parameter passed.
-- The function is useful for back annotation of actual
-- net delays.
--
-- The function is overloaded to permit passing a delay
-- value for twire for VitalDelayType, VitalDelayType01
-- and VitalDelayType01Z. twire is a generic which can
-- be back annotated and must be constructed to follow
-- the SDF to generic mapping rules.
--
-- Arguments:
--
-- IN Type Description
-- InSig std_ulogic The input signal (port) to be
-- delayed.
-- twire VitalDelayType The delay value for which the input
-- VitalDelayType01 signal should be delayed. For Vital-
-- VitalDelayType01Z DelayType, the value is single value
-- passed. For VitalDelayType01 and
-- VitalDelayType01Z, the appropriate
-- delay value is selected by VitalCalc-
-- Delay.
--
-- INOUT
-- none
--
-- OUT
-- OutSig std_ulogic The internal delayed signal
--
-- Returns
-- none
--
-- -------------------------------------------------------------------------
PROCEDURE VitalWireDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT twire : IN VitalDelayType
);
PROCEDURE VitalWireDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT twire : IN VitalDelayType01
);
PROCEDURE VitalWireDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT twire : IN VitalDelayType01Z
);
-- ------------------------------------------------------------------------
--
-- Function Name: VitalSignalDelay
--
-- Description: The VitalSignalDelay procedure is called in a signal
-- delay block in the architecture to delay the
-- appropriate test or reference signal in order to
-- accommodate negative constraint checks.
--
-- The amount of delay is of type TIME and is a constant.
--
-- Arguments:
--
-- IN Type Description
-- InSig std_ulogic The signal to be delayed.
-- dly TIME The amount of time the signal is
-- delayed.
--
-- INOUT
-- none
--
-- OUT
-- OutSig std_ulogic The delayed signal
--
-- Returns
-- none
--
-- -------------------------------------------------------------------------
PROCEDURE VitalSignalDelay (
SIGNAL OutSig : OUT std_ulogic;
SIGNAL InSig : IN std_ulogic;
CONSTANT dly : IN TIME
);
-- ------------------------------------------------------------------------
--
-- Function Name: VitalSetupHoldCheck
--
-- Description: The VitalSetupHoldCheck procedure detects a setup or a
-- hold violation on the input test signal with respect
-- to the corresponding input reference signal. The timing
-- constraints are specified through parameters
-- representing the high and low values for the setup and
-- hold values for the setup and hold times. This
-- procedure assumes non-negative values for setup and hold
-- timing constraints.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative setup times result in
-- a delayed reference signal. Negative hold times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- This function is overloaded based on the input
-- TestSignal. A vector and scalar form are provided.
--
-- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| error region |<--
-- :
-- _______________________________
-- RefSignal \______________________________
-- : | | |
-- : | -->| |<-- thold
-- : -->| tsetup |<--
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_ulogic Value of test signal
-- std_logic_vector
-- TestSignalName STRING Name of test signal
-- TestDelay TIME Model's internal delay associated
-- with TestSignal
-- RefSignal std_ulogic Value of reference signal
-- RefSignalName STRING Name of reference signal
-- RefDelay TIME Model's internal delay associated
-- with RefSignal
-- SetupHigh TIME Absolute minimum time duration before
-- the transition of RefSignal for which
-- transitions of TestSignal are allowed
-- to proceed to the "1" state without
-- causing a setup violation.
-- SetupLow TIME Absolute minimum time duration before
-- the transition of RefSignal for which
-- transitions of TestSignal are allowed
-- to proceed to the "0" state without
-- causing a setup violation.
-- HoldHigh TIME Absolute minimum time duration after
-- the transition of RefSignal for which
-- transitions of TestSignal are allowed
-- to proceed to the "1" state without
-- causing a hold violation.
-- HoldLow TIME Absolute minimum time duration after
-- the transition of RefSignal for which
-- transitions of TestSignal are allowed
-- to proceed to the "0" state without
-- causing a hold violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specified. Events on
-- the RefSignal which match the edge
-- spec. are used as reference edges.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0".
-- MsgOn BOOLEAN If TRUE, set and hold violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
-- EnableSetupOnTest BOOLEAN If FALSE at the time that the
-- TestSignal signal changes,
-- no setup check will be performed.
-- EnableSetupOnRef BOOLEAN If FALSE at the time that the
-- RefSignal signal changes,
-- no setup check will be performed.
-- EnableHoldOnRef BOOLEAN If FALSE at the time that the
-- RefSignal signal changes,
-- no hold check will be performed.
-- EnableHoldOnTest BOOLEAN If FALSE at the time that the
-- TestSignal signal changes,
-- no hold check will be performed.
--
-- INOUT
-- TimingData VitalTimingDataType
-- VitalSetupHoldCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the time of the last edge.
--
-- OUT
-- Violation X01 This is the violation flag returned.
--
-- Returns
-- none
--
-- -------------------------------------------------------------------------
PROCEDURE VitalSetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN TIME := 0 ns;
CONSTANT SetupLow : IN TIME := 0 ns;
CONSTANT HoldHigh : IN TIME := 0 ns;
CONSTANT HoldLow : IN TIME := 0 ns;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE --IR252 3/23/98
);
PROCEDURE VitalSetupHoldCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalTimingDataType;
SIGNAL TestSignal : IN std_logic_vector;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT SetupHigh : IN TIME := 0 ns;
CONSTANT SetupLow : IN TIME := 0 ns;
CONSTANT HoldHigh : IN TIME := 0 ns;
CONSTANT HoldLow : IN TIME := 0 ns;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableSetupOnTest : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableSetupOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableHoldOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableHoldOnTest : IN BOOLEAN := TRUE --IR252 3/23/98
);
-- ------------------------------------------------------------------------
--
-- Function Name: VitalRecoveryRemovalCheck
--
-- Description: The VitalRecoveryRemovalCheck detects the presence of
-- a recovery or removal violation on the input test
-- signal with respect to the corresponding input reference
-- signal. It assumes non-negative values of setup and
-- hold timing constraints. The timing constraint is
-- specified through parameters representing the recovery
-- and removal times associated with a reference edge of
-- the reference signal. A flag indicates whether a test
-- signal is asserted when it is high or when it is low.
--
-- It is assumed that negative timing constraints
-- are handled by internally delaying the test or
-- reference signals. Negative recovery times result in
-- a delayed reference signal. Negative removal times
-- result in a delayed test signal. Furthermore, the
-- delays and constraints associated with these and
-- other signals may need to be appropriately
-- adjusted so that all constraint intervals overlap
-- the delayed reference signals and all constraint
-- values (with respect to the delayed signals) are
-- non-negative.
--
-- Arguments:
--
-- IN Type Description
-- TestSignal std_ulogic Value of TestSignal. The routine is
-- TestSignalName STRING Name of TestSignal
-- TestDelay TIME Model internal delay associated with
-- the TestSignal
-- RefSignal std_ulogic Value of RefSignal
-- RefSignalName STRING Name of RefSignal
-- RefDelay TIME Model internal delay associated with
-- the RefSignal
-- Recovery TIME A change to an unasserted value on
-- the asynchronous TestSignal must
-- precede reference edge (on RefSignal)
-- by at least this time.
-- Removal TIME An asserted condition must be present
-- on the asynchronous TestSignal for at
-- least the removal time following a
-- reference edge on RefSignal.
-- ActiveLow BOOLEAN A flag which indicates if TestSignal
-- is asserted when it is low - "0."
-- FALSE indicate that TestSignal is
-- asserted when it has a value "1."
-- CheckEnabled BOOLEAN The check in enabled when the value
-- is TRUE, otherwise the constraints
-- are not checked.
-- RefTransition VitalEdgeSymbolType
-- Reference edge specifier. Events on
-- RefSignal will match the edge
-- specified.
-- HeaderMsg STRING A header message that will accompany
-- any assertion message.
-- XOn BOOLEAN When TRUE, the output Violation is
-- set to "X." When FALSE, it is always
-- "0."
-- MsgOn BOOLEAN When TRUE, violation messages are
-- output. When FALSE, no messages are
-- generated.
-- MsgSeverity SEVERITY_LEVEL Severity level of the asserted
-- message.
-- EnableRecOnTest BOOLEAN If FALSE at the time that the
-- TestSignal signal changes,
-- no recovery check will be performed.
-- EnableRecOnRef BOOLEAN If FALSE at the time that the
-- RefSignal signal changes,
-- no recovery check will be performed.
-- EnableRemOnRef BOOLEAN If FALSE at the time that the
-- RefSignal signal changes,
-- no removal check will be performed.
-- EnableRemOnTest BOOLEAN If FALSE at the time that the
-- TestSignal signal changes,
-- no removal check will be performed.
--
-- INOUT
-- TimingData VitalTimingDataType
-- VitalRecoveryRemovalCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the time of the last edge.
-- OUT
-- Violation X01 This is the violation flag returned.
--
-- Returns
-- none
--
-- -------------------------------------------------------------------------
PROCEDURE VitalRecoveryRemovalCheck (
VARIABLE Violation : OUT X01;
VARIABLE TimingData : INOUT VitalTimingDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName: IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
SIGNAL RefSignal : IN std_ulogic;
CONSTANT RefSignalName : IN STRING := "";
CONSTANT RefDelay : IN TIME := 0 ns;
CONSTANT Recovery : IN TIME := 0 ns;
CONSTANT Removal : IN TIME := 0 ns;
CONSTANT ActiveLow : IN BOOLEAN := TRUE;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT RefTransition : IN VitalEdgeSymbolType;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT EnableRecOnTest : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableRecOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableRemOnRef : IN BOOLEAN := TRUE; --IR252 3/23/98
CONSTANT EnableRemOnTest : IN BOOLEAN := TRUE --IR252 3/23/98
);
-- ------------------------------------------------------------------------
--
-- Function Name: VitalPeriodPulseCheck
--
-- Description: VitalPeriodPulseCheck checks for minimum
-- periodicity and pulse width for "1" and "0" values of
-- the input test signal. The timing constraint is
-- specified through parameters representing the minimal
-- period between successive rising and falling edges of
-- the input test signal and the minimum pulse widths
-- associated with high and low values.
--
-- VitalPeriodCheck's accepts rising and falling edges
-- from 1 and 0 as well as transitions to and from 'X.'
--
-- _______________ __________
-- ____________| |_______|
--
-- |<--- pw_hi --->|
-- |<-------- period ----->|
-- -->| pw_lo |<--
--
-- Arguments:
-- IN Type Description
-- TestSignal std_ulogic Value of test signal
-- TestSignalName STRING Name of the test signal
-- TestDelay TIME Model's internal delay associated
-- with TestSignal
-- Period TIME Minimum period allowed between
-- consecutive rising ('P') or
-- falling ('F') transitions.
-- PulseWidthHigh TIME Minimum time allowed for a high
-- pulse ('1' or 'H')
-- PulseWidthLow TIME Minimum time allowed for a low
-- pulse ('0' or 'L')
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0".
-- XOnChecks is a global that allows for
-- only timing checks to be turned on.
-- MsgOn BOOLEAN If TRUE, period/pulse violation
-- message will be generated.
-- Otherwise, no messages are generated,
-- even though a violation is detected.
-- MsgOnChecks allows for only timing
-- check messages to be turned on.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
--
-- INOUT
-- PeriodData VitalPeriodDataType
-- VitalPeriodPulseCheck information
-- storage area. This is used
-- internally to detect reference edges
-- and record the pulse and period
-- times.
-- OUT
-- Violation X01 This is the violation flag returned.
--
-- Returns
-- none
--
-- ------------------------------------------------------------------------
PROCEDURE VitalPeriodPulseCheck (
VARIABLE Violation : OUT X01;
VARIABLE PeriodData : INOUT VitalPeriodDataType;
SIGNAL TestSignal : IN std_ulogic;
CONSTANT TestSignalName : IN STRING := "";
CONSTANT TestDelay : IN TIME := 0 ns;
CONSTANT Period : IN TIME := 0 ns;
CONSTANT PulseWidthHigh : IN TIME := 0 ns;
CONSTANT PulseWidthLow : IN TIME := 0 ns;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT HeaderMsg : IN STRING := " ";
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING
);
-- ------------------------------------------------------------------------
--
-- Function Name: VitalInPhaseSkewCheck
--
-- Description: The VitalInPhaseSkewCheck procedure detects an in-phase
-- skew violation between input signals Signal1 and Signal2.
-- This is a timer based skew check in which a
-- violation is detected if Signal1 and Signal2 are in
-- different logic states longer than the specified skew
-- interval.
--
-- The timing constraints are specified through parameters
-- representing the skew values for the different states
-- of Signal1 and Signal2.
--
--
-- Signal2 XXXXXXXXXXXX___________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| |<--
-- : Signal2 should go low in this region
-- :
--
-- ____________
-- Signal1 \_________________________________________________
-- : | |
-- : |<-------- tskew -------->|
--
-- Arguments:
--
-- IN Type Description
-- Signal1 std_ulogic Value of first signal
-- Signal1Name STRING Name of first signal
-- Signal1Delay TIME Model's internal delay associated
-- with Signal1
-- Signal2 std_ulogic Value of second signal
-- Signal2Name STRING Name of second signal
-- Signal2Delay TIME Model's internal delay associated
-- with Signal2
-- SkewS1S2RiseRise TIME Absolute maximum time duration for
-- which Signal2 can remain at "0"
-- after Signal1 goes to the "1" state,
-- without causing a skew violation.
-- SkewS2S1RiseRise TIME Absolute maximum time duration for
-- which Signal1 can remain at "0"
-- after Signal2 goes to the "1" state,
-- without causing a skew violation.
-- SkewS1S2FallFall TIME Absolute maximum time duration for
-- which Signal2 can remain at "1"
-- after Signal1 goes to the "0" state,
-- without causing a skew violation.
-- SkewS2S1FallFall TIME Absolute maximum time duration for
-- which Signal1 can remain at "1"
-- after Signal2 goes to the "0" state,
-- without causing a skew violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, skew timing violation
-- messages will be generated.
-- Otherwise, no messages are generated,
-- even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
--
-- INOUT
-- SkewData VitalSkewDataType
-- VitalInPhaseSkewCheck information
-- storage area. This is used
-- internally to detect signal edges
-- and record the time of the last edge.
--
--
-- Trigger std_ulogic This signal is used to trigger the
-- process in which the timing check
-- occurs upon expiry of the skew
-- interval.
--
-- OUT
-- Violation X01 This is the violation flag returned.
--
-- Returns
-- none
--
-- -------------------------------------------------------------------------
PROCEDURE VitalInPhaseSkewCheck (
VARIABLE Violation : OUT X01;
VARIABLE SkewData : INOUT VitalSkewDataType;
SIGNAL Signal1 : IN std_ulogic;
CONSTANT Signal1Name : IN STRING := "";
CONSTANT Signal1Delay : IN TIME := 0 ns;
SIGNAL Signal2 : IN std_ulogic;
CONSTANT Signal2Name : IN STRING := "";
CONSTANT Signal2Delay : IN TIME := 0 ns;
CONSTANT SkewS1S2RiseRise : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1RiseRise : IN TIME := TIME'HIGH;
CONSTANT SkewS1S2FallFall : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1FallFall : IN TIME := TIME'HIGH;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT HeaderMsg : IN STRING := "";
SIGNAL Trigger : INOUT std_ulogic
);
-- ------------------------------------------------------------------------
--
-- Function Name: VitalOutPhaseSkewCheck
--
-- Description: The VitalOutPhaseSkewCheck procedure detects an
-- out-of-phase skew violation between input signals Signal1
-- and Signal2. This is a timer based skew check in
-- which a violation is detected if Signal1 and Signal2 are
-- in the same logic state longer than the specified skew
-- interval.
--
-- The timing constraints are specified through parameters
-- representing the skew values for the different states
-- of Signal1 and Signal2.
--
--
-- Signal2 XXXXXXXXXXXX___________________________XXXXXXXXXXXXXXXXXXXXXX
-- :
-- : -->| |<--
-- : Signal2 should go high in this region
-- :
--
-- ____________
-- Signal1 \_________________________________________________
-- : | |
-- : |<-------- tskew -------->|
--
-- Arguments:
--
-- IN Type Description
-- Signal1 std_ulogic Value of first signal
-- Signal1Name STRING Name of first signal
-- Signal1Delay TIME Model's internal delay associated
-- with Signal1
-- Signal2 std_ulogic Value of second signal
-- Signal2Name STRING Name of second signal
-- Signal2Delay TIME Model's internal delay associated
-- with Signal2
-- SkewS1S2RiseFall TIME Absolute maximum time duration for
-- which Signal2 can remain at "1"
-- after Signal1 goes to the "1" state,
-- without causing a skew violation.
-- SkewS2S1RiseFall TIME Absolute maximum time duration for
-- which Signal1 can remain at "1"
-- after Signal2 goes to the "1" state,
-- without causing a skew violation.
-- SkewS1S2FallRise TIME Absolute maximum time duration for
-- which Signal2 can remain at "0"
-- after Signal1 goes to the "0" state,
-- without causing a skew violation.
-- SkewS2S1FallRise TIME Absolute maximum time duration for
-- which Signal1 can remain at "0"
-- after Signal2 goes to the "0" state,
-- without causing a skew violation.
-- CheckEnabled BOOLEAN Check performed if TRUE.
-- HeaderMsg STRING String that will accompany any
-- assertion messages produced.
-- XOn BOOLEAN If TRUE, Violation output parameter
-- is set to "X". Otherwise, Violation
-- is always set to "0."
-- MsgOn BOOLEAN If TRUE, skew timing violation
-- messages will be generated.
-- Otherwise, no messages are generated,
-- even upon violations.
-- MsgSeverity SEVERITY_LEVEL Severity level for the assertion.
--
-- INOUT
-- SkewData VitalSkewDataType
-- VitalInPhaseSkewCheck information
-- storage area. This is used
-- internally to detect signal edges
-- and record the time of the last edge.
--
-- Trigger std_ulogic This signal is used to trigger the
-- process in which the timing check
-- occurs upon expiry of the skew
-- interval.
--
-- OUT
-- Violation X01 This is the violation flag returned.
--
-- Returns
-- none
--
-- -------------------------------------------------------------------------
PROCEDURE VitalOutPhaseSkewCheck (
VARIABLE Violation : OUT X01;
VARIABLE SkewData : INOUT VitalSkewDataType;
SIGNAL Signal1 : IN std_ulogic;
CONSTANT Signal1Name : IN STRING := "";
CONSTANT Signal1Delay : IN TIME := 0 ns;
SIGNAL Signal2 : IN std_ulogic;
CONSTANT Signal2Name : IN STRING := "";
CONSTANT Signal2Delay : IN TIME := 0 ns;
CONSTANT SkewS1S2RiseFall : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1RiseFall : IN TIME := TIME'HIGH;
CONSTANT SkewS1S2FallRise : IN TIME := TIME'HIGH;
CONSTANT SkewS2S1FallRise : IN TIME := TIME'HIGH;
CONSTANT CheckEnabled : IN BOOLEAN := TRUE;
CONSTANT XOn : IN BOOLEAN := TRUE;
CONSTANT MsgOn : IN BOOLEAN := TRUE;
CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING;
CONSTANT HeaderMsg : IN STRING := "";
SIGNAL Trigger : INOUT std_ulogic
);
END VITAL_Timing;
|
gpl-3.0
|
nickg/nvc
|
test/regress/signal5.vhd
|
5
|
325
|
entity signal5 is
end entity;
architecture test of signal5 is
signal x, y : integer;
begin
update_y: y <= x + 4;
stim: process is
begin
x <= 1;
wait for 1 ns;
assert y = 5;
x <= 10;
wait on y;
assert y = 14;
wait;
end process;
end architecture;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado_HLS/image_contrast_adj/solution1/impl/vhdl/project.srcs/sources_1/ip/doHistStretch_ap_fmul_2_max_dsp_32/xbip_bram18k_v3_0_2/hdl/xbip_bram18k_v3_0.vhd
|
9
|
9340
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
obXXhxRlTaHjCpJV4uPTkRwgR3GpcvDfot0y8VTPyFxY1NMmmd9nxF2yYzxY4op4aE47wJsPh3ch
Ifk4Z8Oulg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hmzRe/Qr+6nIcxuhkGdjIkYxpmHpO2VQJwayWf2lxaeB8TRqxhanf79tJphTIT7qZJNlaejd5WBb
CQ1aMumla5wg4w9VFCJ3RfIX218tcMJOolbR14I3sidO+tsZwyzxKpPgnD/kd4T877IMOTrRvnIx
6PdsYAvnCf3xQFi7I2w=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
x0fTehxzghnOkOVVaF5ZPRso1LEjaAT4Ij9Za1bH3Oj/tMEqkw6sMVwuBHCx+9OVt2006A4ekCrO
o6bGNZkP9ZTi3rPDQxJqDp8sg5+LnJfN79zDXHa15RdmKwVkjgf3nwhk4ny+EFYVb0Y54aV8MR9O
zAXbiBIex84Cf/eo0y4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ic1yv1cEiezEPDPgDJrMxh9C2VwWquV93tLinJarxYj+AmqpzKzI5K1H2OQZe7dbFN/MWnbwXkU3
VZ4HTFO2LNY6CAQ7agHefFUAhNGwX0QRTr7VGuTBYmzhsAHdeMqszybd5GeRvJKr6TK24gNATQrN
nT2+HjrdVmQVjknT/su1Hfhm/cYUP3DwaHb/YUh3OhjGRMtE/ZGv2ChKMu2k7R9vmk5m/gNYJ2nE
08anLKgzUjVJXgO49+Y0G/wzgXuirkniHC7vyzJoNICrYz2RxJ622p1143uKw66xJyQQhrd2qIBT
Jl/KhVnIuyuaJXAkrqwFPiigy+IHyR/snmCbug==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LFux42eTG7g9Qxp237PDkKB2zzr08ZwHBtwQiK5Ci6HPYEcDQC5ARtEmy5K+FX4t3iGvCUCf7B7w
WkQZxeuQq5Pu6G1UdqUYoZkYnIGvv/FBS58O80A7wz1hDYmIuCFtceYj9Pc2fMtYY1GsiMPo8DHK
SwPJ/nBgoPhAul+T5S2sYyEyPKDBAHo2NS+ueZipFxaUmHpYSWv2JHPg5npmpprgScJtWI7t52dF
UBV3yLc4chOAUHmW60pHDB60diNc3yRD3AWRAYuPmEcz797OhGqtq/0Gf/sQq2aaRuUjcjmv7RjV
F0UQ0AGzw4qc2pK/6BN6qq92U2093f2LWTUUxA==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
mLsPIi/Ovuty+EZo5FSaXGTuskBHoX/S7M5BlV63QV6xgpHFSsvDZ9Xz7MoE307jvEG7GvmYbswJ
7MgGzFiYjlGDXcPhjku9wDs+Lmtnt1wDk3JEvFz7Qw/y4xrtBAKwKEzSCJWoN1fsuG/a1bHGMBW9
QIANQXT/XtWTLwK/eGYczVjN8LvuNEgutpT0ch7ABudM0jLaNAh74dH36yQSfhAmYUPLYgwDG1YG
+aO/K3xh2vVQGtq+ZMzL4D6TG82lwyl33sG5zqpY5BEVhRG0s6EN4POou3ixu/Cj3dzQaQQh4MGA
wnkI7caqlqGiTD7K0fMqU6D6LxVakb07jRj56Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4784)
`protect data_block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=
`protect end_protected
|
gpl-3.0
|
nickg/nvc
|
test/regress/operator5.vhd
|
5
|
819
|
package pack is
type int_vec2 is array (integer range <>) of integer;
type int_vec is array (integer range <>) of integer;
function "<"(a, b : int_vec) return boolean;
end package;
package body pack is
function "<"(a, b : int_vec) return boolean is
begin
return false;
end function;
end package body;
entity operator5 is
end entity;
use work.pack.all;
architecture test of operator5 is
function ">="(a, b : int_vec) return boolean is
begin
return false;
end function;
begin
process is
variable x, y : int_vec(1 to 3);
begin
x := (1, 2, 3);
y := (4, 5, 6);
assert not (y >= x);
assert (int_vec2(y) >= int_vec2(x));
assert not (y < x) and not (x < y);
wait;
end process;
end architecture;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_reset.vhd
|
3
|
39337
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_reset.vhd
-- Description: This entity encompasses the reset logic (soft and hard) for
-- distribution to the axi_vdma core.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library lib_cdc_v1_0_2;
library axi_dma_v7_1_9;
use axi_dma_v7_1_9.axi_dma_pkg.all;
-------------------------------------------------------------------------------
entity axi_dma_reset is
generic(
C_INCLUDE_SG : integer range 0 to 1 := 1;
-- Include or Exclude the Scatter Gather Engine
-- 0 = Exclude SG Engine - Enables Simple DMA Mode
-- 1 = Include SG Engine - Enables Scatter Gather Mode
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
C_AXI_PRMRY_ACLK_FREQ_HZ : integer := 100000000;
-- Primary clock frequency in hertz
C_AXI_SCNDRY_ACLK_FREQ_HZ : integer := 100000000
-- Secondary clock frequency in hertz
);
port (
-- Clock Sources
m_axi_sg_aclk : in std_logic ; --
axi_prmry_aclk : in std_logic ; --
--
-- Hard Reset --
axi_resetn : in std_logic ; --
--
-- Soft Reset --
soft_reset : in std_logic ; --
soft_reset_clr : out std_logic := '0' ; --
soft_reset_done : in std_logic ; --
--
--
all_idle : in std_logic ; --
stop : in std_logic ; --
halt : out std_logic := '0' ; --
halt_cmplt : in std_logic ; --
--
-- Secondary Reset --
scndry_resetn : out std_logic := '1' ; --
-- AXI Upsizer and Line Buffer --
prmry_resetn : out std_logic := '0' ; --
-- AXI DataMover Primary Reset (Raw) --
dm_prmry_resetn : out std_logic := '1' ; --
-- AXI DataMover Secondary Reset (Raw) --
dm_scndry_resetn : out std_logic := '1' ; --
-- AXI Primary Stream Reset Outputs --
prmry_reset_out_n : out std_logic := '1' ; --
-- AXI Alternat Stream Reset Outputs --
altrnt_reset_out_n : out std_logic := '1' --
);
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of scndry_resetn : signal is "TRUE";
Attribute KEEP of prmry_resetn : signal is "TRUE";
Attribute KEEP of dm_scndry_resetn : signal is "TRUE";
Attribute KEEP of dm_prmry_resetn : signal is "TRUE";
Attribute KEEP of prmry_reset_out_n : signal is "TRUE";
Attribute KEEP of altrnt_reset_out_n : signal is "TRUE";
Attribute EQUIVALENT_REGISTER_REMOVAL of scndry_resetn : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_resetn : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of dm_scndry_resetn : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of dm_prmry_resetn : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of prmry_reset_out_n : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of altrnt_reset_out_n: signal is "no";
end axi_dma_reset;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_reset is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
ATTRIBUTE async_reg : STRING;
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Soft Reset Support
signal s_soft_reset_i : std_logic := '0';
signal s_soft_reset_i_d1 : std_logic := '0';
signal s_soft_reset_i_re : std_logic := '0';
signal assert_sftrst_d1 : std_logic := '0';
signal min_assert_sftrst : std_logic := '0';
signal min_assert_sftrst_d1_cdc_tig : std_logic := '0';
--ATTRIBUTE async_reg OF min_assert_sftrst_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF min_assert_sftrst : SIGNAL IS "true";
signal p_min_assert_sftrst : std_logic := '0';
signal sft_rst_dly1 : std_logic := '0';
signal sft_rst_dly2 : std_logic := '0';
signal sft_rst_dly3 : std_logic := '0';
signal sft_rst_dly4 : std_logic := '0';
signal sft_rst_dly5 : std_logic := '0';
signal sft_rst_dly6 : std_logic := '0';
signal sft_rst_dly7 : std_logic := '0';
signal sft_rst_dly8 : std_logic := '0';
signal sft_rst_dly9 : std_logic := '0';
signal sft_rst_dly10 : std_logic := '0';
signal sft_rst_dly11 : std_logic := '0';
signal sft_rst_dly12 : std_logic := '0';
signal sft_rst_dly13 : std_logic := '0';
signal sft_rst_dly14 : std_logic := '0';
signal sft_rst_dly15 : std_logic := '0';
signal sft_rst_dly16 : std_logic := '0';
signal soft_reset_d1 : std_logic := '0';
signal soft_reset_re : std_logic := '0';
-- Soft Reset to Primary clock domain signals
signal p_soft_reset : std_logic := '0';
signal p_soft_reset_d1_cdc_tig : std_logic := '0';
signal p_soft_reset_d2 : std_logic := '0';
--ATTRIBUTE async_reg OF p_soft_reset_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF p_soft_reset_d2 : SIGNAL IS "true";
signal p_soft_reset_d3 : std_logic := '0';
signal p_soft_reset_re : std_logic := '0';
-- Qualified soft reset in primary clock domain for
-- generating mimimum reset pulse for soft reset
signal p_soft_reset_i : std_logic := '0';
signal p_soft_reset_i_d1 : std_logic := '0';
signal p_soft_reset_i_re : std_logic := '0';
-- Graceful halt control
signal halt_cmplt_d1_cdc_tig : std_logic := '0';
signal s_halt_cmplt : std_logic := '0';
--ATTRIBUTE async_reg OF halt_cmplt_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF s_halt_cmplt : SIGNAL IS "true";
signal p_halt_d1_cdc_tig : std_logic := '0';
signal p_halt : std_logic := '0';
--ATTRIBUTE async_reg OF p_halt_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF p_halt : SIGNAL IS "true";
signal s_halt : std_logic := '0';
-- composite reset (hard and soft)
signal resetn_i : std_logic := '1';
signal scndry_resetn_i : std_logic := '1';
signal axi_resetn_d1_cdc_tig : std_logic := '1';
signal axi_resetn_d2 : std_logic := '1';
--ATTRIBUTE async_reg OF axi_resetn_d1_cdc_tig : SIGNAL IS "true";
--ATTRIBUTE async_reg OF axi_resetn_d2 : SIGNAL IS "true";
signal halt_i : std_logic := '0';
signal p_all_idle : std_logic := '1';
signal p_all_idle_d1_cdc_tig : std_logic := '1';
signal halt_cmplt_reg : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Internal Hard Reset
-- Generate reset on hardware reset or soft reset
-------------------------------------------------------------------------------
resetn_i <= '0' when s_soft_reset_i = '1'
or min_assert_sftrst = '1'
or axi_resetn = '0'
else '1';
-------------------------------------------------------------------------------
-- Minimum Reset Logic for Soft Reset
-------------------------------------------------------------------------------
-- Register to generate rising edge on soft reset and falling edge
-- on reset assertion.
REG_SFTRST_FOR_RE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
s_soft_reset_i_d1 <= s_soft_reset_i;
assert_sftrst_d1 <= min_assert_sftrst;
-- Register soft reset from DMACR to create
-- rising edge pulse
soft_reset_d1 <= soft_reset;
end if;
end process REG_SFTRST_FOR_RE;
-- rising edge pulse on internal soft reset
s_soft_reset_i_re <= s_soft_reset_i and not s_soft_reset_i_d1;
-- CR605883
-- rising edge pulse on DMACR soft reset
REG_SOFT_RE : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
soft_reset_re <= soft_reset and not soft_reset_d1;
end if;
end process REG_SOFT_RE;
-- falling edge detection on min soft rst to clear soft reset
-- bit in register module
soft_reset_clr <= (not min_assert_sftrst and assert_sftrst_d1)
or (not axi_resetn);
-------------------------------------------------------------------------------
-- Generate Reset for synchronous configuration
-------------------------------------------------------------------------------
GNE_SYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 0 generate
begin
-- On start of soft reset shift pulse through to assert
-- 7 clock later. Used to set minimum 8clk assertion of
-- reset. Shift starts when all is idle and internal reset
-- is asserted.
MIN_PULSE_GEN : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(s_soft_reset_i_re = '1')then
sft_rst_dly1 <= '1';
sft_rst_dly2 <= '0';
sft_rst_dly3 <= '0';
sft_rst_dly4 <= '0';
sft_rst_dly5 <= '0';
sft_rst_dly6 <= '0';
sft_rst_dly7 <= '0';
elsif(all_idle = '1')then
sft_rst_dly1 <= '0';
sft_rst_dly2 <= sft_rst_dly1;
sft_rst_dly3 <= sft_rst_dly2;
sft_rst_dly4 <= sft_rst_dly3;
sft_rst_dly5 <= sft_rst_dly4;
sft_rst_dly6 <= sft_rst_dly5;
sft_rst_dly7 <= sft_rst_dly6;
end if;
end if;
end process MIN_PULSE_GEN;
-- Drive minimum reset assertion for 8 clocks.
MIN_RESET_ASSERTION : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(s_soft_reset_i_re = '1')then
min_assert_sftrst <= '1';
elsif(sft_rst_dly7 = '1')then
min_assert_sftrst <= '0';
end if;
end if;
end process MIN_RESET_ASSERTION;
-------------------------------------------------------------------------------
-- Soft Reset Support
-------------------------------------------------------------------------------
-- Generate reset on hardware reset or soft reset if system is idle
-- On soft reset or error
-- mm2s dma controller will idle immediatly
-- sg fetch engine will complete current task and idle (desc's will flush)
-- sg update engine will update all completed descriptors then idle
REG_SOFT_RESET : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(soft_reset = '1'
and all_idle = '1' and halt_cmplt = '1')then
s_soft_reset_i <= '1';
elsif(soft_reset_done = '1')then
s_soft_reset_i <= '0';
end if;
end if;
end process REG_SOFT_RESET;
-- Halt datamover on soft_reset or on error. Halt will stay
-- asserted until s_soft_reset_i assertion which occurs when
-- halt is complete or hard reset
REG_DM_HALT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(resetn_i = '0')then
halt_i <= '0';
elsif(soft_reset_re = '1' or stop = '1')then
halt_i <= '1';
end if;
end if;
end process REG_DM_HALT;
halt <= halt_i;
-- AXI Stream reset output
REG_STRM_RESET_OUT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
prmry_reset_out_n <= resetn_i and not s_soft_reset_i;
end if;
end process REG_STRM_RESET_OUT;
-- If in Scatter Gather mode and status control stream included
GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate
begin
-- AXI Stream reset output
REG_ALT_RESET_OUT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
altrnt_reset_out_n <= resetn_i and not s_soft_reset_i;
end if;
end process REG_ALT_RESET_OUT;
end generate GEN_ALT_RESET_OUT;
-- If in Simple mode or status control stream excluded
GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate
begin
altrnt_reset_out_n <= '1';
end generate GEN_NO_ALT_RESET_OUT;
-- Registered primary and secondary resets out
REG_RESET_OUT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
prmry_resetn <= resetn_i;
scndry_resetn <= resetn_i;
end if;
end process REG_RESET_OUT;
-- AXI DataMover Primary Reset (Raw)
dm_prmry_resetn <= resetn_i;
-- AXI DataMover Secondary Reset (Raw)
dm_scndry_resetn <= resetn_i;
end generate GNE_SYNC_RESET;
-------------------------------------------------------------------------------
-- Generate Reset for asynchronous configuration
-------------------------------------------------------------------------------
GEN_ASYNC_RESET : if C_PRMRY_IS_ACLK_ASYNC = 1 generate
begin
-- Primary clock is slower or equal to secondary therefore...
-- For Halt - can simply pass secondary clock version of soft reset
-- rising edge into p_halt assertion
-- For Min Rst Assertion - can simply use secondary logic version of min pulse genator
GEN_PRMRY_GRTR_EQL_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ >= C_AXI_SCNDRY_ACLK_FREQ_HZ generate
begin
-- CR605883 - Register to provide pure register output for synchronizer
REG_HALT_CONDITIONS : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
s_halt <= soft_reset_re or stop;
end if;
end process REG_HALT_CONDITIONS;
-- Halt data mover on soft reset assertion, error (i.e. stop=1) or
-- not running
HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => s_halt,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => p_halt,
scndry_vect_out => open
);
-- HALT_PROCESS : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- --p_halt_d1_cdc_tig <= soft_reset_re or stop; -- CR605883
-- p_halt_d1_cdc_tig <= s_halt; -- CR605883
-- p_halt <= p_halt_d1_cdc_tig;
-- end if;
-- end process HALT_PROCESS;
-- On start of soft reset shift pulse through to assert
-- 7 clock later. Used to set minimum 8clk assertion of
-- reset. Shift starts when all is idle and internal reset
-- is asserted.
-- Adding 5 more flops to make up for 5 stages of Sync flops
MIN_PULSE_GEN : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(s_soft_reset_i_re = '1')then
sft_rst_dly1 <= '1';
sft_rst_dly2 <= '0';
sft_rst_dly3 <= '0';
sft_rst_dly4 <= '0';
sft_rst_dly5 <= '0';
sft_rst_dly6 <= '0';
sft_rst_dly7 <= '0';
sft_rst_dly8 <= '0';
sft_rst_dly9 <= '0';
sft_rst_dly10 <= '0';
sft_rst_dly11 <= '0';
sft_rst_dly12 <= '0';
sft_rst_dly13 <= '0';
sft_rst_dly14 <= '0';
sft_rst_dly15 <= '0';
sft_rst_dly16 <= '0';
elsif(all_idle = '1')then
sft_rst_dly1 <= '0';
sft_rst_dly2 <= sft_rst_dly1;
sft_rst_dly3 <= sft_rst_dly2;
sft_rst_dly4 <= sft_rst_dly3;
sft_rst_dly5 <= sft_rst_dly4;
sft_rst_dly6 <= sft_rst_dly5;
sft_rst_dly7 <= sft_rst_dly6;
sft_rst_dly8 <= sft_rst_dly7;
sft_rst_dly9 <= sft_rst_dly8;
sft_rst_dly10 <= sft_rst_dly9;
sft_rst_dly11 <= sft_rst_dly10;
sft_rst_dly12 <= sft_rst_dly11;
sft_rst_dly13 <= sft_rst_dly12;
sft_rst_dly14 <= sft_rst_dly13;
sft_rst_dly15 <= sft_rst_dly14;
sft_rst_dly16 <= sft_rst_dly15;
end if;
end if;
end process MIN_PULSE_GEN;
-- Drive minimum reset assertion for 8 clocks.
MIN_RESET_ASSERTION : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(s_soft_reset_i_re = '1')then
min_assert_sftrst <= '1';
elsif(sft_rst_dly16 = '1')then
min_assert_sftrst <= '0';
end if;
end if;
end process MIN_RESET_ASSERTION;
end generate GEN_PRMRY_GRTR_EQL_SCNDRY;
-- Primary clock is running slower than secondary therefore need to use a primary clock
-- based rising edge version of soft_reset for primary halt assertion
GEN_PRMRY_LESS_SCNDRY : if C_AXI_PRMRY_ACLK_FREQ_HZ < C_AXI_SCNDRY_ACLK_FREQ_HZ generate
signal soft_halt_int : std_logic := '0';
begin
-- Halt data mover on soft reset assertion, error (i.e. stop=1) or
-- not running
soft_halt_int <= p_soft_reset_re or stop;
HALT_PROCESS : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => soft_halt_int,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => p_halt,
scndry_vect_out => open
);
-- HALT_PROCESS : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- p_halt_d1_cdc_tig <= p_soft_reset_re or stop;
-- p_halt <= p_halt_d1_cdc_tig;
-- end if;
-- end process HALT_PROCESS;
REG_IDLE2PRMRY : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => all_idle,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => p_all_idle,
scndry_vect_out => open
);
-- REG_IDLE2PRMRY : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- p_all_idle_d1_cdc_tig <= all_idle;
-- p_all_idle <= p_all_idle_d1_cdc_tig;
-- end if;
-- end process REG_IDLE2PRMRY;
-- On start of soft reset shift pulse through to assert
-- 7 clock later. Used to set minimum 8clk assertion of
-- reset. Shift starts when all is idle and internal reset
-- is asserted.
MIN_PULSE_GEN : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- CR574188 - fixes issue with soft reset terminating too early
-- for primary slower than secondary clock
--if(p_soft_reset_re = '1')then
if(p_soft_reset_i_re = '1')then
sft_rst_dly1 <= '1';
sft_rst_dly2 <= '0';
sft_rst_dly3 <= '0';
sft_rst_dly4 <= '0';
sft_rst_dly5 <= '0';
sft_rst_dly6 <= '0';
sft_rst_dly7 <= '0';
sft_rst_dly8 <= '0';
sft_rst_dly9 <= '0';
sft_rst_dly10 <= '0';
sft_rst_dly11 <= '0';
sft_rst_dly12 <= '0';
sft_rst_dly13 <= '0';
sft_rst_dly14 <= '0';
sft_rst_dly15 <= '0';
sft_rst_dly16 <= '0';
elsif(p_all_idle = '1')then
sft_rst_dly1 <= '0';
sft_rst_dly2 <= sft_rst_dly1;
sft_rst_dly3 <= sft_rst_dly2;
sft_rst_dly4 <= sft_rst_dly3;
sft_rst_dly5 <= sft_rst_dly4;
sft_rst_dly6 <= sft_rst_dly5;
sft_rst_dly7 <= sft_rst_dly6;
sft_rst_dly8 <= sft_rst_dly7;
sft_rst_dly9 <= sft_rst_dly8;
sft_rst_dly10 <= sft_rst_dly9;
sft_rst_dly11 <= sft_rst_dly10;
sft_rst_dly12 <= sft_rst_dly11;
sft_rst_dly13 <= sft_rst_dly12;
sft_rst_dly14 <= sft_rst_dly13;
sft_rst_dly15 <= sft_rst_dly14;
sft_rst_dly16 <= sft_rst_dly15;
end if;
end if;
end process MIN_PULSE_GEN;
-- Drive minimum reset assertion for 8 primary clocks.
MIN_RESET_ASSERTION : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- CR574188 - fixes issue with soft reset terminating too early
-- for primary slower than secondary clock
--if(p_soft_reset_re = '1')then
if(p_soft_reset_i_re = '1')then
p_min_assert_sftrst <= '1';
elsif(sft_rst_dly16 = '1')then
p_min_assert_sftrst <= '0';
end if;
end if;
end process MIN_RESET_ASSERTION;
-- register minimum reset pulse back to secondary domain
REG_MINRST2SCNDRY : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => p_min_assert_sftrst,
prmry_vect_in => (others => '0'),
scndry_aclk => m_axi_sg_aclk,
scndry_resetn => '0',
scndry_out => min_assert_sftrst,
scndry_vect_out => open
);
-- REG_MINRST2SCNDRY : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
-- min_assert_sftrst_d1_cdc_tig <= p_min_assert_sftrst;
-- min_assert_sftrst <= min_assert_sftrst_d1_cdc_tig;
-- end if;
-- end process REG_MINRST2SCNDRY;
-- CR574188 - fixes issue with soft reset terminating too early
-- for primary slower than secondary clock
-- Generate reset on hardware reset or soft reset if system is idle
REG_P_SOFT_RESET : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(p_soft_reset = '1'
and p_all_idle = '1'
and halt_cmplt = '1')then
p_soft_reset_i <= '1';
else
p_soft_reset_i <= '0';
end if;
end if;
end process REG_P_SOFT_RESET;
-- CR574188 - fixes issue with soft reset terminating too early
-- for primary slower than secondary clock
-- Register qualified soft reset flag for generating rising edge
-- pulse for starting minimum reset pulse
REG_SOFT2PRMRY : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
p_soft_reset_i_d1 <= p_soft_reset_i;
end if;
end process REG_SOFT2PRMRY;
-- CR574188 - fixes issue with soft reset terminating too early
-- for primary slower than secondary clock
-- Generate rising edge pulse on qualified soft reset for min pulse
-- logic.
p_soft_reset_i_re <= p_soft_reset_i and not p_soft_reset_i_d1;
end generate GEN_PRMRY_LESS_SCNDRY;
-- Double register halt complete flag from primary to secondary
-- clock domain.
-- Note: halt complete stays asserted until halt clears therefore
-- only need to double register from fast to slow clock domain.
process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
halt_cmplt_reg <= halt_cmplt;
end if;
end process;
REG_HALT_CMPLT_IN : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => halt_cmplt_reg,
prmry_vect_in => (others => '0'),
scndry_aclk => m_axi_sg_aclk,
scndry_resetn => '0',
scndry_out => s_halt_cmplt,
scndry_vect_out => open
);
-- REG_HALT_CMPLT_IN : process(m_axi_sg_aclk)
-- begin
-- if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
--
-- halt_cmplt_d1_cdc_tig <= halt_cmplt;
-- s_halt_cmplt <= halt_cmplt_d1_cdc_tig;
-- end if;
-- end process REG_HALT_CMPLT_IN;
-------------------------------------------------------------------------------
-- Soft Reset Support
-------------------------------------------------------------------------------
-- Generate reset on hardware reset or soft reset if system is idle
REG_SOFT_RESET : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(soft_reset = '1'
and all_idle = '1'
and s_halt_cmplt = '1')then
s_soft_reset_i <= '1';
elsif(soft_reset_done = '1')then
s_soft_reset_i <= '0';
end if;
end if;
end process REG_SOFT_RESET;
-- Register soft reset flag into primary domain to correcly
-- halt data mover
REG_SOFT2PRMRY : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => soft_reset,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => p_soft_reset_d2,
scndry_vect_out => open
);
REG_SOFT2PRMRY1 : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- p_soft_reset_d1_cdc_tig <= soft_reset;
-- p_soft_reset_d2 <= p_soft_reset_d1_cdc_tig;
p_soft_reset_d3 <= p_soft_reset_d2;
end if;
end process REG_SOFT2PRMRY1;
-- Generate rising edge pulse for use with p_halt creation
p_soft_reset_re <= p_soft_reset_d2 and not p_soft_reset_d3;
-- used to mask halt reset below
p_soft_reset <= p_soft_reset_d2;
-- Halt datamover on soft_reset or on error. Halt will stay
-- asserted until s_soft_reset_i assertion which occurs when
-- halt is complete or hard reset
REG_DM_HALT : process(axi_prmry_aclk)
begin
if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
if(axi_resetn_d2 = '0')then
halt_i <= '0';
elsif(p_halt = '1')then
halt_i <= '1';
end if;
end if;
end process REG_DM_HALT;
halt <= halt_i;
-- CR605883 (CDC) Create pure register out for synchronizer
REG_CMB_RESET : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
scndry_resetn_i <= resetn_i;
end if;
end process REG_CMB_RESET;
-- Sync to mm2s primary and register resets out
REG_RESET_OUT : entity lib_cdc_v1_0_2.cdc_sync
generic map (
C_CDC_TYPE => 1,
C_RESET_STATE => 0,
C_SINGLE_BIT => 1,
C_VECTOR_WIDTH => 32,
C_MTBF_STAGES => MTBF_STAGES
)
port map (
prmry_aclk => '0',
prmry_resetn => '0',
prmry_in => scndry_resetn_i,
prmry_vect_in => (others => '0'),
scndry_aclk => axi_prmry_aclk,
scndry_resetn => '0',
scndry_out => axi_resetn_d2,
scndry_vect_out => open
);
-- REG_RESET_OUT : process(axi_prmry_aclk)
-- begin
-- if(axi_prmry_aclk'EVENT and axi_prmry_aclk = '1')then
-- --axi_resetn_d1_cdc_tig <= resetn_i; -- CR605883
-- axi_resetn_d1_cdc_tig <= scndry_resetn_i;
-- axi_resetn_d2 <= axi_resetn_d1_cdc_tig;
-- end if;
-- end process REG_RESET_OUT;
-- Register resets out to AXI DMA Logic
REG_SRESET_OUT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
scndry_resetn <= resetn_i;
end if;
end process REG_SRESET_OUT;
-- AXI Stream reset output
prmry_reset_out_n <= axi_resetn_d2;
-- If in Scatter Gather mode and status control stream included
GEN_ALT_RESET_OUT : if C_INCLUDE_SG = 1 and C_SG_INCLUDE_STSCNTRL_STRM = 1 generate
begin
-- AXI Stream alternate reset output
altrnt_reset_out_n <= axi_resetn_d2;
end generate GEN_ALT_RESET_OUT;
-- If in Simple Mode or status control stream excluded.
GEN_NO_ALT_RESET_OUT : if C_INCLUDE_SG = 0 or C_SG_INCLUDE_STSCNTRL_STRM = 0 generate
begin
altrnt_reset_out_n <= '1';
end generate GEN_NO_ALT_RESET_OUT;
-- Register primary reset
prmry_resetn <= axi_resetn_d2;
-- AXI DataMover Primary Reset
dm_prmry_resetn <= axi_resetn_d2;
-- AXI DataMover Secondary Reset
dm_scndry_resetn <= resetn_i;
end generate GEN_ASYNC_RESET;
end implementation;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_bram_ctrl_v4_0/hdl/vhdl/correct_one_bit_64.vhd
|
7
|
8400
|
-------------------------------------------------------------------------------
-- correct_one_bit_64.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
------------------------------------------------------------------------------
-- Filename: correct_one_bit_64.vhd
--
-- Description: Identifies single bit to correct in 64-bit word of
-- data read from memory as indicated by the syndrome input
-- vector.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/1/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
entity Correct_One_Bit_64 is
generic (
C_USE_LUT6 : boolean := true;
Correct_Value : std_logic_vector(0 to 7));
port (
DIn : in std_logic;
Syndrome : in std_logic_vector(0 to 7);
DCorr : out std_logic);
end entity Correct_One_Bit_64;
architecture IMP of Correct_One_Bit_64 is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of IMP : architecture is "yes";
-----------------------------------------------------------------------------
-- Find which bit that has a '1'
-- There is always one bit which has a '1'
-----------------------------------------------------------------------------
function find_one (Syn : std_logic_vector(0 to 7)) return natural is
begin -- function find_one
for I in 0 to 7 loop
if (Syn(I) = '1') then
return I;
end if;
end loop; -- I
return 0; -- Should never reach this statement
end function find_one;
constant di_index : natural := find_one(Correct_Value);
signal corr_sel : std_logic;
signal corr_c : std_logic;
signal lut_compare : std_logic_vector(0 to 6);
signal lut_corr_val : std_logic_vector(0 to 6);
begin -- architecture IMP
Remove_DI_Index : process (Syndrome) is
begin -- process Remove_DI_Index
if (di_index = 0) then
lut_compare <= Syndrome(1 to 7);
lut_corr_val <= Correct_Value(1 to 7);
elsif (di_index = 6) then
lut_compare <= Syndrome(0 to 6);
lut_corr_val <= Correct_Value(0 to 6);
else
lut_compare <= Syndrome(0 to di_index-1) & Syndrome(di_index+1 to 7);
lut_corr_val <= Correct_Value(0 to di_index-1) & Correct_Value(di_index+1 to 7);
end if;
end process Remove_DI_Index;
corr_sel <= '0' when lut_compare = lut_corr_val else '1';
Corr_MUXCY : MUXCY_L
port map (
DI => Syndrome(di_index),
CI => '0',
S => corr_sel,
LO => corr_c);
Corr_XORCY : XORCY
port map (
LI => DIn,
CI => corr_c,
O => DCorr);
end architecture IMP;
|
gpl-3.0
|
nickg/nvc
|
test/sem/issue102.vhd
|
5
|
328
|
package COMPONENTS is
component DUMMY_MODULE
port (I : in bit; O : out bit);
end component;
end package;
use WORK.COMPONENTS.DUMMY_MODULE;
entity DUMMY_TOP is
port (I : in bit; O : out bit);
end entity;
architecture RTL of DUMMY_TOP is
begin
U: DUMMY_MODULE port map(I=>I, O=>O);
end architecture;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/lib_srl_fifo_v1_0/hdl/src/vhdl/dynshreg_f.vhd
|
15
|
11276
|
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: dynshreg_f.vhd
--
-- Description: This module implements a dynamic shift register with clock
-- enable. (Think, for example, of the function of the SRL16E.)
-- The width and depth of the shift register are selectable
-- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY
-- allows the implementation to be tailored to the target
-- FPGA family. An inferred implementation is used if C_FAMILY
-- is "nofamily" (the default) or if synthesis will not produce
-- an optimal implementation. Otherwise, a structural
-- implementation will be generated.
--
-- There is no restriction on the values of C_WIDTH and
-- C_DEPTH and, in particular, the C_DEPTH does not have
-- to be a power of two.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
--
-- ~~~~~~
-- FLO 06/07/15
-- ^^^^^^
-- -XST was observed in some cases to produce a suboptimal implementation when
-- the depth, C_DEPTH, is a power of two and less than the native depth
-- of the SRL. Now a structural implementation is used for these cases.
-- (The particular case where a problem was found was for C_DEPTH=4 and
-- C_FAMILY="virtex5". In this case, rather than use an SRL, XST
-- made an implementation out of discrete FFs and LUTs.)
-- -Added Description.
-- ~~~~~~
-- FLO 07/12/12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v5_0
-- ~~~~~~
-- - Changed proc_common library version to v5_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- predecessor value by # clks: "*_p#"
---(
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.TO_INTEGER;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
entity dynshreg_f is
generic (
C_DEPTH : positive := 32;
C_DWIDTH : natural := 1;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Clken : in std_logic;
Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Din : in std_logic_vector(0 to C_DWIDTH-1);
Dout : out std_logic_vector(0 to C_DWIDTH-1)
);
end dynshreg_f;
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
architecture behavioral of dynshreg_f is
-- constant K_FAMILY : families_type := str2fam(C_FAMILY);
--
-- constant W32 : boolean := supported(K_FAMILY, u_SRLC32E) and
-- (C_DEPTH > 16 or not supported(K_FAMILY, u_SRL16E));
-- constant W16 : boolean := supported(K_FAMILY, u_SRLC16E) and not W32;
constant W32 : boolean := (C_DEPTH > 16);
constant W16 : boolean := (not W32);
-- XST faster if these two constants are declared here
-- instead of in STRUCTURAL_A_GEN. (I.25)
--
function power_of_2(n: positive) return boolean is
variable i: positive := 1;
begin
while n > i loop i := i*2; end loop;
return n = i;
end power_of_2;
--
-- constant USE_INFERRED : boolean := ( power_of_2(C_DEPTH)
-- and ( (W16 and C_DEPTH >= 16)
-- or (W32 and C_DEPTH >= 32)
-- )
-- )
-- or (not W32 and not W16);
constant USE_INFERRED : boolean := true;
-- As of I.32, XST is not infering optimal dynamic shift registers for
-- depths not a power of two (by not taking advantage of don't care
-- at output when address not within the range of the depth)
-- or a power of two less than the native SRL depth (by building shift
-- register out of discrete FFs and LUTs instead of SRLs).
constant USE_STRUCTURAL_A : boolean := not USE_INFERRED;
function min(a, b: natural) return natural is
begin
if a<b then return a; else return b; end if;
end min;
----------------------------------------------------------------------------
-- Unisim components declared locally for maximum avoidance of default
-- binding and vcomponents version issues.
----------------------------------------------------------------------------
component SRLC16E
generic
(
INIT : bit_vector := X"0000"
);
port
(
Q : out STD_ULOGIC;
Q15 : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
component SRLC32E
generic
(
INIT : bit_vector := X"00000000"
);
port
(
Q : out STD_ULOGIC;
Q31 : out STD_ULOGIC;
A : in STD_LOGIC_VECTOR (4 downto 0);
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
begin
---(
---(
INFERRED_GEN : if USE_INFERRED = true generate
type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1);
signal data: dataType;
begin
process(Clk)
begin
if Clk'event and Clk = '1' then
if Clken = '1' then
data <= Din & data(0 to C_DEPTH-2);
end if;
end if;
end process;
Dout <= data(TO_INTEGER(UNSIGNED(Addr)))
when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH)
else
(others => '-');
end generate INFERRED_GEN;
---)
end behavioral;
---)
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/lib_srl_fifo_v1_0/hdl/src/vhdl/dynshreg_f.vhd
|
15
|
11276
|
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: dynshreg_f.vhd
--
-- Description: This module implements a dynamic shift register with clock
-- enable. (Think, for example, of the function of the SRL16E.)
-- The width and depth of the shift register are selectable
-- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY
-- allows the implementation to be tailored to the target
-- FPGA family. An inferred implementation is used if C_FAMILY
-- is "nofamily" (the default) or if synthesis will not produce
-- an optimal implementation. Otherwise, a structural
-- implementation will be generated.
--
-- There is no restriction on the values of C_WIDTH and
-- C_DEPTH and, in particular, the C_DEPTH does not have
-- to be a power of two.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
--
-- ~~~~~~
-- FLO 06/07/15
-- ^^^^^^
-- -XST was observed in some cases to produce a suboptimal implementation when
-- the depth, C_DEPTH, is a power of two and less than the native depth
-- of the SRL. Now a structural implementation is used for these cases.
-- (The particular case where a problem was found was for C_DEPTH=4 and
-- C_FAMILY="virtex5". In this case, rather than use an SRL, XST
-- made an implementation out of discrete FFs and LUTs.)
-- -Added Description.
-- ~~~~~~
-- FLO 07/12/12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v5_0
-- ~~~~~~
-- - Changed proc_common library version to v5_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- predecessor value by # clks: "*_p#"
---(
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.UNSIGNED;
use ieee.numeric_std.TO_INTEGER;
library lib_pkg_v1_0_2;
use lib_pkg_v1_0_2.lib_pkg.clog2;
entity dynshreg_f is
generic (
C_DEPTH : positive := 32;
C_DWIDTH : natural := 1;
C_FAMILY : string := "nofamily"
);
port (
Clk : in std_logic;
Clken : in std_logic;
Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1);
Din : in std_logic_vector(0 to C_DWIDTH-1);
Dout : out std_logic_vector(0 to C_DWIDTH-1)
);
end dynshreg_f;
library unisim;
use unisim.all; -- Make unisim entities available for default binding.
architecture behavioral of dynshreg_f is
-- constant K_FAMILY : families_type := str2fam(C_FAMILY);
--
-- constant W32 : boolean := supported(K_FAMILY, u_SRLC32E) and
-- (C_DEPTH > 16 or not supported(K_FAMILY, u_SRL16E));
-- constant W16 : boolean := supported(K_FAMILY, u_SRLC16E) and not W32;
constant W32 : boolean := (C_DEPTH > 16);
constant W16 : boolean := (not W32);
-- XST faster if these two constants are declared here
-- instead of in STRUCTURAL_A_GEN. (I.25)
--
function power_of_2(n: positive) return boolean is
variable i: positive := 1;
begin
while n > i loop i := i*2; end loop;
return n = i;
end power_of_2;
--
-- constant USE_INFERRED : boolean := ( power_of_2(C_DEPTH)
-- and ( (W16 and C_DEPTH >= 16)
-- or (W32 and C_DEPTH >= 32)
-- )
-- )
-- or (not W32 and not W16);
constant USE_INFERRED : boolean := true;
-- As of I.32, XST is not infering optimal dynamic shift registers for
-- depths not a power of two (by not taking advantage of don't care
-- at output when address not within the range of the depth)
-- or a power of two less than the native SRL depth (by building shift
-- register out of discrete FFs and LUTs instead of SRLs).
constant USE_STRUCTURAL_A : boolean := not USE_INFERRED;
function min(a, b: natural) return natural is
begin
if a<b then return a; else return b; end if;
end min;
----------------------------------------------------------------------------
-- Unisim components declared locally for maximum avoidance of default
-- binding and vcomponents version issues.
----------------------------------------------------------------------------
component SRLC16E
generic
(
INIT : bit_vector := X"0000"
);
port
(
Q : out STD_ULOGIC;
Q15 : out STD_ULOGIC;
A0 : in STD_ULOGIC;
A1 : in STD_ULOGIC;
A2 : in STD_ULOGIC;
A3 : in STD_ULOGIC;
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
component SRLC32E
generic
(
INIT : bit_vector := X"00000000"
);
port
(
Q : out STD_ULOGIC;
Q31 : out STD_ULOGIC;
A : in STD_LOGIC_VECTOR (4 downto 0);
CE : in STD_ULOGIC;
CLK : in STD_ULOGIC;
D : in STD_ULOGIC
);
end component;
begin
---(
---(
INFERRED_GEN : if USE_INFERRED = true generate
type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1);
signal data: dataType;
begin
process(Clk)
begin
if Clk'event and Clk = '1' then
if Clken = '1' then
data <= Din & data(0 to C_DEPTH-2);
end if;
end if;
end process;
Dout <= data(TO_INTEGER(UNSIGNED(Addr)))
when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH)
else
(others => '-');
end generate INFERRED_GEN;
---)
end behavioral;
---)
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/hdl/vhdl/ua_narrow.vhd
|
6
|
18144
|
-------------------------------------------------------------------------------
-- ua_narrow.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: ua_narrow.vhd
--
-- Description: Creates a narrow burst count load value when an operation
-- is an unaligned narrow WRAP or INCR burst type. Used by
-- I_NARROW_CNT module.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- ^^^^^^
-- JLJ 2/4/2011 v1.03a
-- ~~~~~~
-- Edit for scalability and support of 512 and 1024-bit data widths.
-- ^^^^^^
-- JLJ 2/8/2011 v1.03a
-- ~~~~~~
-- Update bit vector usage of address LSB for calculating ua_narrow_load.
-- Add axi_bram_ctrl_funcs package inclusion.
-- ^^^^^^
-- JLJ 3/1/2011 v1.03a
-- ~~~~~~
-- Fix XST handling for DIV functions. Create seperate process when
-- divisor is not constant and a power of two.
-- ^^^^^^
-- JLJ 3/2/2011 v1.03a
-- ~~~~~~
-- Update range of integer signals.
-- ^^^^^^
-- JLJ 3/4/2011 v1.03a
-- ~~~~~~
-- Remove use of local function, Create_Size_Max.
-- ^^^^^^
-- JLJ 3/11/2011 v1.03a
-- ~~~~~~
-- Remove C_AXI_DATA_WIDTH generate statments.
-- ^^^^^^
-- JLJ 3/14/2011 v1.03a
-- ~~~~~~
-- Update ua_narrow_load signal assignment to pass simulations & XST.
-- ^^^^^^
-- JLJ 3/15/2011 v1.03a
-- ~~~~~~
-- Update multiply function on signal, ua_narrow_wrap_gt_width,
-- for timing path improvements. Replace with left shift operation.
-- ^^^^^^
-- JLJ 3/17/2011 v1.03a
-- ~~~~~~
-- Add comments as noted in Spyglass runs. And general code clean-up.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Library declarations
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library work;
use work.axi_bram_ctrl_funcs.all;
------------------------------------------------------------------------------
entity ua_narrow is
generic (
C_AXI_DATA_WIDTH : integer := 32;
-- Width of AXI data bus (in bits)
C_BRAM_ADDR_ADJUST_FACTOR : integer := 32;
-- Adjust BRAM address width based on C_AXI_DATA_WIDTH
C_NARROW_BURST_CNT_LEN : integer := 4
-- Size of narrow burst counter
);
port (
curr_wrap_burst : in std_logic;
curr_incr_burst : in std_logic;
bram_addr_ld_en : in std_logic;
curr_axlen : in std_logic_vector (7 downto 0) := (others => '0');
curr_axsize : in std_logic_vector (2 downto 0) := (others => '0');
curr_axaddr_lsb : in std_logic_vector (C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0) := (others => '0');
curr_ua_narrow_wrap : out std_logic;
curr_ua_narrow_incr : out std_logic;
ua_narrow_load : out std_logic_vector (C_NARROW_BURST_CNT_LEN-1 downto 0)
:= (others => '0')
);
end entity ua_narrow;
-------------------------------------------------------------------------------
architecture implementation of ua_narrow is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- All functions defined in axi_bram_ctrl_funcs package.
-------------------------------------------------------------------------------
-- Constants
-------------------------------------------------------------------------------
-- Reset active level (common through core)
constant C_RESET_ACTIVE : std_logic := '0';
-- AXI Size Constants
-- constant C_AXI_SIZE_1BYTE : std_logic_vector (2 downto 0) := "000"; -- 1 byte
-- constant C_AXI_SIZE_2BYTE : std_logic_vector (2 downto 0) := "001"; -- 2 bytes
-- constant C_AXI_SIZE_4BYTE : std_logic_vector (2 downto 0) := "010"; -- 4 bytes = max size for 32-bit BRAM
-- constant C_AXI_SIZE_8BYTE : std_logic_vector (2 downto 0) := "011"; -- 8 bytes = max size for 64-bit BRAM
-- constant C_AXI_SIZE_16BYTE : std_logic_vector (2 downto 0) := "100"; -- 16 bytes = max size for 128-bit BRAM
-- constant C_AXI_SIZE_32BYTE : std_logic_vector (2 downto 0) := "101"; -- 32 bytes = max size for 256-bit BRAM
-- constant C_AXI_SIZE_64BYTE : std_logic_vector (2 downto 0) := "110"; -- 64 bytes = max size for 512-bit BRAM
-- constant C_AXI_SIZE_128BYTE : std_logic_vector (2 downto 0) := "111"; -- 128 bytes = max size for 1024-bit BRAM
-- Determine max value of ARSIZE based on the AXI data width.
-- Use function in axi_bram_ctrl_funcs package.
constant C_AXI_SIZE_MAX : std_logic_vector (2 downto 0) := Create_Size_Max (C_AXI_DATA_WIDTH);
-- Determine the number of bytes based on the AXI data width.
constant C_AXI_DATA_WIDTH_BYTES : integer := C_AXI_DATA_WIDTH/8;
constant C_AXI_DATA_WIDTH_BYTES_LOG2 : integer := log2(C_AXI_DATA_WIDTH_BYTES);
-- Use constant to compare when LSB of ADDR is equal to zero.
constant axaddr_lsb_zero : std_logic_vector (C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0) := (others => '0');
-- 8d = size of AxLEN vector
constant C_MAX_LSHIFT_SIZE : integer := C_AXI_DATA_WIDTH_BYTES_LOG2 + 8;
-- Convert # of data bytes for AXI data bus into an unsigned vector (C_MAX_LSHIFT_SIZE:0).
constant C_AXI_DATA_WIDTH_BYTES_UNSIGNED : unsigned (C_MAX_LSHIFT_SIZE downto 0) :=
to_unsigned (C_AXI_DATA_WIDTH_BYTES, C_MAX_LSHIFT_SIZE+1);
-------------------------------------------------------------------------------
-- Signals
-------------------------------------------------------------------------------
signal ua_narrow_wrap_gt_width : std_logic := '0';
signal curr_axsize_unsigned : unsigned (2 downto 0) := (others => '0');
signal curr_axsize_int : integer := 0;
signal curr_axlen_unsigned : unsigned (7 downto 0) := (others => '0');
signal curr_axlen_unsigned_lshift : unsigned (C_MAX_LSHIFT_SIZE downto 0) := (others => '0'); -- Max = 32768d
signal bytes_per_addr : integer := 1; -- range 1 to 128 := 1;
signal size_plus_lsb : integer range 1 to 256 := 1;
signal narrow_addr_offset : integer := 1;
-------------------------------------------------------------------------------
-- Architecture Body
-------------------------------------------------------------------------------
begin
-- v1.03a
-- Added for narrow INCR bursts with UA addresses
-- Check if burst is a) INCR type,
-- b) a narrow burst (SIZE = full width of bus)
-- c) LSB of address is non zero
curr_ua_narrow_incr <= '1' when (curr_incr_burst = '1') and
(curr_axsize (2 downto 0) /= C_AXI_SIZE_MAX) and
(curr_axaddr_lsb /= axaddr_lsb_zero) and
(bram_addr_ld_en = '1')
else '0';
-- v1.03a
-- Detect narrow WRAP bursts
-- Detect if the operation is a) WRAP type,
-- b) a narrow burst (SIZE = full width of bus)
-- c) LSB of address is non zero
-- d) complete size of WRAP is larger than width of BRAM
curr_ua_narrow_wrap <= '1' when (curr_wrap_burst = '1') and
(curr_axsize (2 downto 0) /= C_AXI_SIZE_MAX) and
(curr_axaddr_lsb /= axaddr_lsb_zero) and
(bram_addr_ld_en = '1') and
(ua_narrow_wrap_gt_width = '1')
else '0';
---------------------------------------------------------------------------
-- v1.03a
-- Check condition if narrow burst wraps within the size of the BRAM width.
-- Check if size * length > BRAM width in bytes.
--
-- When asserted = '1', means that narrow burst counter is not preloaded early,
-- the BRAM burst will be contained within the BRAM data width.
curr_axsize_unsigned <= unsigned (curr_axsize);
curr_axsize_int <= to_integer (curr_axsize_unsigned);
curr_axlen_unsigned <= unsigned (curr_axlen);
-- Original logic with multiply function.
--
-- ua_narrow_wrap_gt_width <= '0' when (((2**(to_integer (curr_axsize_unsigned))) *
-- unsigned (curr_axlen (7 downto 0)))
-- < C_AXI_DATA_WIDTH_BYTES)
-- else '1';
-- Replace with left shift operation of AxLEN.
-- Replace multiply of AxLEN * AxSIZE with a left shift function.
LEN_LSHIFT: process (curr_axlen_unsigned, curr_axsize_int)
begin
for i in C_MAX_LSHIFT_SIZE downto 0 loop
if (i >= curr_axsize_int + 8) then
curr_axlen_unsigned_lshift (i) <= '0';
elsif (i >= curr_axsize_int) then
curr_axlen_unsigned_lshift (i) <= curr_axlen_unsigned (i - curr_axsize_int);
else
curr_axlen_unsigned_lshift (i) <= '0';
end if;
end loop;
end process LEN_LSHIFT;
-- Final result.
ua_narrow_wrap_gt_width <= '0' when (curr_axlen_unsigned_lshift < C_AXI_DATA_WIDTH_BYTES_UNSIGNED)
else '1';
---------------------------------------------------------------------------
-- v1.03a
-- For narrow burst transfer, provides the number of bytes per address
-- XST does not support divisors that are not constants AND powers of two.
-- Create process to create a fixed value for divisor.
-- Replace this statement:
-- bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / (2**(to_integer (curr_axsize_unsigned)));
-- With this new process:
-- Replace case statement with unsigned signal comparator.
DIV_AXSIZE: process (curr_axsize)
begin
case (curr_axsize) is
when "000" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 1;
when "001" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 2;
when "010" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 4;
when "011" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 8;
when "100" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 16;
when "101" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 32;
when "110" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 64;
when "111" => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES / 128; -- Max SIZE for 1024-bit AXI bus
when others => bytes_per_addr <= C_AXI_DATA_WIDTH_BYTES;
end case;
end process DIV_AXSIZE;
-- Original statement.
-- XST does not support divisors that are not constants AND powers of two.
-- Insert process to perform (size_plus_lsb / size_bytes_int) function in generation of ua_narrow_load.
--
-- size_bytes_int <= (2**(to_integer (curr_axsize_unsigned)));
--
-- ua_narrow_load <= std_logic_vector (to_unsigned (bytes_per_addr -
-- (size_plus_lsb / size_bytes_int), C_NARROW_BURST_CNT_LEN));
-- AxSIZE + LSB of address
-- Use all LSB address bit lanes for the narrow transfer based on C_S_AXI_DATA_WIDTH
size_plus_lsb <= (2**(to_integer (curr_axsize_unsigned))) +
to_integer (unsigned (curr_axaddr_lsb (C_AXI_DATA_WIDTH_BYTES_LOG2-1 downto 0)));
-- Process to keep synthesis with divide by constants that are a power of 2.
DIV_SIZE_BYTES: process (size_plus_lsb,
curr_axsize)
begin
-- Use unsigned w/ curr_axsize signal
case (curr_axsize) is
when "000" => narrow_addr_offset <= size_plus_lsb / 1;
when "001" => narrow_addr_offset <= size_plus_lsb / 2;
when "010" => narrow_addr_offset <= size_plus_lsb / 4;
when "011" => narrow_addr_offset <= size_plus_lsb / 8;
when "100" => narrow_addr_offset <= size_plus_lsb / 16;
when "101" => narrow_addr_offset <= size_plus_lsb / 32;
when "110" => narrow_addr_offset <= size_plus_lsb / 64;
when "111" => narrow_addr_offset <= size_plus_lsb / 128; -- Max SIZE for 1024-bit AXI bus
when others => narrow_addr_offset <= size_plus_lsb;
end case;
end process DIV_SIZE_BYTES;
-- Final new statement.
-- Passing in simulation and XST.
ua_narrow_load <= std_logic_vector (to_unsigned (bytes_per_addr -
narrow_addr_offset, C_NARROW_BURST_CNT_LEN))
when (bytes_per_addr >= narrow_addr_offset)
else std_logic_vector (to_unsigned (0, C_NARROW_BURST_CNT_LEN));
---------------------------------------------------------------------------
end architecture implementation;
|
gpl-3.0
|
nickg/nvc
|
test/regress/issue481.vhd
|
1
|
314
|
entity issue481 is
end entity;
architecture beh of issue481 is
signal sig_1 : bit;
begin
process
begin
assert sig_1 = '0';
sig_1 <= force '1';
wait for 1 ps;
assert sig_1 = '1' report "signal val is not 1 " & to_string(sig_1) severity failure;
wait;
end process;
end architecture beh;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.ip_user_files/ipstatic/axi_datamover_v5_1/hdl/src/vhdl/axi_datamover_rddata_cntl.vhd
|
3
|
75297
|
-------------------------------------------------------------------------------
-- axi_datamover_rddata_cntl.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: axi_datamover_rddata_cntl.vhd
--
-- Description:
-- This file implements the DataMover Master Read Data Controller.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_datamover_v5_1_10;
use axi_datamover_v5_1_10.axi_datamover_rdmux;
-------------------------------------------------------------------------------
entity axi_datamover_rddata_cntl is
generic (
C_INCLUDE_DRE : Integer range 0 to 1 := 0;
-- Indicates if the DRE interface is used
C_ALIGN_WIDTH : Integer range 1 to 3 := 3;
-- Sets the width of the DRE Alignment controls
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
-- Sets the width of the LS bits of the transfer address that
-- are being used to Mux read data from a wider AXI4 Read
-- Data Bus
C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4;
-- Sets the depth of the internal command fifo used for the
-- command queue
C_MMAP_DWIDTH : Integer range 32 to 1024 := 32;
-- Indicates the native data width of the Read Data port
C_STREAM_DWIDTH : Integer range 8 to 1024 := 32;
-- Sets the width of the Stream output data port
C_TAG_WIDTH : Integer range 1 to 8 := 4;
-- Indicates the width of the Tag field of the input command
C_ENABLE_MM2S_TKEEP : integer range 0 to 1 := 1;
C_FAMILY : String := "virtex7"
-- Indicates the device family of the target FPGA
);
port (
-- Clock and Reset inputs ----------------------------------------
--
primary_aclk : in std_logic; --
-- Primary synchronization clock for the Master side --
-- interface and internal logic. It is also used --
-- for the User interface synchronization when --
-- C_STSCMD_IS_ASYNC = 0. --
--
-- Reset input --
mmap_reset : in std_logic; --
-- Reset used for the internal master logic --
------------------------------------------------------------------
-- Soft Shutdown internal interface -----------------------------------
--
rst2data_stop_request : in std_logic; --
-- Active high soft stop request to modules --
--
data2addr_stop_req : Out std_logic; --
-- Active high signal requesting the Address Controller --
-- to stop posting commands to the AXI Read Address Channel --
--
data2rst_stop_cmplt : Out std_logic; --
-- Active high indication that the Data Controller has completed --
-- any pending transfers committed by the Address Controller --
-- after a stop has been requested by the Reset module. --
-----------------------------------------------------------------------
-- External Address Pipelining Contol support -------------------------
--
mm2s_rd_xfer_cmplt : out std_logic; --
-- Active high indication that the Data Controller has completed --
-- a single read data transfer on the AXI4 Read Data Channel. --
-- This signal escentially echos the assertion of rlast received --
-- from the AXI4. --
-----------------------------------------------------------------------
-- AXI Read Data Channel I/O ---------------------------------------------
--
mm2s_rdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); --
-- AXI Read data input --
--
mm2s_rresp : In std_logic_vector(1 downto 0); --
-- AXI Read response input --
--
mm2s_rlast : In std_logic; --
-- AXI Read LAST input --
--
mm2s_rvalid : In std_logic; --
-- AXI Read VALID input --
--
mm2s_rready : Out std_logic; --
-- AXI Read data READY output --
--------------------------------------------------------------------------
-- MM2S DRE Control -------------------------------------------------------------
--
mm2s_dre_new_align : Out std_logic; --
-- Active high signal indicating new DRE aligment required --
--
mm2s_dre_use_autodest : Out std_logic; --
-- Active high signal indicating to the DRE to use an auto- --
-- calculated desination alignment based on the last transfer --
--
mm2s_dre_src_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the byte lane of the first valid data byte --
-- being sent to the DRE --
--
mm2s_dre_dest_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- Bit field indicating the desired byte lane of the first valid data byte --
-- to be output by the DRE --
--
mm2s_dre_flush : Out std_logic; --
-- Active high signal indicating to the DRE to flush the current --
-- contents to the output register in preparation of a new alignment --
-- that will be comming on the next transfer input --
---------------------------------------------------------------------------------
-- AXI Master Stream Channel------------------------------------------------------
--
mm2s_strm_wvalid : Out std_logic; --
-- AXI Stream VALID Output --
--
mm2s_strm_wready : In Std_logic; --
-- AXI Stream READY input --
--
mm2s_strm_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --
-- AXI Stream data output --
--
mm2s_strm_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- AXI Stream STRB output --
--
mm2s_strm_wlast : Out std_logic; --
-- AXI Stream LAST output --
---------------------------------------------------------------------------------
-- MM2S Store and Forward Supplimental Control --------------------------------
-- This output is time aligned and qualified with the AXI Master Stream Channel--
--
mm2s_data2sf_cmd_cmplt : out std_logic; --
--
---------------------------------------------------------------------------------
-- Command Calculator Interface -------------------------------------------------
--
mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The next command tag --
--
mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); --
-- The next command start address LSbs to use for the read data --
-- mux (only used if Stream data width is 8 or 16 bits). --
--
mstr2data_len : In std_logic_vector(7 downto 0); --
-- The LEN value output to the Address Channel --
--
mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The starting strobe value to use for the first stream data beat --
--
mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); --
-- The endiing (LAST) strobe value to use for the last stream --
-- data beat --
--
mstr2data_drr : In std_logic; --
-- The starting tranfer of a sequence of transfers --
--
mstr2data_eof : In std_logic; --
-- The endiing tranfer of a sequence of transfers --
--
mstr2data_sequential : In std_logic; --
-- The next sequential tranfer of a sequence of transfers --
-- spawned from a single parent command --
--
mstr2data_calc_error : In std_logic; --
-- Indication if the next command in the calculation pipe --
-- has a calculation error --
--
mstr2data_cmd_cmplt : In std_logic; --
-- The indication to the Data Channel that the current --
-- sub-command output is the last one compiled from the --
-- parent command pulled from the Command FIFO --
--
mstr2data_cmd_valid : In std_logic; --
-- The next command valid indication to the Data Channel --
-- Controller for the AXI MMap --
--
data2mstr_cmd_ready : Out std_logic ; --
-- Indication from the Data Channel Controller that the --
-- command is being accepted on the AXI Address Channel --
--
mstr2data_dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- The source (input) alignment for the DRE --
--
mstr2data_dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); --
-- The destinstion (output) alignment for the DRE --
---------------------------------------------------------------------------------
-- Address Controller Interface -------------------------------------------------
--
addr2data_addr_posted : In std_logic ; --
-- Indication from the Address Channel Controller to the --
-- Data Controller that an address has been posted to the --
-- AXI Address Channel --
---------------------------------------------------------------------------------
-- Data Controller General Halted Status ----------------------------------------
--
data2all_dcntlr_halted : Out std_logic; --
-- When asserted, this indicates the data controller has satisfied --
-- all pending transfers queued by the Address Controller and is halted. --
---------------------------------------------------------------------------------
-- Output Stream Skid Buffer Halt control ---------------------------------------
--
data2skid_halt : Out std_logic; --
-- The data controller asserts this output for 1 primary clock period --
-- The pulse commands the MM2S Stream skid buffer to tun off outputs --
-- at the next tlast transmission. --
---------------------------------------------------------------------------------
-- Read Status Controller Interface ------------------------------------------------
--
data2rsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); --
-- The propagated command tag from the Command Calculator --
--
data2rsc_calc_err : Out std_logic ; --
-- Indication that the current command out from the Cntl FIFO --
-- has a propagated calculation error from the Command Calculator --
--
data2rsc_okay : Out std_logic ; --
-- Indication that the AXI Read transfer completed with OK status --
--
data2rsc_decerr : Out std_logic ; --
-- Indication that the AXI Read transfer completed with decode error status --
--
data2rsc_slverr : Out std_logic ; --
-- Indication that the AXI Read transfer completed with slave error status --
--
data2rsc_cmd_cmplt : Out std_logic ; --
-- Indication by the Data Channel Controller that the --
-- corresponding status is the last status for a parent command --
-- pulled from the command FIFO --
--
rsc2data_ready : in std_logic; --
-- Handshake bit from the Read Status Controller Module indicating --
-- that the it is ready to accept a new Read status transfer --
--
data2rsc_valid : Out std_logic ; --
-- Handshake bit output to the Read Status Controller Module --
-- indicating that the Data Controller has valid tag and status --
-- indicators to transfer --
--
rsc2mstr_halt_pipe : In std_logic --
-- Status Flag indicating the Status Controller needs to stall the command --
-- execution pipe due to a Status flow issue or internal error. Generally --
-- this will occur if the Status FIFO is not being serviced fast enough to --
-- keep ahead of the command execution. --
------------------------------------------------------------------------------------
);
end entity axi_datamover_rddata_cntl;
architecture implementation of axi_datamover_rddata_cntl is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function declaration ----------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: funct_set_cnt_width
--
-- Function Description:
-- Sets a count width based on a fifo depth. A depth of 4 or less
-- is a special case which requires a minimum count width of 3 bits.
--
-------------------------------------------------------------------
function funct_set_cnt_width (fifo_depth : integer) return integer is
Variable temp_cnt_width : Integer := 4;
begin
if (fifo_depth <= 4) then
temp_cnt_width := 3;
elsif (fifo_depth <= 8) then
temp_cnt_width := 4;
elsif (fifo_depth <= 16) then
temp_cnt_width := 5;
elsif (fifo_depth <= 32) then
temp_cnt_width := 6;
else -- fifo depth <= 64
temp_cnt_width := 7;
end if;
Return (temp_cnt_width);
end function funct_set_cnt_width;
-- Constant Declarations --------------------------------------------
Constant OKAY : std_logic_vector(1 downto 0) := "00";
Constant EXOKAY : std_logic_vector(1 downto 0) := "01";
Constant SLVERR : std_logic_vector(1 downto 0) := "10";
Constant DECERR : std_logic_vector(1 downto 0) := "11";
Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0');
Constant USE_SYNC_FIFO : integer := 0;
Constant REG_FIFO_PRIM : integer := 0;
Constant BRAM_FIFO_PRIM : integer := 1;
Constant SRL_FIFO_PRIM : integer := 2;
Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM;
Constant TAG_WIDTH : integer := C_TAG_WIDTH;
Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH;
Constant LEN_WIDTH : integer := 8;
Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant SOF_WIDTH : integer := 1;
Constant EOF_WIDTH : integer := 1;
Constant CMD_CMPLT_WIDTH : integer := 1;
Constant SEQUENTIAL_WIDTH : integer := 1;
Constant CALC_ERR_WIDTH : integer := 1;
Constant DRE_ALIGN_WIDTH : integer := C_ALIGN_WIDTH;
Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field
SADDR_LSB_WIDTH + -- LS Address field width
LEN_WIDTH + -- LEN field
STRB_WIDTH + -- Starting Strobe field
STRB_WIDTH + -- Ending Strobe field
SOF_WIDTH + -- SOF Flag Field
EOF_WIDTH + -- EOF flag field
SEQUENTIAL_WIDTH + -- Calc error flag
CMD_CMPLT_WIDTH + -- Sequential command flag
CALC_ERR_WIDTH + -- Command Complete Flag
DRE_ALIGN_WIDTH + -- DRE Source Align width
DRE_ALIGN_WIDTH ; -- DRE Dest Align width
-- Caution, the INDEX calculations are order dependent so don't rearrange
Constant TAG_STRT_INDEX : integer := 0;
Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH;
Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH;
Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH;
Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH;
Constant SOF_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH;
Constant EOF_STRT_INDEX : integer := SOF_STRT_INDEX + SOF_WIDTH;
Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH;
Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX + SEQUENTIAL_WIDTH;
Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX + CMD_CMPLT_WIDTH;
Constant DRE_SRC_STRT_INDEX : integer := CALC_ERR_STRT_INDEX + CALC_ERR_WIDTH;
Constant DRE_DEST_STRT_INDEX : integer := DRE_SRC_STRT_INDEX + DRE_ALIGN_WIDTH;
Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8;
--Constant ADDR_POSTED_CNTR_WIDTH : integer := 5; -- allows up to 32 entry address queue
Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH);
Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= (others => '0');
Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH);
Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0)
:= (others => '1');
-- Signal Declarations --------------------------------------------
signal sig_good_dbeat : std_logic := '0';
signal sig_get_next_dqual : std_logic := '0';
signal sig_last_mmap_dbeat : std_logic := '0';
signal sig_last_mmap_dbeat_reg : std_logic := '0';
signal sig_data2mmap_ready : std_logic := '0';
signal sig_mmap2data_valid : std_logic := '0';
signal sig_mmap2data_last : std_logic := '0';
signal sig_aposted_cntr_ready : std_logic := '0';
signal sig_ld_new_cmd : std_logic := '0';
signal sig_ld_new_cmd_reg : std_logic := '0';
signal sig_cmd_cmplt_reg : std_logic := '0';
signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_posted : std_logic := '0';
signal sig_addr_chan_rdy : std_logic := '0';
signal sig_dqual_rdy : std_logic := '0';
signal sig_good_mmap_dbeat : std_logic := '0';
signal sig_first_dbeat : std_logic := '0';
signal sig_last_dbeat : std_logic := '0';
signal sig_new_len_eq_0 : std_logic := '0';
signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0');
Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0;
signal sig_dbeat_cntr_eq_0 : std_logic := '0';
signal sig_dbeat_cntr_eq_1 : std_logic := '0';
signal sig_calc_error_reg : std_logic := '0';
signal sig_decerr : std_logic := '0';
signal sig_slverr : std_logic := '0';
signal sig_coelsc_okay_reg : std_logic := '0';
signal sig_coelsc_interr_reg : std_logic := '0';
signal sig_coelsc_decerr_reg : std_logic := '0';
signal sig_coelsc_slverr_reg : std_logic := '0';
signal sig_coelsc_cmd_cmplt_reg : std_logic := '0';
signal sig_coelsc_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_coelsc_reg : std_logic := '0';
signal sig_push_coelsc_reg : std_logic := '0';
signal sig_coelsc_reg_empty : std_logic := '0';
signal sig_coelsc_reg_full : std_logic := '0';
signal sig_rsc2data_ready : std_logic := '0';
signal sig_cmd_cmplt_last_dbeat : std_logic := '0';
signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0');
signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_next_eof_reg : std_logic := '0';
signal sig_next_sequential_reg : std_logic := '0';
signal sig_next_cmd_cmplt_reg : std_logic := '0';
signal sig_next_calc_error_reg : std_logic := '0';
signal sig_next_dre_src_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_next_dre_dest_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_pop_dqual_reg : std_logic := '0';
signal sig_push_dqual_reg : std_logic := '0';
signal sig_dqual_reg_empty : std_logic := '0';
signal sig_dqual_reg_full : std_logic := '0';
signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0');
signal sig_addr_posted_cntr_eq_0 : std_logic := '0';
signal sig_addr_posted_cntr_max : std_logic := '0';
signal sig_decr_addr_posted_cntr : std_logic := '0';
signal sig_incr_addr_posted_cntr : std_logic := '0';
signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_incr_ls_addr_cntr : std_logic := '0';
signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0');
signal sig_no_posted_cmds : std_logic := '0';
Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0);
Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0);
signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0);
signal sig_fifo_next_sadddr_lsb : std_logic_vector(SADDR_LSB_WIDTH-1 downto 0);
signal sig_fifo_next_len : std_logic_vector(LEN_WIDTH-1 downto 0);
signal sig_fifo_next_strt_strb : std_logic_vector(STRB_WIDTH-1 downto 0);
signal sig_fifo_next_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0);
signal sig_fifo_next_drr : std_logic := '0';
signal sig_fifo_next_eof : std_logic := '0';
signal sig_fifo_next_cmd_cmplt : std_logic := '0';
signal sig_fifo_next_calc_error : std_logic := '0';
signal sig_fifo_next_sequential : std_logic := '0';
signal sig_fifo_next_dre_src_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_fifo_next_dre_dest_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0');
signal sig_cmd_fifo_empty : std_logic := '0';
signal sig_fifo_wr_cmd_valid : std_logic := '0';
signal sig_fifo_wr_cmd_ready : std_logic := '0';
signal sig_fifo_rd_cmd_valid : std_logic := '0';
signal sig_fifo_rd_cmd_ready : std_logic := '0';
signal sig_sequential_push : std_logic := '0';
signal sig_clr_dqual_reg : std_logic := '0';
signal sig_advance_pipe : std_logic := '0';
signal sig_halt_reg : std_logic := '0';
signal sig_halt_reg_dly1 : std_logic := '0';
signal sig_halt_reg_dly2 : std_logic := '0';
signal sig_halt_reg_dly3 : std_logic := '0';
signal sig_data2skid_halt : std_logic := '0';
signal sig_rd_xfer_cmplt : std_logic := '0';
begin --(architecture implementation)
-- AXI MMap Data Channel Port assignments
mm2s_rready <= sig_data2mmap_ready;
sig_mmap2data_valid <= mm2s_rvalid ;
sig_mmap2data_last <= mm2s_rlast ;
-- Read Status Block interface
data2rsc_valid <= sig_coelsc_reg_full ;
sig_rsc2data_ready <= rsc2data_ready ;
data2rsc_tag <= sig_coelsc_tag_reg ;
data2rsc_calc_err <= sig_coelsc_interr_reg ;
data2rsc_okay <= sig_coelsc_okay_reg ;
data2rsc_decerr <= sig_coelsc_decerr_reg ;
data2rsc_slverr <= sig_coelsc_slverr_reg ;
data2rsc_cmd_cmplt <= sig_coelsc_cmd_cmplt_reg ;
-- AXI MM2S Stream Channel Port assignments
mm2s_strm_wvalid <= (mm2s_rvalid and
sig_advance_pipe) or
(sig_halt_reg and -- Force tvalid high on a Halt and
sig_dqual_reg_full and -- a transfer is scheduled and
not(sig_no_posted_cmds) and -- there are cmds posted to AXi and
not(sig_calc_error_reg)); -- not a calc error
mm2s_strm_wlast <= (mm2s_rlast and
sig_next_eof_reg) or
(sig_halt_reg and -- Force tvalid high on a Halt and
sig_dqual_reg_full and -- a transfer is scheduled and
not(sig_no_posted_cmds) and -- there are cmds posted to AXi and
not(sig_calc_error_reg)); -- not a calc error;
GEN_MM2S_TKEEP_ENABLE5 : if C_ENABLE_MM2S_TKEEP = 1 generate
begin
-- Generate the Write Strobes for the Stream interface
mm2s_strm_wstrb <= (others => '1')
When (sig_halt_reg = '1') -- Force tstrb high on a Halt
else sig_strt_strb_reg
When (sig_first_dbeat = '1')
Else sig_last_strb_reg
When (sig_last_dbeat = '1')
Else (others => '1');
end generate GEN_MM2S_TKEEP_ENABLE5;
GEN_MM2S_TKEEP_DISABLE5 : if C_ENABLE_MM2S_TKEEP = 0 generate
begin
-- Generate the Write Strobes for the Stream interface
mm2s_strm_wstrb <= (others => '1');
end generate GEN_MM2S_TKEEP_DISABLE5;
-- MM2S Supplimental Controls
mm2s_data2sf_cmd_cmplt <= (mm2s_rlast and
sig_next_cmd_cmplt_reg) or
(sig_halt_reg and
sig_dqual_reg_full and
not(sig_no_posted_cmds) and
not(sig_calc_error_reg));
-- Address Channel Controller synchro pulse input
sig_addr_posted <= addr2data_addr_posted;
-- Request to halt the Address Channel Controller
data2addr_stop_req <= sig_halt_reg;
-- Halted flag to the reset module
data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown
sig_no_posted_cmds and
not(sig_calc_error_reg)) or
(sig_halt_reg_dly3 and -- Shutdown after error trap
sig_calc_error_reg);
-- Read Transfer Completed Status output
mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt;
-- Internal logic ------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RD_CMPLT_FLAG
--
-- Process Description:
-- Implements the status flag indicating that a read data
-- transfer has completed. This is an echo of a rlast assertion
-- and a qualified data beat on the AXI4 Read Data Channel
-- inputs.
--
-------------------------------------------------------------
IMP_RD_CMPLT_FLAG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_rd_xfer_cmplt <= '0';
else
sig_rd_xfer_cmplt <= sig_mmap2data_last and
sig_good_mmap_dbeat;
end if;
end if;
end process IMP_RD_CMPLT_FLAG;
-- General flag for advancing the MMap Read and the Stream
-- data pipelines
sig_advance_pipe <= sig_addr_chan_rdy and
sig_dqual_rdy and
not(sig_coelsc_reg_full) and -- new status back-pressure term
not(sig_calc_error_reg);
-- test for Kevin's status throttle case
sig_data2mmap_ready <= (mm2s_strm_wready or
sig_halt_reg) and -- Ignore the Stream ready on a Halt request
sig_advance_pipe;
sig_good_mmap_dbeat <= sig_data2mmap_ready and
sig_mmap2data_valid;
sig_last_mmap_dbeat <= sig_good_mmap_dbeat and
sig_mmap2data_last;
sig_get_next_dqual <= sig_last_mmap_dbeat;
------------------------------------------------------------
-- Instance: I_READ_MUX
--
-- Description:
-- Instance of the MM2S Read Data Channel Read Mux
--
------------------------------------------------------------
I_READ_MUX : entity axi_datamover_v5_1_10.axi_datamover_rdmux
generic map (
C_SEL_ADDR_WIDTH => C_SEL_ADDR_WIDTH ,
C_MMAP_DWIDTH => C_MMAP_DWIDTH ,
C_STREAM_DWIDTH => C_STREAM_DWIDTH
)
port map (
mmap_read_data_in => mm2s_rdata ,
mux_data_out => mm2s_strm_wdata ,
mstr2data_saddr_lsb => sig_addr_lsb_reg
);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_LAST_DBEAT
--
-- Process Description:
-- This implements a FLOP that creates a pulse
-- indicating the LAST signal for an incoming read data channel
-- has been received. Note that it is possible to have back to
-- back LAST databeats.
--
-------------------------------------------------------------
REG_LAST_DBEAT : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_last_mmap_dbeat_reg <= '0';
else
sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat;
end if;
end if;
end process REG_LAST_DBEAT;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_DATA_CNTL_FIFO
--
-- If Generate Description:
-- Omits the input data control FIFO if the requested FIFO
-- depth is 1. The Data Qualifier Register serves as a
-- 1 deep FIFO by itself.
--
------------------------------------------------------------
GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate
begin
-- Command Calculator Handshake output
data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ;
-- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and
-- pre 13.1 sig_aposted_cntr_ready and
-- pre 13.1 not(rsc2mstr_halt_pipe) and -- The Rd Status Controller is not stalling
-- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is
-- pre 13.1 -- no calculation error being propagated
sig_fifo_wr_cmd_ready <= sig_push_dqual_reg;
sig_fifo_next_tag <= mstr2data_tag ;
sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ;
sig_fifo_next_len <= mstr2data_len ;
sig_fifo_next_strt_strb <= mstr2data_strt_strb ;
sig_fifo_next_last_strb <= mstr2data_last_strb ;
sig_fifo_next_drr <= mstr2data_drr ;
sig_fifo_next_eof <= mstr2data_eof ;
sig_fifo_next_sequential <= mstr2data_sequential ;
sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ;
sig_fifo_next_calc_error <= mstr2data_calc_error ;
sig_fifo_next_dre_src_align <= mstr2data_dre_src_align ;
sig_fifo_next_dre_dest_align <= mstr2data_dre_dest_align ;
end generate GEN_NO_DATA_CNTL_FIFO;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_DATA_CNTL_FIFO
--
-- If Generate Description:
-- Includes the input data control FIFO if the requested
-- FIFO depth is more than 1.
--
------------------------------------------------------------
GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate
begin
-- Command Calculator Handshake output
data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready;
sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ;
sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- pop the fifo when dqual reg is pushed
-- Format the input fifo data word
sig_cmd_fifo_data_in <= mstr2data_dre_dest_align &
mstr2data_dre_src_align &
mstr2data_calc_error &
mstr2data_cmd_cmplt &
mstr2data_sequential &
mstr2data_eof &
mstr2data_drr &
mstr2data_last_strb &
mstr2data_strt_strb &
mstr2data_len &
mstr2data_saddr_lsb &
mstr2data_tag ;
-- Rip the output fifo data word
sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto
TAG_STRT_INDEX);
sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto
SADDR_LSB_STRT_INDEX);
sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto
LEN_STRT_INDEX);
sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto
STRT_STRB_STRT_INDEX);
sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto
LAST_STRB_STRT_INDEX);
sig_fifo_next_drr <= sig_cmd_fifo_data_out(SOF_STRT_INDEX);
sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX);
sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX);
sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX);
sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX);
sig_fifo_next_dre_src_align <= sig_cmd_fifo_data_out((DRE_SRC_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto
DRE_SRC_STRT_INDEX);
sig_fifo_next_dre_dest_align <= sig_cmd_fifo_data_out((DRE_DEST_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto
DRE_DEST_STRT_INDEX);
------------------------------------------------------------
-- Instance: I_DATA_CNTL_FIFO
--
-- Description:
-- Instance for the Command Qualifier FIFO
--
------------------------------------------------------------
I_DATA_CNTL_FIFO : entity axi_datamover_v5_1_10.axi_datamover_fifo
generic map (
C_DWIDTH => DCTL_FIFO_WIDTH ,
C_DEPTH => C_DATA_CNTL_FIFO_DEPTH ,
C_IS_ASYNC => USE_SYNC_FIFO ,
C_PRIM_TYPE => FIFO_PRIM_TYPE ,
C_FAMILY => C_FAMILY
)
port map (
-- Write Clock and reset
fifo_wr_reset => mmap_reset ,
fifo_wr_clk => primary_aclk ,
-- Write Side
fifo_wr_tvalid => sig_fifo_wr_cmd_valid ,
fifo_wr_tready => sig_fifo_wr_cmd_ready ,
fifo_wr_tdata => sig_cmd_fifo_data_in ,
fifo_wr_full => open ,
-- Read Clock and reset
fifo_async_rd_reset => mmap_reset ,
fifo_async_rd_clk => primary_aclk ,
-- Read Side
fifo_rd_tvalid => sig_fifo_rd_cmd_valid ,
fifo_rd_tready => sig_fifo_rd_cmd_ready ,
fifo_rd_tdata => sig_cmd_fifo_data_out ,
fifo_rd_empty => sig_cmd_fifo_empty
);
end generate GEN_DATA_CNTL_FIFO;
-- Data Qualifier Register ------------------------------------
sig_ld_new_cmd <= sig_push_dqual_reg ;
sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0);
sig_dqual_rdy <= sig_dqual_reg_full ;
sig_strt_strb_reg <= sig_next_strt_strb_reg ;
sig_last_strb_reg <= sig_next_last_strb_reg ;
sig_tag_reg <= sig_next_tag_reg ;
sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ;
sig_calc_error_reg <= sig_next_calc_error_reg ;
-- Flag indicating that there are no posted commands to AXI
sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0;
-- new for no bubbles between child requests
sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified
sig_last_dbeat and -- last data beat of transfer
sig_next_sequential_reg;-- next queued command is sequential
-- to the current command
-- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or
-- pre 13.1 sig_dqual_reg_empty) and
-- pre 13.1 sig_fifo_rd_cmd_valid and
-- pre 13.1 sig_aposted_cntr_ready and
-- pre 13.1 not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not
-- stalling the command execution pipe
sig_push_dqual_reg <= (sig_sequential_push or
sig_dqual_reg_empty) and
sig_fifo_rd_cmd_valid and
sig_aposted_cntr_ready and
not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated
not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not
-- stalling the command execution pipe
sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and
sig_get_next_dqual and
sig_dqual_reg_full ;
-- new for no bubbles between child requests
sig_clr_dqual_reg <= mmap_reset or
(sig_pop_dqual_reg and
not(sig_push_dqual_reg));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_DQUAL_REG
--
-- Process Description:
-- This process implements a register for the Data
-- Control and qualifiers. It operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_DQUAL_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (sig_clr_dqual_reg = '1') then
sig_next_tag_reg <= (others => '0');
sig_next_strt_strb_reg <= (others => '0');
sig_next_last_strb_reg <= (others => '0');
sig_next_eof_reg <= '0';
sig_next_cmd_cmplt_reg <= '0';
sig_next_sequential_reg <= '0';
sig_next_calc_error_reg <= '0';
sig_next_dre_src_align_reg <= (others => '0');
sig_next_dre_dest_align_reg <= (others => '0');
sig_dqual_reg_empty <= '1';
sig_dqual_reg_full <= '0';
elsif (sig_push_dqual_reg = '1') then
sig_next_tag_reg <= sig_fifo_next_tag ;
sig_next_strt_strb_reg <= sig_fifo_next_strt_strb ;
sig_next_last_strb_reg <= sig_fifo_next_last_strb ;
sig_next_eof_reg <= sig_fifo_next_eof ;
sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ;
sig_next_sequential_reg <= sig_fifo_next_sequential ;
sig_next_calc_error_reg <= sig_fifo_next_calc_error ;
sig_next_dre_src_align_reg <= sig_fifo_next_dre_src_align ;
sig_next_dre_dest_align_reg <= sig_fifo_next_dre_dest_align ;
sig_dqual_reg_empty <= '0';
sig_dqual_reg_full <= '1';
else
null; -- don't change state
end if;
end if;
end process IMP_DQUAL_REG;
-- Address LS Cntr logic --------------------------
sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr);
sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH);
sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_ADDR_LSB_CNTR
--
-- Process Description:
-- Implements the LS Address Counter used for controlling
-- the Read Data Mux during Burst transfers
--
-------------------------------------------------------------
DO_ADDR_LSB_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
(sig_pop_dqual_reg = '1' and
sig_push_dqual_reg = '0')) then -- Clear the Counter
sig_ls_addr_cntr <= (others => '0');
elsif (sig_push_dqual_reg = '1') then -- Load the Counter
sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb);
elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter
sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd;
else
null; -- Hold Current value
end if;
end if;
end process DO_ADDR_LSB_CNTR;
----- Address posted Counter logic --------------------------------
sig_incr_addr_posted_cntr <= sig_addr_posted ;
sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ;
sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max);
sig_addr_posted_cntr_eq_0 <= '1'
when (sig_addr_posted_cntr = ADDR_POSTED_ZERO)
Else '0';
sig_addr_posted_cntr_max <= '1'
when (sig_addr_posted_cntr = ADDR_POSTED_MAX)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ADDR_POSTED_FIFO_CNTR
--
-- Process Description:
-- This process implements a register for the Address
-- Posted FIFO that operates like a 1 deep Sync FIFO.
--
-------------------------------------------------------------
IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_addr_posted_cntr <= ADDR_POSTED_ZERO;
elsif (sig_incr_addr_posted_cntr = '1' and
sig_decr_addr_posted_cntr = '0' and
sig_addr_posted_cntr_max = '0') then
sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ;
elsif (sig_incr_addr_posted_cntr = '0' and
sig_decr_addr_posted_cntr = '1' and
sig_addr_posted_cntr_eq_0 = '0') then
sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ;
else
null; -- don't change state
end if;
end if;
end process IMP_ADDR_POSTED_FIFO_CNTR;
------- First/Middle/Last Dbeat detirmination -------------------
sig_new_len_eq_0 <= '1'
When (sig_fifo_next_len = LEN_OF_ZERO)
else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_FIRST_MID_LAST
--
-- Process Description:
-- Implements the detection of the First/Mid/Last databeat of
-- a transfer.
--
-------------------------------------------------------------
DO_FIRST_MID_LAST : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_first_dbeat <= '0';
sig_last_dbeat <= '0';
elsif (sig_ld_new_cmd = '1') then
sig_first_dbeat <= not(sig_new_len_eq_0);
sig_last_dbeat <= sig_new_len_eq_0;
Elsif (sig_dbeat_cntr_eq_1 = '1' and
sig_good_mmap_dbeat = '1') Then
sig_first_dbeat <= '0';
sig_last_dbeat <= '1';
Elsif (sig_dbeat_cntr_eq_0 = '0' and
sig_dbeat_cntr_eq_1 = '0' and
sig_good_mmap_dbeat = '1') Then
sig_first_dbeat <= '0';
sig_last_dbeat <= '0';
else
null; -- hols current state
end if;
end if;
end process DO_FIRST_MID_LAST;
------- Data Controller Halted Indication -------------------------------
data2all_dcntlr_halted <= sig_no_posted_cmds and
(sig_calc_error_reg or
rst2data_stop_request);
------- Data Beat counter logic -------------------------------
sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr);
sig_dbeat_cntr_eq_0 <= '1'
when (sig_dbeat_cntr_int = 0)
Else '0';
sig_dbeat_cntr_eq_1 <= '1'
when (sig_dbeat_cntr_int = 1)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: DO_DBEAT_CNTR
--
-- Process Description:
--
--
-------------------------------------------------------------
DO_DBEAT_CNTR : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_dbeat_cntr <= (others => '0');
elsif (sig_ld_new_cmd = '1') then
sig_dbeat_cntr <= unsigned(sig_fifo_next_len);
Elsif (sig_good_mmap_dbeat = '1' and
sig_dbeat_cntr_eq_0 = '0') Then
sig_dbeat_cntr <= sig_dbeat_cntr-1;
else
null; -- Hold current state
end if;
end if;
end process DO_DBEAT_CNTR;
------ Read Response Status Logic ------------------------------
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: LD_NEW_CMD_PULSE
--
-- Process Description:
-- Generate a 1 Clock wide pulse when a new command has been
-- loaded into the Command Register
--
-------------------------------------------------------------
LD_NEW_CMD_PULSE : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
sig_ld_new_cmd_reg = '1') then
sig_ld_new_cmd_reg <= '0';
elsif (sig_ld_new_cmd = '1') then
sig_ld_new_cmd_reg <= '1';
else
null; -- hold State
end if;
end if;
end process LD_NEW_CMD_PULSE;
sig_pop_coelsc_reg <= sig_coelsc_reg_full and
sig_rsc2data_ready ;
sig_push_coelsc_reg <= (sig_good_mmap_dbeat and
not(sig_coelsc_reg_full)) or
(sig_ld_new_cmd_reg and
sig_calc_error_reg) ;
sig_cmd_cmplt_last_dbeat <= (sig_cmd_cmplt_reg and sig_mmap2data_last) or
sig_calc_error_reg;
------- Read Response Decode
-- Decode the AXI MMap Read Response
sig_decerr <= '1'
When (mm2s_rresp = DECERR and mm2s_rvalid = '1')
Else '0';
sig_slverr <= '1'
When (mm2s_rresp = SLVERR and mm2s_rvalid = '1')
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: RD_RESP_COELESC_REG
--
-- Process Description:
-- Implement the Read error/status coelescing register.
-- Once a bit is set it will remain set until the overall
-- status is written to the Status Controller.
-- Tag bits are just registered at each valid dbeat.
--
-------------------------------------------------------------
STATUS_COELESC_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1' or
(sig_pop_coelsc_reg = '1' and -- Added more qualification here for simultaneus
sig_push_coelsc_reg = '0')) then -- push and pop condition per CR590244
sig_coelsc_tag_reg <= (others => '0');
sig_coelsc_cmd_cmplt_reg <= '0';
sig_coelsc_interr_reg <= '0';
sig_coelsc_decerr_reg <= '0';
sig_coelsc_slverr_reg <= '0';
sig_coelsc_okay_reg <= '1'; -- set back to default of "OKAY"
sig_coelsc_reg_full <= '0';
sig_coelsc_reg_empty <= '1';
Elsif (sig_push_coelsc_reg = '1') Then
sig_coelsc_tag_reg <= sig_tag_reg;
sig_coelsc_cmd_cmplt_reg <= sig_cmd_cmplt_last_dbeat;
sig_coelsc_interr_reg <= sig_calc_error_reg or
sig_coelsc_interr_reg;
sig_coelsc_decerr_reg <= sig_decerr or sig_coelsc_decerr_reg;
sig_coelsc_slverr_reg <= sig_slverr or sig_coelsc_slverr_reg;
sig_coelsc_okay_reg <= not(sig_decerr or
sig_slverr or
sig_calc_error_reg );
sig_coelsc_reg_full <= sig_cmd_cmplt_last_dbeat;
sig_coelsc_reg_empty <= not(sig_cmd_cmplt_last_dbeat);
else
null; -- hold current state
end if;
end if;
end process STATUS_COELESC_REG;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_NO_DRE
--
-- If Generate Description:
-- Ties off DRE Control signals to logic low when DRE is
-- omitted from the MM2S functionality.
--
--
------------------------------------------------------------
GEN_NO_DRE : if (C_INCLUDE_DRE = 0) generate
begin
mm2s_dre_new_align <= '0';
mm2s_dre_use_autodest <= '0';
mm2s_dre_src_align <= (others => '0');
mm2s_dre_dest_align <= (others => '0');
mm2s_dre_flush <= '0';
end generate GEN_NO_DRE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_INCLUDE_DRE_CNTLS
--
-- If Generate Description:
-- Implements the DRE Control logic when MM2S DRE is enabled.
--
-- - The DRE needs to have forced alignment at a SOF assertion
--
--
------------------------------------------------------------
GEN_INCLUDE_DRE_CNTLS : if (C_INCLUDE_DRE = 1) generate
-- local signals
signal lsig_s_h_dre_autodest : std_logic := '0';
signal lsig_s_h_dre_new_align : std_logic := '0';
begin
mm2s_dre_new_align <= lsig_s_h_dre_new_align;
-- Autodest is asserted on a new parent command and the
-- previous parent command was not delimited with a EOF
mm2s_dre_use_autodest <= lsig_s_h_dre_autodest;
-- Assign the DRE Source and Destination Alignments
-- Only used when mm2s_dre_new_align is asserted
mm2s_dre_src_align <= sig_next_dre_src_align_reg ;
mm2s_dre_dest_align <= sig_next_dre_dest_align_reg;
-- Assert the Flush flag when the MMap Tlast input of the current transfer is
-- asserted and the next transfer is not sequential and not the last
-- transfer of a packet.
mm2s_dre_flush <= mm2s_rlast and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_S_H_NEW_ALIGN
--
-- Process Description:
-- Generates the new alignment command flag to the DRE.
--
-------------------------------------------------------------
IMP_S_H_NEW_ALIGN : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_s_h_dre_new_align <= '0';
Elsif (sig_push_dqual_reg = '1' and
sig_fifo_next_drr = '1') Then
lsig_s_h_dre_new_align <= '1';
elsif (sig_pop_dqual_reg = '1') then
lsig_s_h_dre_new_align <= sig_next_cmd_cmplt_reg and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
Elsif (sig_good_mmap_dbeat = '1') Then
lsig_s_h_dre_new_align <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_S_H_NEW_ALIGN;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_S_H_AUTODEST
--
-- Process Description:
-- Generates the control for the DRE indicating whether the
-- DRE destination alignment should be derived from the write
-- strobe stat of the last completed data-beat to the AXI
-- stream output.
--
-------------------------------------------------------------
IMP_S_H_AUTODEST : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
lsig_s_h_dre_autodest <= '0';
Elsif (sig_push_dqual_reg = '1' and
sig_fifo_next_drr = '1') Then
lsig_s_h_dre_autodest <= '0';
elsif (sig_pop_dqual_reg = '1') then
lsig_s_h_dre_autodest <= sig_next_cmd_cmplt_reg and
not(sig_next_sequential_reg) and
not(sig_next_eof_reg);
Elsif (lsig_s_h_dre_new_align = '1' and
sig_good_mmap_dbeat = '1') Then
lsig_s_h_dre_autodest <= '0';
else
null; -- hold current state
end if;
end if;
end process IMP_S_H_AUTODEST;
end generate GEN_INCLUDE_DRE_CNTLS;
------- Soft Shutdown Logic -------------------------------
-- Assign the output port skid buf control
data2skid_halt <= sig_data2skid_halt;
-- Create a 1 clock wide pulse to tell the output
-- stream skid buffer to shut down its outputs
sig_data2skid_halt <= sig_halt_reg_dly2 and
not(sig_halt_reg_dly3);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_HALT_REQ_REG
--
-- Process Description:
-- Implements the flop for capturing the Halt request from
-- the Reset module.
--
-------------------------------------------------------------
IMP_HALT_REQ_REG : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_halt_reg <= '0';
elsif (rst2data_stop_request = '1') then
sig_halt_reg <= '1';
else
null; -- Hold current State
end if;
end if;
end process IMP_HALT_REQ_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_HALT_REQ_REG_DLY
--
-- Process Description:
-- Implements the flops for delaying the halt request by 3
-- clocks to allow the Address Controller to halt before the
-- Data Contoller can safely indicate it has exhausted all
-- transfers committed to the AXI Address Channel by the Address
-- Controller.
--
-------------------------------------------------------------
IMP_HALT_REQ_REG_DLY : process (primary_aclk)
begin
if (primary_aclk'event and primary_aclk = '1') then
if (mmap_reset = '1') then
sig_halt_reg_dly1 <= '0';
sig_halt_reg_dly2 <= '0';
sig_halt_reg_dly3 <= '0';
else
sig_halt_reg_dly1 <= sig_halt_reg;
sig_halt_reg_dly2 <= sig_halt_reg_dly1;
sig_halt_reg_dly3 <= sig_halt_reg_dly2;
end if;
end if;
end process IMP_HALT_REQ_REG_DLY;
end implementation;
|
gpl-3.0
|
nickg/nvc
|
test/regress/vests15.vhd
|
1
|
4784
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2959.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
package c02s03b00x00p02n01i02959pkg is
FUNCTION boo ( PARM_VAL : bit_vector) RETURN bit;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN bit_vector;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN boolean;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN character;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN integer;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN real;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN string;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN time;
end c02s03b00x00p02n01i02959pkg;
package body c02s03b00x00p02n01i02959pkg is
FUNCTION boo ( PARM_VAL : bit_vector) RETURN time IS
BEGIN
assert false report "boo with TIME returned" severity note;
RETURN 10 ns;
END;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN string IS
BEGIN
assert false report "boo with STRING returned" severity note;
RETURN "STRING";
END;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN real IS
BEGIN
assert false report "boo with REAL returned" severity note;
RETURN 10.01;
END;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN integer IS
BEGIN
assert false report "boo with INTEGER returned" severity note;
RETURN 55;
END;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN character IS
BEGIN
assert false report "boo with CHARACTER returned" severity note;
RETURN 'Z';
END;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN boolean IS
BEGIN
assert false report "boo with BOOLEAN returned" severity note;
RETURN TRUE;
END;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN bit_vector IS
BEGIN
assert false report "boo with BIT_VECTOR returned" severity
note;
RETURN "1010";
END;
FUNCTION boo ( PARM_VAL : bit_vector) RETURN bit IS
BEGIN
assert false report "boo with BIT returned" severity note;
RETURN '1';
END;
end c02s03b00x00p02n01i02959pkg;
ENTITY vests15 IS
PORT (bb: INOUT bit;
bv: INOUT bit_vector(0 TO 3);
bo: INOUT boolean;
cc: INOUT character;
ii: INOUT integer;
rr: INOUT real;
ss: INOUT string(1 TO 6);
tt: INOUT time);
SUBTYPE bv_4 IS bit_vector(1 TO 4);
SUBTYPE bv_6 IS bit_vector(1 TO 6);
FUNCTION foo ( PARM_VAL : bv_4) RETURN bit_vector IS
BEGIN
assert false report "function foo in entity e" severity note;
RETURN PARM_VAL;
END;
END vests15;
use work.c02s03b00x00p02n01i02959pkg.all;
ARCHITECTURE c02s03b00x00p02n01i02959arch OF vests15 IS
SIGNAL c1 : bv_4;
BEGIN
TESTING: PROCESS
BEGIN
WAIT FOR 1 ns;
c1 <= boo ( bv_6'(OTHERS => '1'));
bb <= boo (c1);
bv <= boo (c1);
bo <= boo (c1);
cc <= boo (c1);
ii <= boo (c1);
rr <= boo (c1);
ss <= boo (c1);
tt <= boo (c1);
WAIT FOR 1 ns;
assert NOT( (c1 = "1010") AND
(bb = '1') AND
(bv = "1010") AND
(bo = TRUE) AND
(cc = 'Z') AND
(ii = 55) AND
(rr = 10.01) AND
(ss = "STRING") AND
(tt = 10 ns))
report "***PASSED TEST: c02s03b00x00p02n01i02959"
severity NOTE;
assert ( (c1 = "1010") AND
(bb = '1') AND
(bv = "1010") AND
(bo = TRUE) AND
(cc = 'Z') AND
(ii = 55) AND
(rr = 10.01) AND
(ss = "STRING") AND
(tt = 10 ns))
report "***FAILED TEST: c02s03b00x00p02n01i02959 - Overloaded functions test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s03b00x00p02n01i02959arch;
|
gpl-3.0
|
nickg/nvc
|
test/regress/wait11.vhd
|
5
|
370
|
entity wait11 is
end entity;
architecture test of wait11 is
begin
process is
begin
wait for 0.1 ns;
assert now = 100 ps;
wait for 0.5 ns;
assert now = 600 ps;
wait for 1 ns / 10.0;
assert now = 700 ps;
wait for 10 ps * 10.0;
assert now = 800 ps;
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/regress/bitvec.vhd
|
2
|
748
|
entity bitvec is
end entity;
architecture test of bitvec is
function get_bitvec(x, y : integer) return bit_vector is
variable r : bit_vector(x to y) := "00";
begin
return r;
end function;
begin
process is
variable b : bit_vector(3 downto 0);
variable n : integer;
begin
b := "1101";
n := 2;
wait for 1 ns;
assert not b = "0010";
assert (b and "1010") = "1000";
assert (b or "0110") = "1111";
assert (b xor "0111") = "1010";
assert (b xnor "0111") = "0101";
assert (b nand "1010") = "0111";
assert (b nor "0110") = "0000";
assert get_bitvec(1, n) = "00";
wait;
end process;
end architecture;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/Hist_Stretch/Hist_Stretch.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_bram_ctrl_v4_0/hdl/vhdl/lite_ecc_reg.vhd
|
7
|
68156
|
-------------------------------------------------------------------------------
-- lite_ecc_reg.vhd
-------------------------------------------------------------------------------
--
--
-- (c) Copyright [2010 - 2013] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--
-------------------------------------------------------------------------------
-- Filename: lite_ecc_reg.vhd
--
-- Description: This module contains the register components for the
-- ECC status & control data when enabled.
--
-- VHDL-Standard: VHDL'93
--
-------------------------------------------------------------------------------
-- Structure:
-- axi_bram_ctrl.vhd (v1_03_a)
-- |
-- |-- full_axi.vhd
-- | -- sng_port_arb.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- wr_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- | -- rd_chnl.vhd
-- | -- wrap_brst.vhd
-- | -- ua_narrow.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- checkbit_handler_64.vhd
-- | -- (same helper components as checkbit_handler)
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
-- | -- correct_one_bit_64.vhd
-- | -- ecc_gen.vhd
-- |
-- |-- axi_lite.vhd
-- | -- lite_ecc_reg.vhd
-- | -- axi_lite_if.vhd
-- | -- checkbit_handler.vhd
-- | -- xor18.vhd
-- | -- parity.vhd
-- | -- correct_one_bit.vhd
--
--
--
-------------------------------------------------------------------------------
--
-- History:
--
-- ^^^^^^
-- JLJ 2/2/2011 v1.03a
-- ~~~~~~
-- Migrate to v1.03a.
-- Plus minor code cleanup.
-- Remove library version # dependency. Replace with work library.
-- ^^^^^^
-- JLJ 2/17/2011 v1.03a
-- ~~~~~~
-- Add ECC support for 128-bit BRAM data width.
-- Clean-up XST warnings. Add C_BRAM_ADDR_ADJUST_FACTOR parameter and
-- modify BRAM address registers.
-- ^^^^^^
--
--
-------------------------------------------------------------------------------
-- Library declarations
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library work;
use work.axi_lite_if;
use work.axi_bram_ctrl_funcs.all;
------------------------------------------------------------------------------
entity lite_ecc_reg is
generic (
C_S_AXI_PROTOCOL : string := "AXI4";
-- Used in this module to differentiate timing for error capture
C_S_AXI_ADDR_WIDTH : integer := 32;
-- Width of AXI address bus (in bits)
C_S_AXI_DATA_WIDTH : integer := 32;
-- Width of AXI data bus (in bits)
C_SINGLE_PORT_BRAM : INTEGER := 1;
-- Enable single port usage of BRAM
C_BRAM_ADDR_ADJUST_FACTOR : integer := 2;
-- Adjust factor to BRAM address width based on data width (in bits)
-- AXI-Lite Register Parameters
C_S_AXI_CTRL_ADDR_WIDTH : integer := 32;
-- Width of AXI-Lite address bus (in bits)
C_S_AXI_CTRL_DATA_WIDTH : integer := 32;
-- Width of AXI-Lite data bus (in bits)
-- ECC Parameters
C_ECC_WIDTH : integer := 8;
-- Width of ECC data vector
C_FAULT_INJECT : integer := 0;
-- Enable fault injection registers
C_ECC_ONOFF_RESET_VALUE : integer := 1;
-- By default, ECC checking is on (can disable ECC @ reset by setting this to 0)
-- Hard coded parameters at top level.
-- Note: Kept in design for future enhancement.
C_ENABLE_AXI_CTRL_REG_IF : integer := 0;
-- By default the ECC AXI-Lite register interface is enabled
C_CE_FAILING_REGISTERS : integer := 0;
-- Enable CE (correctable error) failing registers
C_UE_FAILING_REGISTERS : integer := 0;
-- Enable UE (uncorrectable error) failing registers
C_ECC_STATUS_REGISTERS : integer := 0;
-- Enable ECC status registers
C_ECC_ONOFF_REGISTER : integer := 0;
-- Enable ECC on/off control register
C_CE_COUNTER_WIDTH : integer := 0
-- Selects CE counter width/threshold to assert ECC_Interrupt
);
port (
-- AXI Clock and Reset
S_AXI_AClk : in std_logic;
S_AXI_AResetn : in std_logic;
-- AXI-Lite Clock and Reset
-- Note: AXI-Lite Control IF and AXI IF share the same clock.
-- S_AXI_CTRL_AClk : in std_logic;
-- S_AXI_CTRL_AResetn : in std_logic;
Interrupt : out std_logic := '0';
ECC_UE : out std_logic := '0';
-- *** AXI-Lite ECC Register Interface Signals ***
-- All synchronized to S_AXI_CTRL_AClk
-- AXI-Lite Write Address Channel Signals (AW)
AXI_CTRL_AWVALID : in std_logic;
AXI_CTRL_AWREADY : out std_logic;
AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0);
-- AXI-Lite Write Data Channel Signals (W)
AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0);
AXI_CTRL_WVALID : in std_logic;
AXI_CTRL_WREADY : out std_logic;
-- AXI-Lite Write Data Response Channel Signals (B)
AXI_CTRL_BRESP : out std_logic_vector(1 downto 0);
AXI_CTRL_BVALID : out std_logic;
AXI_CTRL_BREADY : in std_logic;
-- AXI-Lite Read Address Channel Signals (AR)
AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0);
AXI_CTRL_ARVALID : in std_logic;
AXI_CTRL_ARREADY : out std_logic;
-- AXI-Lite Read Data Channel Signals (R)
AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0);
AXI_CTRL_RRESP : out std_logic_vector(1 downto 0);
AXI_CTRL_RVALID : out std_logic;
AXI_CTRL_RREADY : in std_logic;
-- *** Memory Controller Interface Signals ***
-- All synchronized to S_AXI_AClk
Enable_ECC : out std_logic;
-- Indicates if and when ECC is enabled
FaultInjectClr : in std_logic;
-- Clear for Fault Inject Registers
CE_Failing_We : in std_logic;
-- WE for CE Failing Registers
-- UE_Failing_We : in std_logic;
-- WE for CE Failing Registers
CE_CounterReg_Inc : in std_logic;
-- Increment CE Counter Register
Sl_CE : in std_logic;
-- Correctable Error Flag
Sl_UE : in std_logic;
-- Uncorrectable Error Flag
BRAM_Addr_A : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR); -- v1.03a
BRAM_Addr_B : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR); -- v1.03a
BRAM_Addr_En : in std_logic;
Active_Wr : in std_logic;
-- BRAM_RdData_A : in std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1);
-- BRAM_RdData_B : in std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1);
-- Outputs
FaultInjectData : out std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1);
FaultInjectECC : out std_logic_vector (0 to C_ECC_WIDTH-1)
);
end entity lite_ecc_reg;
-------------------------------------------------------------------------------
architecture implementation of lite_ecc_reg is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Constants
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Constants
-------------------------------------------------------------------------------
constant C_RESET_ACTIVE : std_logic := '0';
constant IF_IS_AXI4 : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4"));
constant IF_IS_AXI4LITE : boolean := (Equal_String (C_S_AXI_PROTOCOL, "AXI4LITE"));
-- Start LMB BRAM v3.00a HDL
constant C_HAS_FAULT_INJECT : boolean := C_FAULT_INJECT = 1;
constant C_HAS_CE_FAILING_REGISTERS : boolean := C_CE_FAILING_REGISTERS = 1;
constant C_HAS_UE_FAILING_REGISTERS : boolean := C_UE_FAILING_REGISTERS = 1;
constant C_HAS_ECC_STATUS_REGISTERS : boolean := C_ECC_STATUS_REGISTERS = 1;
constant C_HAS_ECC_ONOFF : boolean := C_ECC_ONOFF_REGISTER = 1;
constant C_HAS_CE_COUNTER : boolean := C_CE_COUNTER_WIDTH /= 0;
-- Register accesses
-- Register addresses use word address, i.e 2 LSB don't care
-- Don't decode MSB, i.e. mirrorring of registers in address space of module
constant C_REGADDR_WIDTH : integer := 8;
constant C_ECC_StatusReg : std_logic_vector := "00000000"; -- 0x0 = 00 0000 00
constant C_ECC_EnableIRQReg : std_logic_vector := "00000001"; -- 0x4 = 00 0000 01
constant C_ECC_OnOffReg : std_logic_vector := "00000010"; -- 0x8 = 00 0000 10
constant C_CE_CounterReg : std_logic_vector := "00000011"; -- 0xC = 00 0000 11
constant C_CE_FailingData_31_0 : std_logic_vector := "01000000"; -- 0x100 = 01 0000 00
constant C_CE_FailingData_63_31 : std_logic_vector := "01000001"; -- 0x104 = 01 0000 01
constant C_CE_FailingData_95_64 : std_logic_vector := "01000010"; -- 0x108 = 01 0000 10
constant C_CE_FailingData_127_96 : std_logic_vector := "01000011"; -- 0x10C = 01 0000 11
constant C_CE_FailingECC : std_logic_vector := "01100000"; -- 0x180 = 01 1000 00
constant C_CE_FailingAddress_31_0 : std_logic_vector := "01110000"; -- 0x1C0 = 01 1100 00
constant C_CE_FailingAddress_63_32 : std_logic_vector := "01110001"; -- 0x1C4 = 01 1100 01
constant C_UE_FailingData_31_0 : std_logic_vector := "10000000"; -- 0x200 = 10 0000 00
constant C_UE_FailingData_63_31 : std_logic_vector := "10000001"; -- 0x204 = 10 0000 01
constant C_UE_FailingData_95_64 : std_logic_vector := "10000010"; -- 0x208 = 10 0000 10
constant C_UE_FailingData_127_96 : std_logic_vector := "10000011"; -- 0x20C = 10 0000 11
constant C_UE_FailingECC : std_logic_vector := "10100000"; -- 0x280 = 10 1000 00
constant C_UE_FailingAddress_31_0 : std_logic_vector := "10110000"; -- 0x2C0 = 10 1100 00
constant C_UE_FailingAddress_63_32 : std_logic_vector := "10110000"; -- 0x2C4 = 10 1100 00
constant C_FaultInjectData_31_0 : std_logic_vector := "11000000"; -- 0x300 = 11 0000 00
constant C_FaultInjectData_63_32 : std_logic_vector := "11000001"; -- 0x304 = 11 0000 01
constant C_FaultInjectData_95_64 : std_logic_vector := "11000010"; -- 0x308 = 11 0000 10
constant C_FaultInjectData_127_96 : std_logic_vector := "11000011"; -- 0x30C = 11 0000 11
constant C_FaultInjectECC : std_logic_vector := "11100000"; -- 0x380 = 11 1000 00
-- ECC Status register bit positions
constant C_ECC_STATUS_CE : natural := 30;
constant C_ECC_STATUS_UE : natural := 31;
constant C_ECC_STATUS_WIDTH : natural := 2;
constant C_ECC_ENABLE_IRQ_CE : natural := 30;
constant C_ECC_ENABLE_IRQ_UE : natural := 31;
constant C_ECC_ENABLE_IRQ_WIDTH : natural := 2;
constant C_ECC_ON_OFF_WIDTH : natural := 1;
-- End LMB BRAM v3.00a HDL
constant MSB_ZERO : std_logic_vector (31 downto C_S_AXI_ADDR_WIDTH) := (others => '0');
-------------------------------------------------------------------------------
-- Signals
-------------------------------------------------------------------------------
signal S_AXI_AReset : std_logic;
-- Start LMB BRAM v3.00a HDL
-- Read and write data to internal registers
constant C_DWIDTH : integer := 32;
signal RegWrData : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
signal RegWrData_i : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
--signal RegWrData_d1 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
--signal RegWrData_d2 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
signal RegRdData : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
signal RegRdData_i : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
--signal RegRdData_d1 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
--signal RegRdData_d2 : std_logic_vector(0 to C_DWIDTH-1) := (others => '0');
signal RegAddr : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0');
signal RegAddr_i : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0');
--signal RegAddr_d1 : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0');
--signal RegAddr_d2 : std_logic_vector(0 to C_REGADDR_WIDTH-1) := (others => '0');
signal RegWr : std_logic;
signal RegWr_i : std_logic;
--signal RegWr_d1 : std_logic;
--signal RegWr_d2 : std_logic;
-- Fault Inject Register
signal FaultInjectData_WE_0 : std_logic := '0';
signal FaultInjectData_WE_1 : std_logic := '0';
signal FaultInjectData_WE_2 : std_logic := '0';
signal FaultInjectData_WE_3 : std_logic := '0';
signal FaultInjectECC_WE : std_logic := '0';
--signal FaultInjectClr : std_logic := '0';
-- Correctable Error First Failing Register
signal CE_FailingAddress : std_logic_vector(0 to 31) := (others => '0');
signal CE_Failing_We_i : std_logic := '0';
-- signal CE_FailingData : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1) := (others => '0');
-- signal CE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31);
-- Uncorrectable Error First Failing Register
-- signal UE_FailingAddress : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1) := (others => '0');
-- signal UE_Failing_We_i : std_logic := '0';
-- signal UE_FailingData : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1) := (others => '0');
-- signal UE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31) := (others => '0');
-- ECC Status and Control register
signal ECC_StatusReg : std_logic_vector(32-C_ECC_STATUS_WIDTH to 31) := (others => '0');
signal ECC_StatusReg_WE : std_logic_vector(32-C_ECC_STATUS_WIDTH to 31) := (others => '0');
signal ECC_EnableIRQReg : std_logic_vector(32-C_ECC_ENABLE_IRQ_WIDTH to 31) := (others => '0');
signal ECC_EnableIRQReg_WE : std_logic := '0';
-- ECC On/Off Control register
signal ECC_OnOffReg : std_logic_vector(32-C_ECC_ON_OFF_WIDTH to 31) := (others => '0');
signal ECC_OnOffReg_WE : std_logic := '0';
-- Correctable Error Counter
signal CE_CounterReg : std_logic_vector(32-C_CE_COUNTER_WIDTH to 31) := (others => '0');
signal CE_CounterReg_WE : std_logic := '0';
signal CE_CounterReg_Inc_i : std_logic := '0';
-- End LMB BRAM v3.00a HDL
signal BRAM_Addr_A_d1 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a
signal BRAM_Addr_A_d2 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a
signal FailingAddr_Ld : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
signal axi_lite_wstrb_int : std_logic_vector (C_S_AXI_CTRL_DATA_WIDTH/8-1 downto 0) := (others => '0');
signal Enable_ECC_i : std_logic := '0';
signal ECC_UE_i : std_logic := '0';
signal FaultInjectData_i : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1) := (others => '0');
signal FaultInjectECC_i : std_logic_vector (0 to C_ECC_WIDTH-1) := (others => '0');
-------------------------------------------------------------------------------
-- Architecture Body
-------------------------------------------------------------------------------
begin
FaultInjectData <= FaultInjectData_i;
FaultInjectECC <= FaultInjectECC_i;
-- Reserve for future support.
-- S_AXI_CTRL_AReset <= not (S_AXI_CTRL_AResetn);
S_AXI_AReset <= not (S_AXI_AResetn);
---------------------------------------------------------------------------
-- Instance: I_LITE_ECC_REG
--
-- Description:
-- This module is for the AXI-Lite ECC registers.
--
-- Responsible for all AXI-Lite communication to the
-- ECC register bank. Provides user interface signals
-- to rest of AXI BRAM controller IP core for ECC functionality
-- and control.
--
-- Manages AXI-Lite write address (AW) and read address (AR),
-- write data (W), write response (B), and read data (R) channels.
--
-- Synchronized to AXI-Lite clock and reset.
-- All RegWr, RegWrData, RegAddr, RegRdData must be synchronized to
-- the AXI clock.
--
---------------------------------------------------------------------------
I_AXI_LITE_IF : entity work.axi_lite_if
generic map(
C_S_AXI_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH,
C_S_AXI_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH,
C_REGADDR_WIDTH => C_REGADDR_WIDTH,
C_DWIDTH => C_DWIDTH
)
port map (
-- Reserve for future support.
-- LMB_Clk => S_AXI_CTRL_AClk,
-- LMB_Rst => S_AXI_CTRL_AReset,
LMB_Clk => S_AXI_AClk,
LMB_Rst => S_AXI_AReset,
S_AXI_AWADDR => AXI_CTRL_AWADDR,
S_AXI_AWVALID => AXI_CTRL_AWVALID,
S_AXI_AWREADY => AXI_CTRL_AWREADY,
S_AXI_WDATA => AXI_CTRL_WDATA,
S_AXI_WSTRB => axi_lite_wstrb_int,
S_AXI_WVALID => AXI_CTRL_WVALID,
S_AXI_WREADY => AXI_CTRL_WREADY,
S_AXI_BRESP => AXI_CTRL_BRESP,
S_AXI_BVALID => AXI_CTRL_BVALID,
S_AXI_BREADY => AXI_CTRL_BREADY,
S_AXI_ARADDR => AXI_CTRL_ARADDR,
S_AXI_ARVALID => AXI_CTRL_ARVALID,
S_AXI_ARREADY => AXI_CTRL_ARREADY,
S_AXI_RDATA => AXI_CTRL_RDATA,
S_AXI_RRESP => AXI_CTRL_RRESP,
S_AXI_RVALID => AXI_CTRL_RVALID,
S_AXI_RREADY => AXI_CTRL_RREADY,
RegWr => RegWr_i,
RegWrData => RegWrData_i,
RegAddr => RegAddr_i,
RegRdData => RegRdData_i
);
-- Note: AXI-Lite Control IF and AXI IF share the same clock.
--
-- Save HDL
-- If it is decided to go back and use seperate clock inputs
-- One for AXI4 and one for AXI4-Lite on this core.
-- For now, temporarily comment out and replace the *_i signal
-- assignments.
RegWr <= RegWr_i;
RegWrData <= RegWrData_i;
RegAddr <= RegAddr_i;
RegRdData_i <= RegRdData;
-- Reserve for future support.
--
-- ---------------------------------------------------------------------------
-- --
-- -- All registers must be synchronized to the correct clock.
-- -- RegWr must be synchronized to the S_AXI_Clk
-- -- RegWrData must be synchronized to the S_AXI_Clk
-- -- RegAddr must be synchronized to the S_AXI_Clk
-- -- RegRdData must be synchronized to the S_AXI_CTRL_Clk
-- --
-- ---------------------------------------------------------------------------
--
-- SYNC_AXI_CLK: process (S_AXI_AClk)
-- begin
-- if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
-- RegWr_d1 <= RegWr_i;
-- RegWr_d2 <= RegWr_d1;
-- RegWrData_d1 <= RegWrData_i;
-- RegWrData_d2 <= RegWrData_d1;
-- RegAddr_d1 <= RegAddr_i;
-- RegAddr_d2 <= RegAddr_d1;
-- end if;
-- end process SYNC_AXI_CLK;
--
-- RegWr <= RegWr_d2;
-- RegWrData <= RegWrData_d2;
-- RegAddr <= RegAddr_d2;
--
--
-- SYNC_AXI_LITE_CLK: process (S_AXI_CTRL_AClk)
-- begin
-- if (S_AXI_CTRL_AClk'event and S_AXI_CTRL_AClk = '1' ) then
-- RegRdData_d1 <= RegRdData;
-- RegRdData_d2 <= RegRdData_d1;
-- end if;
-- end process SYNC_AXI_LITE_CLK;
--
-- RegRdData_i <= RegRdData_d2;
--
---------------------------------------------------------------------------
axi_lite_wstrb_int <= (others => '1');
---------------------------------------------------------------------------
-- Generate: GEN_ADDR_REG_SNG
-- Purpose: Generate two deep wrap-around address pipeline to store
-- read address presented to BRAM. Used to update ECC
-- register value when ECC correctable or uncorrectable error
-- is detected.
--
-- If single port, only register Port A address.
--
-- With CE flag being registered, must account for one more
-- pipeline stage in stored BRAM addresss that correlates to
-- failing ECC.
---------------------------------------------------------------------------
GEN_ADDR_REG_SNG: if (C_SINGLE_PORT_BRAM = 1) generate
-- 3rd pipeline stage on Port A (used for reads in single port mode) ONLY
signal BRAM_Addr_A_d3 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a
begin
BRAM_ADDR_REG: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (BRAM_Addr_En = '1') then
BRAM_Addr_A_d1 <= BRAM_Addr_A;
BRAM_Addr_A_d2 <= BRAM_Addr_A_d1;
BRAM_Addr_A_d3 <= BRAM_Addr_A_d2;
else
BRAM_Addr_A_d1 <= BRAM_Addr_A_d1;
BRAM_Addr_A_d2 <= BRAM_Addr_A_d2;
BRAM_Addr_A_d3 <= BRAM_Addr_A_d3;
end if;
end if;
end process BRAM_ADDR_REG;
---------------------------------------------------------------------------
-- Generate: GEN_L_ADDR
-- Purpose: Lower order BRAM address bits fixed @ zero depending
-- on BRAM data width size.
---------------------------------------------------------------------------
GEN_L_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate
begin
FailingAddr_Ld (i) <= '0';
end generate GEN_L_ADDR;
---------------------------------------------------------------------------
-- Generate: GEN_ADDR
-- Purpose: Assign valid BRAM address bits based on BRAM data width size.
---------------------------------------------------------------------------
GEN_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate
begin
GEN_FA_LITE: if IF_IS_AXI4LITE generate
begin
FailingAddr_Ld (i) <= BRAM_Addr_A_d1(i); -- Only a single address active at a time.
end generate GEN_FA_LITE;
GEN_FA_AXI: if IF_IS_AXI4 generate
begin
-- During the RMW portion, only one active address (use _d1 pipeline).
-- During read operaitons, use 3-deep address pipeline to store address values.
FailingAddr_Ld (i) <= BRAM_Addr_A_d3 (i) when (Active_Wr = '0') else BRAM_Addr_A_d1 (i);
end generate GEN_FA_AXI;
end generate GEN_ADDR;
end generate GEN_ADDR_REG_SNG;
---------------------------------------------------------------------------
-- Generate: GEN_ADDR_REG_DUAL
-- Purpose: Generate two deep wrap-around address pipeline to store
-- read address presented to BRAM. Used to update ECC
-- register value when ECC correctable or uncorrectable error
-- is detected.
--
-- If dual port BRAM, register Port A & Port B address.
--
-- Account for CE flag register delay, add 3rd BRAM address
-- pipeline stage.
--
---------------------------------------------------------------------------
GEN_ADDR_REG_DUAL: if (C_SINGLE_PORT_BRAM = 0) generate
-- Port B pipeline stages only used in a dual port mode configuration.
signal BRAM_Addr_B_d1 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a
signal BRAM_Addr_B_d2 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a
signal BRAM_Addr_B_d3 : std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR) := (others => '0'); -- v1.03a
begin
BRAM_ADDR_REG: process (S_AXI_AClk)
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1' ) then
if (BRAM_Addr_En = '1') then
BRAM_Addr_A_d1 <= BRAM_Addr_A;
BRAM_Addr_B_d1 <= BRAM_Addr_B;
BRAM_Addr_B_d2 <= BRAM_Addr_B_d1;
BRAM_Addr_B_d3 <= BRAM_Addr_B_d2;
else
BRAM_Addr_A_d1 <= BRAM_Addr_A_d1;
BRAM_Addr_B_d1 <= BRAM_Addr_B_d1;
BRAM_Addr_B_d2 <= BRAM_Addr_B_d2;
BRAM_Addr_B_d3 <= BRAM_Addr_B_d3;
end if;
end if;
end process BRAM_ADDR_REG;
---------------------------------------------------------------------------
-- Generate: GEN_L_ADDR
-- Purpose: Lower order BRAM address bits fixed @ zero depending
-- on BRAM data width size.
---------------------------------------------------------------------------
GEN_L_ADDR: for i in C_BRAM_ADDR_ADJUST_FACTOR-1 downto 0 generate
begin
FailingAddr_Ld (i) <= '0';
end generate GEN_L_ADDR;
---------------------------------------------------------------------------
-- Generate: GEN_ADDR
-- Purpose: Assign valid BRAM address bits based on BRAM data width size.
---------------------------------------------------------------------------
GEN_ADDR: for i in C_S_AXI_ADDR_WIDTH-1 downto C_BRAM_ADDR_ADJUST_FACTOR generate
begin
GEN_FA_LITE: if IF_IS_AXI4LITE generate
begin
-- Only one active operation at a time.
-- Use one deep address pipeline. Determine if Port A or B based on active read or write.
FailingAddr_Ld (i) <= BRAM_Addr_B_d1 (i) when (Active_Wr = '0') else BRAM_Addr_A_d1 (i);
end generate GEN_FA_LITE;
GEN_FA_AXI: if IF_IS_AXI4 generate
begin
-- During the RMW portion, only one active address (use _d1 pipeline) (and from Port A).
-- During read operations, use 3-deep address pipeline to store address values (and from Port B).
FailingAddr_Ld (i) <= BRAM_Addr_B_d3 (i) when (Active_Wr = '0') else BRAM_Addr_A_d1 (i);
end generate GEN_FA_AXI;
end generate GEN_ADDR;
end generate GEN_ADDR_REG_DUAL;
---------------------------------------------------------------------------
-- Generate: FAULT_INJECT
-- Purpose: Implement fault injection registers
-- Remove check for (C_WRITE_ACCESS /= NO_WRITES) (from LMB)
---------------------------------------------------------------------------
FAULT_INJECT : if C_HAS_FAULT_INJECT generate
begin
-- FaultInjectClr added to top level port list.
-- Original LMB BRAM HDL
-- FaultInjectClr <= '1' when ((sl_ready_i = '1') and (write_access = '1')) else '0';
---------------------------------------------------------------------------
-- Generate: GEN_32_FAULT
-- Purpose: Create generates based on 32-bit C_S_AXI_DATA_WIDTH
---------------------------------------------------------------------------
GEN_32_FAULT : if C_S_AXI_DATA_WIDTH = 32 generate
begin
FaultInjectData_WE_0 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_31_0) else '0';
FaultInjectECC_WE <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectECC) else '0';
-- Create fault vector for 32-bit data widths
FaultInjectDataReg : process(S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE then
FaultInjectData_i <= (others => '0');
FaultInjectECC_i <= (others => '0');
elsif FaultInjectData_WE_0 = '1' then
FaultInjectData_i (0 to 31) <= RegWrData;
elsif FaultInjectECC_WE = '1' then
-- FaultInjectECC_i <= RegWrData(0 to C_DWIDTH-1);
-- FaultInjectECC_i <= RegWrData(0 to C_ECC_WIDTH-1);
-- (25:31)
FaultInjectECC_i <= RegWrData(C_S_AXI_CTRL_DATA_WIDTH-C_ECC_WIDTH to C_S_AXI_CTRL_DATA_WIDTH-1);
elsif FaultInjectClr = '1' then -- One shoot, clear after first LMB write
FaultInjectData_i <= (others => '0');
FaultInjectECC_i <= (others => '0');
end if;
end if;
end process FaultInjectDataReg;
end generate GEN_32_FAULT;
---------------------------------------------------------------------------
-- Generate: GEN_64_FAULT
-- Purpose: Create generates based on 64-bit C_S_AXI_DATA_WIDTH
---------------------------------------------------------------------------
GEN_64_FAULT : if C_S_AXI_DATA_WIDTH = 64 generate
begin
FaultInjectData_WE_0 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_31_0) else '0';
FaultInjectData_WE_1 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_63_32) else '0';
FaultInjectECC_WE <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectECC) else '0';
-- Create fault vector for 64-bit data widths
FaultInjectDataReg : process(S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE then
FaultInjectData_i <= (others => '0');
FaultInjectECC_i <= (others => '0');
elsif FaultInjectData_WE_0 = '1' then
FaultInjectData_i (32 to 63) <= RegWrData;
elsif FaultInjectData_WE_1 = '1' then
FaultInjectData_i (0 to 31) <= RegWrData;
elsif FaultInjectECC_WE = '1' then
-- FaultInjectECC_i <= RegWrData(0 to C_DWIDTH-1);
-- FaultInjectECC_i <= RegWrData(0 to C_ECC_WIDTH-1);
-- (24:31)
FaultInjectECC_i <= RegWrData(C_S_AXI_CTRL_DATA_WIDTH-C_ECC_WIDTH to C_S_AXI_CTRL_DATA_WIDTH-1);
elsif FaultInjectClr = '1' then -- One shoot, clear after first LMB write
FaultInjectData_i <= (others => '0');
FaultInjectECC_i <= (others => '0');
end if;
end if;
end process FaultInjectDataReg;
end generate GEN_64_FAULT;
-- v1.03a
---------------------------------------------------------------------------
-- Generate: GEN_128_FAULT
-- Purpose: Create generates based on 128-bit C_S_AXI_DATA_WIDTH
---------------------------------------------------------------------------
GEN_128_FAULT : if C_S_AXI_DATA_WIDTH = 128 generate
begin
FaultInjectData_WE_0 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_31_0) else '0';
FaultInjectData_WE_1 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_63_32) else '0';
FaultInjectData_WE_2 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_95_64) else '0';
FaultInjectData_WE_3 <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectData_127_96) else '0';
FaultInjectECC_WE <= '1' when (RegWr = '1' and RegAddr = C_FaultInjectECC) else '0';
-- Create fault vector for 128-bit data widths
FaultInjectDataReg : process(S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE then
FaultInjectData_i <= (others => '0');
FaultInjectECC_i <= (others => '0');
elsif FaultInjectData_WE_0 = '1' then
FaultInjectData_i (96 to 127) <= RegWrData;
elsif FaultInjectData_WE_1 = '1' then
FaultInjectData_i (64 to 95) <= RegWrData;
elsif FaultInjectData_WE_2 = '1' then
FaultInjectData_i (32 to 63) <= RegWrData;
elsif FaultInjectData_WE_3 = '1' then
FaultInjectData_i (0 to 31) <= RegWrData;
elsif FaultInjectECC_WE = '1' then
FaultInjectECC_i <= RegWrData(C_S_AXI_CTRL_DATA_WIDTH-C_ECC_WIDTH to C_S_AXI_CTRL_DATA_WIDTH-1);
elsif FaultInjectClr = '1' then -- One shoot, clear after first LMB write
FaultInjectData_i <= (others => '0');
FaultInjectECC_i <= (others => '0');
end if;
end if;
end process FaultInjectDataReg;
end generate GEN_128_FAULT;
end generate FAULT_INJECT;
---------------------------------------------------------------------------
-- Generate: NO_FAULT_INJECT
-- Purpose: Set default outputs when no fault inject capabilities.
-- Remove check from C_WRITE_ACCESS (from LMB)
---------------------------------------------------------------------------
NO_FAULT_INJECT : if not C_HAS_FAULT_INJECT generate
begin
FaultInjectData_i <= (others => '0');
FaultInjectECC_i <= (others => '0');
end generate NO_FAULT_INJECT;
---------------------------------------------------------------------------
-- Generate: CE_FAILING_REGISTERS
-- Purpose: Implement Correctable Error First Failing Register
---------------------------------------------------------------------------
CE_FAILING_REGISTERS : if C_HAS_CE_FAILING_REGISTERS generate
begin
-- TBD (could come from axi_lite)
-- CE_Failing_We <= '1' when (Sl_CE_i = '1' and Sl_Ready_i = '1' and ECC_StatusReg(C_ECC_STATUS_CE) = '0')
-- else '0';
CE_Failing_We_i <= '1' when (CE_Failing_We = '1' and ECC_StatusReg(C_ECC_STATUS_CE) = '0')
else '0';
CE_FailingReg : process(S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE then
CE_FailingAddress <= (others => '0');
-- Reserve for future support.
-- CE_FailingData <= (others => '0');
elsif CE_Failing_We_i = '1' then
--As the AXI Addr Width can now be lesser than 32, the address is getting shifted
--Eg: If addr width is 16, and Failing address is 0000_fffc, the o/p on RDATA is comming as fffc_0000
CE_FailingAddress (0 to C_S_AXI_ADDR_WIDTH-1) <= FailingAddr_Ld (C_S_AXI_ADDR_WIDTH-1 downto 0);
--CE_FailingAddress <= MSB_ZERO & FailingAddr_Ld ;
-- Reserve for future support.
-- CE_FailingData (0 to C_S_AXI_DATA_WIDTH-1) <= FailingRdData(0 to C_DWIDTH-1);
end if;
end if;
end process CE_FailingReg;
-- Note: Remove storage of CE_FFE & CE_FFD registers.
-- Here for future support.
--
-- -----------------------------------------------------------------
-- -- Generate: GEN_CE_ECC_32
-- -- Purpose: Re-align ECC bits unique for 32-bit BRAM data width.
-- -----------------------------------------------------------------
-- GEN_CE_ECC_32: if C_S_AXI_DATA_WIDTH = 32 generate
-- begin
--
-- CE_FailingECCReg : process(S_AXI_AClk) is
-- begin
-- if S_AXI_AClk'event and S_AXI_AClk = '1' then
-- if S_AXI_AResetn = C_RESET_ACTIVE then
-- CE_FailingECC <= (others => '0');
-- elsif CE_Failing_We_i = '1' then
-- -- Data2Mem shifts ECC to lower data bits in remaining byte (when 32-bit data width) (33 to 39)
-- CE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH+1 to C_S_AXI_DATA_WIDTH+1+C_ECC_WIDTH-1);
-- end if;
-- end if;
-- end process CE_FailingECCReg;
--
-- end generate GEN_CE_ECC_32;
--
-- -----------------------------------------------------------------
-- -- Generate: GEN_CE_ECC_64
-- -- Purpose: Re-align ECC bits unique for 64-bit BRAM data width.
-- -----------------------------------------------------------------
-- GEN_CE_ECC_64: if C_S_AXI_DATA_WIDTH = 64 generate
-- begin
--
-- CE_FailingECCReg : process(S_AXI_AClk) is
-- begin
-- if S_AXI_AClk'event and S_AXI_AClk = '1' then
-- if S_AXI_AResetn = C_RESET_ACTIVE then
-- CE_FailingECC <= (others => '0');
-- elsif CE_Failing_We_i = '1' then
-- CE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1);
-- end if;
-- end if;
-- end process CE_FailingECCReg;
--
-- end generate GEN_CE_ECC_64;
end generate CE_FAILING_REGISTERS;
---------------------------------------------------------------------------
-- Generate: NO_CE_FAILING_REGISTERS
-- Purpose: No Correctable Error Failing registers.
---------------------------------------------------------------------------
NO_CE_FAILING_REGISTERS : if not C_HAS_CE_FAILING_REGISTERS generate
begin
CE_FailingAddress <= (others => '0');
-- CE_FailingData <= (others => '0');
-- CE_FailingECC <= (others => '0');
end generate NO_CE_FAILING_REGISTERS;
-- Note: C_HAS_UE_FAILING_REGISTERS will always be set to 0
-- This generate clause will never be evaluated.
-- Here for future support.
--
-- ---------------------------------------------------------------------------
-- -- Generate: UE_FAILING_REGISTERS
-- -- Purpose: Implement Unorrectable Error First Failing Register
-- ---------------------------------------------------------------------------
--
-- UE_FAILING_REGISTERS : if C_HAS_UE_FAILING_REGISTERS generate
-- begin
--
-- -- TBD (could come from axi_lite)
-- -- UE_Failing_We <= '1' when (Sl_UE_i = '1' and Sl_Ready_i = '1' and ECC_StatusReg(C_ECC_STATUS_UE) = '0')
-- -- else '0';
--
-- UE_Failing_We_i <= '1' when (UE_Failing_We = '1' and ECC_StatusReg(C_ECC_STATUS_UE) = '0')
-- else '0';
--
--
-- UE_FailingReg : process(S_AXI_AClk) is
-- begin
-- if S_AXI_AClk'event and S_AXI_AClk = '1' then
-- if S_AXI_AResetn = C_RESET_ACTIVE then
-- UE_FailingAddress <= (others => '0');
-- UE_FailingData <= (others => '0');
-- elsif UE_Failing_We = '1' then
-- UE_FailingAddress <= FailingAddr_Ld;
-- UE_FailingData <= FailingRdData(0 to C_DWIDTH-1);
-- end if;
-- end if;
-- end process UE_FailingReg;
--
-- -----------------------------------------------------------------
-- -- Generate: GEN_UE_ECC_32
-- -- Purpose: Re-align ECC bits unique for 32-bit BRAM data width.
-- -----------------------------------------------------------------
-- GEN_UE_ECC_32: if C_S_AXI_DATA_WIDTH = 32 generate
-- begin
--
-- UE_FailingECCReg : process(S_AXI_AClk) is
-- begin
-- if S_AXI_AClk'event and S_AXI_AClk = '1' then
-- if S_AXI_AResetn = C_RESET_ACTIVE then
-- UE_FailingECC <= (others => '0');
-- elsif UE_Failing_We = '1' then
-- -- Data2Mem shifts ECC to lower data bits in remaining byte (when 32-bit data width) (33 to 39)
-- UE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH+1 to C_S_AXI_DATA_WIDTH+1+C_ECC_WIDTH-1);
-- end if;
-- end if;
-- end process UE_FailingECCReg;
--
-- end generate GEN_UE_ECC_32;
--
-- -----------------------------------------------------------------
-- -- Generate: GEN_UE_ECC_64
-- -- Purpose: Re-align ECC bits unique for 64-bit BRAM data width.
-- -----------------------------------------------------------------
-- GEN_UE_ECC_64: if C_S_AXI_DATA_WIDTH = 64 generate
-- begin
--
-- UE_FailingECCReg : process(S_AXI_AClk) is
-- begin
-- if S_AXI_AClk'event and S_AXI_AClk = '1' then
-- if S_AXI_AResetn = C_RESET_ACTIVE then
-- UE_FailingECC <= (others => '0');
-- elsif UE_Failing_We = '1' then
-- UE_FailingECC <= FailingRdData(C_S_AXI_DATA_WIDTH to C_S_AXI_DATA_WIDTH+C_ECC_WIDTH-1);
-- end if;
-- end if;
-- end process UE_FailingECCReg;
--
-- end generate GEN_UE_ECC_64;
--
-- end generate UE_FAILING_REGISTERS;
--
--
-- ---------------------------------------------------------------------------
-- -- Generate: NO_UE_FAILING_REGISTERS
-- -- Purpose: No Uncorrectable Error Failing registers.
-- ---------------------------------------------------------------------------
--
-- NO_UE_FAILING_REGISTERS : if not C_HAS_UE_FAILING_REGISTERS generate
-- begin
-- UE_FailingAddress <= (others => '0');
-- UE_FailingData <= (others => '0');
-- UE_FailingECC <= (others => '0');
-- end generate NO_UE_FAILING_REGISTERS;
---------------------------------------------------------------------------
-- Generate: ECC_STATUS_REGISTERS
-- Purpose: Enable ECC status and interrupt enable registers.
---------------------------------------------------------------------------
ECC_STATUS_REGISTERS : if C_HAS_ECC_STATUS_REGISTERS generate
begin
ECC_StatusReg_WE (C_ECC_STATUS_CE) <= Sl_CE;
ECC_StatusReg_WE (C_ECC_STATUS_UE) <= Sl_UE;
StatusReg : process(S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE then
ECC_StatusReg <= (others => '0');
elsif RegWr = '1' and RegAddr = C_ECC_StatusReg then
-- CE Interrupt status bit
if RegWrData(C_ECC_STATUS_CE) = '1' then
ECC_StatusReg(C_ECC_STATUS_CE) <= '0'; -- Clear when write '1'
end if;
-- UE Interrupt status bit
if RegWrData(C_ECC_STATUS_UE) = '1' then
ECC_StatusReg(C_ECC_STATUS_UE) <= '0'; -- Clear when write '1'
end if;
else
if Sl_CE = '1' then
ECC_StatusReg(C_ECC_STATUS_CE) <= '1'; -- Set when CE occurs
end if;
if Sl_UE = '1' then
ECC_StatusReg(C_ECC_STATUS_UE) <= '1'; -- Set when UE occurs
end if;
end if;
end if;
end process StatusReg;
ECC_EnableIRQReg_WE <= '1' when (RegWr = '1' and RegAddr = C_ECC_EnableIRQReg) else '0';
EnableIRQReg : process(S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE then
ECC_EnableIRQReg <= (others => '0');
elsif ECC_EnableIRQReg_WE = '1' then
-- CE Interrupt enable bit
ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE) <= RegWrData(C_ECC_ENABLE_IRQ_CE);
-- UE Interrupt enable bit
ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE) <= RegWrData(C_ECC_ENABLE_IRQ_UE);
end if;
end if;
end process EnableIRQReg;
Interrupt <= (ECC_StatusReg(C_ECC_STATUS_CE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE)) or
(ECC_StatusReg(C_ECC_STATUS_UE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE));
---------------------------------------------------------------------------
-- Generate output flag for UE sticky bit
-- Modify order to ensure that ECC_UE gets set when Sl_UE is asserted.
REG_UE : process (S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE or
(Enable_ECC_i = '0') then
ECC_UE_i <= '0';
elsif Sl_UE = '1' then
ECC_UE_i <= '1';
elsif (ECC_StatusReg (C_ECC_STATUS_UE) = '0') then
ECC_UE_i <= '0';
else
ECC_UE_i <= ECC_UE_i;
end if;
end if;
end process REG_UE;
ECC_UE <= ECC_UE_i;
---------------------------------------------------------------------------
end generate ECC_STATUS_REGISTERS;
---------------------------------------------------------------------------
-- Generate: NO_ECC_STATUS_REGISTERS
-- Purpose: No ECC status or interrupt registers enabled.
---------------------------------------------------------------------------
NO_ECC_STATUS_REGISTERS : if not C_HAS_ECC_STATUS_REGISTERS generate
begin
ECC_EnableIRQReg <= (others => '0');
ECC_StatusReg <= (others => '0');
Interrupt <= '0';
ECC_UE <= '0';
end generate NO_ECC_STATUS_REGISTERS;
---------------------------------------------------------------------------
-- Generate: GEN_ECC_ONOFF
-- Purpose: Implement ECC on/off control register.
---------------------------------------------------------------------------
GEN_ECC_ONOFF : if C_HAS_ECC_ONOFF generate
begin
ECC_OnOffReg_WE <= '1' when (RegWr = '1' and RegAddr = C_ECC_OnOffReg) else '0';
EnableIRQReg : process(S_AXI_AClk) is
begin
if S_AXI_AClk'event and S_AXI_AClk = '1' then
if S_AXI_AResetn = C_RESET_ACTIVE then
if (C_ECC_ONOFF_RESET_VALUE = 0) then
ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= '0';
else
ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= '1';
end if;
-- ECC on by default at reset (but can be disabled)
elsif ECC_OnOffReg_WE = '1' then
ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= RegWrData(32-C_ECC_ON_OFF_WIDTH);
end if;
end if;
end process EnableIRQReg;
Enable_ECC_i <= ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH);
Enable_ECC <= Enable_ECC_i;
end generate GEN_ECC_ONOFF;
---------------------------------------------------------------------------
-- Generate: GEN_NO_ECC_ONOFF
-- Purpose: No ECC on/off control register.
---------------------------------------------------------------------------
GEN_NO_ECC_ONOFF : if not C_HAS_ECC_ONOFF generate
begin
Enable_ECC <= '0';
-- ECC ON/OFF register is only enabled when C_ECC = 1.
-- If C_ECC = 0, then no ECC on/off register (C_HAS_ECC_ONOFF = 0) then
-- ECC should be disabled.
ECC_OnOffReg(32-C_ECC_ON_OFF_WIDTH) <= '0';
end generate GEN_NO_ECC_ONOFF;
---------------------------------------------------------------------------
-- Generate: CE_COUNTER
-- Purpose: Enable Correctable Error Counter
-- Fixed to size of C_CE_COUNTER_WIDTH = 8 bits.
-- Parameterized here for future enhancements.
---------------------------------------------------------------------------
CE_COUNTER : if C_HAS_CE_COUNTER generate
-- One extra bit compare to CE_CounterReg to handle carry bit
signal CE_CounterReg_plus_1 : std_logic_vector(31-C_CE_COUNTER_WIDTH to 31);
begin
CE_CounterReg_WE <= '1' when (RegWr = '1' and RegAddr = C_CE_CounterReg) else '0';
-- TBD (could come from axi_lite)
-- CE_CounterReg_Inc <= '1' when (Sl_CE_i = '1' and Sl_Ready_i = '1' and
-- CE_CounterReg_plus_1(CE_CounterReg_plus_1'left) = '0')
-- else '0';
CE_CounterReg_Inc_i <= '1' when (CE_CounterReg_Inc = '1' and
CE_CounterReg_plus_1(CE_CounterReg_plus_1'left) = '0')
else '0';
CountReg : process(S_AXI_AClk) is
begin
if (S_AXI_AClk'event and S_AXI_AClk = '1') then
if (S_AXI_AResetn = C_RESET_ACTIVE) then
CE_CounterReg <= (others => '0');
elsif CE_CounterReg_WE = '1' then
-- CE_CounterReg <= RegWrData(0 to C_DWIDTH-1);
CE_CounterReg <= RegWrData(32-C_CE_COUNTER_WIDTH to 31);
elsif CE_CounterReg_Inc_i = '1' then
CE_CounterReg <= CE_CounterReg_plus_1(32-C_CE_COUNTER_WIDTH to 31);
end if;
end if;
end process CountReg;
CE_CounterReg_plus_1 <= std_logic_vector(unsigned(('0' & CE_CounterReg)) + 1);
end generate CE_COUNTER;
-- Note: Hit this generate when C_ECC = 0.
-- Reserve for future support.
--
-- ---------------------------------------------------------------------------
-- -- Generate: NO_CE_COUNTER
-- -- Purpose: Default for no CE counter register.
-- ---------------------------------------------------------------------------
--
-- NO_CE_COUNTER : if not C_HAS_CE_COUNTER generate
-- begin
-- CE_CounterReg <= (others => '0');
-- end generate NO_CE_COUNTER;
---------------------------------------------------------------------------
-- Generate: GEN_REG_32_DATA
-- Purpose: Generate read register values & signal assignments based on
-- 32-bit BRAM data width.
---------------------------------------------------------------------------
GEN_REG_32_DATA: if C_S_AXI_DATA_WIDTH = 32 generate
begin
SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_OnOffReg,
CE_CounterReg, CE_FailingAddress,
FaultInjectData_i,
FaultInjectECC_i
-- CE_FailingData, CE_FailingECC,
-- UE_FailingAddress, UE_FailingData, UE_FailingECC
)
begin
RegRdData <= (others => '0');
case RegAddr is
-- Replace 'range use here for vector (31:0) (AXI BRAM) and (0:31) (LMB BRAM) reassignment
when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg;
when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg;
when C_ECC_OnOffReg => RegRdData(ECC_OnOffReg'range) <= ECC_OnOffReg;
when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg;
when C_CE_FailingAddress_31_0 => RegRdData(CE_FailingAddress'range) <= CE_FailingAddress;
when C_CE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- Temporary addition to readback fault inject register values
when C_FaultInjectData_31_0 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (0 to 31);
when C_FaultInjectECC => RegRdData(C_DWIDTH-C_ECC_WIDTH to C_DWIDTH-1) <= FaultInjectECC_i (0 to C_ECC_WIDTH-1);
-- Note: For future enhancement.
-- when C_CE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- CE_FailingData (0 to 31);
-- when C_CE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_CE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_CE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= (others => '0'); -- CE_FailingECC;
-- when C_UE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- UE_FailingAddress (0 to 31);
-- when C_UE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= (others => '0'); -- UE_FailingData (0 to 31);
-- when C_UE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= (others => '0'); -- UE_FailingECC;
when others => RegRdData <= (others => '0');
end case;
end process SelRegRdData;
end generate GEN_REG_32_DATA;
---------------------------------------------------------------------------
-- Generate: GEN_REG_64_DATA
-- Purpose: Generate read register values & signal assignments based on
-- 64-bit BRAM data width.
---------------------------------------------------------------------------
GEN_REG_64_DATA: if C_S_AXI_DATA_WIDTH = 64 generate
begin
SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_OnOffReg,
CE_CounterReg, CE_FailingAddress,
FaultInjectData_i,
FaultInjectECC_i
-- CE_FailingData, CE_FailingECC,
-- UE_FailingAddress, UE_FailingData, UE_FailingECC
)
begin
RegRdData <= (others => '0');
case RegAddr is
-- Replace 'range use here for vector (31:0) (AXI BRAM) and (0:31) (LMB BRAM) reassignment
when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg;
when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg;
when C_ECC_OnOffReg => RegRdData(ECC_OnOffReg'range) <= ECC_OnOffReg;
when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg;
when C_CE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= CE_FailingAddress (0 to 31);
when C_CE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- Temporary addition to readback fault inject register values
when C_FaultInjectData_31_0 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (0 to 31);
when C_FaultInjectData_63_32 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (32 to 63);
when C_FaultInjectECC => RegRdData(C_DWIDTH-C_ECC_WIDTH to C_DWIDTH-1) <= FaultInjectECC_i (0 to C_ECC_WIDTH-1);
-- Note: For future enhancement.
-- when C_CE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (32 to 63);
-- when C_CE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (0 to 31);
-- when C_CE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_CE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= CE_FailingECC;
-- when C_UE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingAddress (0 to 31);
-- when C_UE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingData (32 to 63);
-- when C_UE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (0 to 31);
-- when C_UE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= UE_FailingECC;
when others => RegRdData <= (others => '0');
end case;
end process SelRegRdData;
end generate GEN_REG_64_DATA;
---------------------------------------------------------------------------
-- Generate: GEN_REG_128_DATA
-- Purpose: Generate read register values & signal assignments based on
-- 128-bit BRAM data width.
---------------------------------------------------------------------------
GEN_REG_128_DATA: if C_S_AXI_DATA_WIDTH = 128 generate
begin
SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_OnOffReg,
CE_CounterReg, CE_FailingAddress,
FaultInjectData_i,
FaultInjectECC_i
-- CE_FailingData, CE_FailingECC,
-- UE_FailingAddress, UE_FailingData, UE_FailingECC
)
begin
RegRdData <= (others => '0');
case RegAddr is
-- Replace 'range use here for vector (31:0) (AXI BRAM) and (0:31) (LMB BRAM) reassignment
when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg;
when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg;
when C_ECC_OnOffReg => RegRdData(ECC_OnOffReg'range) <= ECC_OnOffReg;
when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg;
when C_CE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= CE_FailingAddress (0 to 31);
when C_CE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- Temporary addition to readback fault inject register values
when C_FaultInjectData_31_0 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (0 to 31);
when C_FaultInjectData_63_32 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (32 to 63);
when C_FaultInjectData_95_64 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (64 to 95);
when C_FaultInjectData_127_96 => RegRdData(0 to C_DWIDTH-1) <= FaultInjectData_i (96 to 127);
when C_FaultInjectECC => RegRdData(C_DWIDTH-C_ECC_WIDTH to C_DWIDTH-1) <= FaultInjectECC_i (0 to C_ECC_WIDTH-1);
-- Note: For future enhancement.
-- when C_CE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (96 to 127);
-- when C_CE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (64 to 95);
-- when C_CE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (32 to 63);
-- when C_CE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1 ) <= CE_FailingData (0 to 31);
-- when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= CE_FailingECC;
-- when C_UE_FailingAddress_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingAddress (0 to 31);
-- when C_UE_FailingAddress_63_32 => RegRdData(0 to C_DWIDTH-1) <= (others => '0');
-- when C_UE_FailingData_31_0 => RegRdData(0 to C_DWIDTH-1) <= UE_FailingData (96 to 127);
-- when C_UE_FailingData_63_31 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (64 to 95);
-- when C_UE_FailingData_95_64 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (32 to 63);
-- when C_UE_FailingData_127_96 => RegRdData(0 to C_DWIDTH-1 ) <= UE_FailingData (0 to 31);
-- when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= UE_FailingECC;
when others => RegRdData <= (others => '0');
end case;
end process SelRegRdData;
end generate GEN_REG_128_DATA;
---------------------------------------------------------------------------
end architecture implementation;
|
gpl-3.0
|
nickg/nvc
|
test/lower/vunit1.vhd
|
1
|
2534
|
package string_ptr_pkg is
subtype index_t is integer range -1 to integer'high;
subtype byte_t is integer range 0 to 255;
type storage_mode_t is (internal, extfnc, extacc);
type string_access_t is access string;
type string_access_vector_t is array (natural range <>) of string_access_t;
type string_access_vector_access_t is access string_access_vector_t;
type extstring_access_t is access string(1 to integer'high);
type extstring_access_vector_t is array (natural range <>) of extstring_access_t;
type extstring_access_vector_access_t is access extstring_access_vector_t;
type string_ptr_t is record
ref : index_t;
end record;
constant null_string_ptr : string_ptr_t := (ref => -1);
alias ptr_t is string_ptr_t;
alias val_t is character;
alias vec_t is string;
alias vav_t is string_access_vector_t;
alias evav_t is extstring_access_vector_t;
alias vava_t is string_access_vector_access_t;
alias evava_t is extstring_access_vector_access_t;
procedure set (
ptr : ptr_t;
index : positive;
value : val_t
);
end package;
package body string_ptr_pkg is
type prot_storage_t is protected
procedure set (
ref : natural;
index : positive;
value : val_t
);
end protected;
type prot_storage_t is protected body
type storage_t is record
id : integer;
mode : storage_mode_t;
length : integer;
end record;
constant null_storage : storage_t := (integer'low, internal, integer'low);
type storage_vector_t is array (natural range <>) of storage_t;
type storage_vector_access_t is access storage_vector_t;
type ptr_storage is record
idx : natural;
ptr : natural;
eptr : natural;
idxs : storage_vector_access_t;
ptrs : vava_t;
eptrs : evava_t;
end record;
variable st : ptr_storage := (0, 0, 0, null, null, null);
procedure set (
ref : natural;
index : positive;
value : val_t
) is
variable s : storage_t := st.idxs(ref);
begin
case s.mode is
when extfnc => null;--write_char(s.id, index-1, value);
when extacc => st.eptrs(s.id)(index) := value;
when internal => st.ptrs(s.id)(index) := value;
end case;
end;
end protected body;
shared variable vec_ptr_storage : prot_storage_t;
procedure set (
ptr : ptr_t;
index : positive;
value : val_t
) is begin
vec_ptr_storage.set(ptr.ref, index, value);
end;
end package body;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/lib_cdc_v1_0/hdl/src/vhdl/cdc_sync.vhd
|
32
|
49938
|
--Generic Help
--C_CDC_TYPE : Defines the type of CDC needed
-- 0 means pulse synchronizer. Used to transfer one clock pulse
-- from prmry domain to scndry domain.
-- 1 means level synchronizer. Used to transfer level signal.
-- 2 means level synchronizer with ack. Used to transfer level
-- signal. Input signal should change only when prmry_ack is detected
--
--C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal
-- Set to 0 when incoming signal is purely floped signal.
--
--C_RESET_STATE : Generally sync flops need not have resets. However, in some cases
-- it might be needed.
-- 0 means reset not needed for sync flops
-- 1 means reset needed for sync flops. i
-- In this case prmry_resetn should be in prmry clock,
-- while scndry_reset should be in scndry clock.
--
--C_SINGLE_BIT : CDC should normally be done for single bit signals only.
-- However, based on design buses can also be CDC'ed.
-- 0 means it is a bus. In this case input be connected to prmry_vect_in.
-- Output is on scndry_vect_out.
-- 1 means it is a single bit. In this case input be connected to prmry_in.
-- Output is on scndry_out.
--
--C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1
--
--C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6.
-- Value of 0, 1 is allowed only for level CDC.
-- Min value for Pulse CDC is 2
--
--Whenever this file is used following XDC constraint has to be added
-- set_false_path -to [get_pins -hier *cdc_to*/D]
--IO Ports
--
-- prmry_aclk : clock of originating domain (source domain)
-- prmry_resetn : sync reset of originating clock domain (source domain)
-- prmry_in : input signal bit. This should be a pure flop output without
-- any combi logic. This is source.
-- prmry_vect_in : bus signal. From Source domain.
-- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain.
-- Used only when C_CDC_TYPE = 2
-- scndry_aclk : destination clock.
-- scndry_resetn : sync reset of destination domain
-- scndry_out : sync'ed output in destination domain. Single bit.
-- scndry_vect_out : sync'ed output in destination domain. bus.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.FDR;
entity cdc_sync is
generic (
C_CDC_TYPE : integer range 0 to 2 := 1 ;
-- 0 is pulse synch
-- 1 is level synch
-- 2 is ack based level sync
C_RESET_STATE : integer range 0 to 1 := 0 ;
-- 0 is reset not needed
-- 1 is reset needed
C_SINGLE_BIT : integer range 0 to 1 := 1 ;
-- 0 is bus input
-- 1 is single bit input
C_FLOP_INPUT : integer range 0 to 1 := 0 ;
C_VECTOR_WIDTH : integer range 0 to 64 := 32 ;
C_MTBF_STAGES : integer range 0 to 6 := 2
-- Vector Data witdth
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
prmry_in : in std_logic ; --
prmry_vect_in : in std_logic_vector --
(C_VECTOR_WIDTH - 1 downto 0) ; --
prmry_ack : out std_logic ;
--
scndry_aclk : in std_logic ; --
scndry_resetn : in std_logic ; --
--
-- Primary to Secondary Clock Crossing --
scndry_out : out std_logic ; --
--
scndry_vect_out : out std_logic_vector --
(C_VECTOR_WIDTH - 1 downto 0) --
);
end cdc_sync;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of cdc_sync is
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
--attribute DONT_TOUCH : STRING;
--attribute KEEP : STRING;
--attribute DONT_TOUCH of implementation : architecture is "yes";
signal prmry_resetn1 : std_logic := '0';
signal scndry_resetn1 : std_logic := '0';
signal prmry_reset2 : std_logic := '0';
signal scndry_reset2 : std_logic := '0';
--attribute KEEP of prmry_resetn1 : signal is "true";
--attribute KEEP of scndry_resetn1 : signal is "true";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
HAS_RESET : if C_RESET_STATE = 1 generate
begin
prmry_resetn1 <= prmry_resetn;
scndry_resetn1 <= scndry_resetn;
end generate HAS_RESET;
HAS_NO_RESET : if C_RESET_STATE = 0 generate
begin
prmry_resetn1 <= '1';
scndry_resetn1 <= '1';
end generate HAS_NO_RESET;
prmry_reset2 <= not prmry_resetn1;
scndry_reset2 <= not scndry_resetn1;
-- Generate PULSE clock domain crossing
GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate
-- Primary to Secondary
signal s_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of s_out_d1_cdc_to : signal is "true";
signal s_out_d2 : std_logic := '0';
signal s_out_d3 : std_logic := '0';
signal s_out_d4 : std_logic := '0';
signal s_out_d5 : std_logic := '0';
signal s_out_d6 : std_logic := '0';
signal s_out_d7 : std_logic := '0';
signal s_out_re : std_logic := '0';
signal prmry_in_xored : std_logic := '0';
signal p_in_d1_cdc_from : std_logic := '0';
signal srst_d1 : std_logic := '0';
signal srst_d2 : std_logic := '0';
signal srst_d3 : std_logic := '0';
signal srst_d4 : std_logic := '0';
signal srst_d5 : std_logic := '0';
signal srst_d6 : std_logic := '0';
signal srst_d7 : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF REG_P_IN2_cdc_to : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d2 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d3 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d4 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d5 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d6 : label IS "true";
ATTRIBUTE async_reg OF P_IN_CROSS2SCNDRY_s_out_d7 : label IS "true";
begin
--*****************************************************************************
--** Asynchronous Pulse Clock Crossing **
--** PRIMARY TO SECONDARY OPEN-ENDED **
--*****************************************************************************
scndry_vect_out <= (others => '0');
prmry_ack <= '0';
prmry_in_xored <= prmry_in xor p_in_d1_cdc_from;
--------------------------------------REG_P_IN : process(prmry_aclk)
-------------------------------------- begin
-------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
-------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
-------------------------------------- p_in_d1_cdc_from <= '0';
-------------------------------------- else
-------------------------------------- p_in_d1_cdc_from <= prmry_in_xored;
-------------------------------------- end if;
-------------------------------------- end if;
-------------------------------------- end process REG_P_IN;
REG_P_IN_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_in_d1_cdc_from,
C => prmry_aclk,
D => prmry_in_xored,
R => prmry_reset2
);
REG_P_IN2_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d1_cdc_to,
C => scndry_aclk,
D => p_in_d1_cdc_from,
R => scndry_reset2
);
------------------------------------ P_IN_CROSS2SCNDRY : process(scndry_aclk)
------------------------------------ begin
------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then
------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------------ s_out_d2 <= '0';
------------------------------------ s_out_d3 <= '0';
------------------------------------ s_out_d4 <= '0';
------------------------------------ s_out_d5 <= '0';
------------------------------------ s_out_d6 <= '0';
------------------------------------ s_out_d7 <= '0';
------------------------------------ scndry_out <= '0';
------------------------------------ else
------------------------------------ s_out_d2 <= s_out_d1_cdc_to;
------------------------------------ s_out_d3 <= s_out_d2;
------------------------------------ s_out_d4 <= s_out_d3;
------------------------------------ s_out_d5 <= s_out_d4;
------------------------------------ s_out_d6 <= s_out_d5;
------------------------------------ s_out_d7 <= s_out_d6;
------------------------------------ scndry_out <= s_out_re;
------------------------------------ end if;
------------------------------------ end if;
------------------------------------ end process P_IN_CROSS2SCNDRY;
P_IN_CROSS2SCNDRY_s_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d2,
C => scndry_aclk,
D => s_out_d1_cdc_to,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d3,
C => scndry_aclk,
D => s_out_d2,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d4,
C => scndry_aclk,
D => s_out_d3,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d5,
C => scndry_aclk,
D => s_out_d4,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d6,
C => scndry_aclk,
D => s_out_d5,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_s_out_d7 : component FDR
generic map(INIT => '0'
)port map (
Q => s_out_d7,
C => scndry_aclk,
D => s_out_d6,
R => scndry_reset2
);
P_IN_CROSS2SCNDRY_scndry_out : component FDR
generic map(INIT => '0'
)port map (
Q => scndry_out,
C => scndry_aclk,
D => s_out_re,
R => scndry_reset2
);
s_rst_d1 : component FDR
generic map(INIT => '0'
)port map (
Q => srst_d1,
C => scndry_aclk,
D => '1',
R => scndry_reset2
);
s_rst_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => srst_d2,
C => scndry_aclk,
D => srst_d1,
R => scndry_reset2
);
s_rst_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => srst_d3,
C => scndry_aclk,
D => srst_d2,
R => scndry_reset2
);
s_rst_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => srst_d4,
C => scndry_aclk,
D => srst_d3,
R => scndry_reset2
);
s_rst_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => srst_d5,
C => scndry_aclk,
D => srst_d4,
R => scndry_reset2
);
s_rst_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => srst_d6,
C => scndry_aclk,
D => srst_d5,
R => scndry_reset2
);
s_rst_d7 : component FDR
generic map(INIT => '0'
)port map (
Q => srst_d7,
C => scndry_aclk,
D => srst_d6,
R => scndry_reset2
);
MTBF_2 : if C_MTBF_STAGES = 2 generate
begin
s_out_re <= (s_out_d2 xor s_out_d3) and (srst_d3);
end generate MTBF_2;
MTBF_3 : if C_MTBF_STAGES = 3 generate
begin
s_out_re <= (s_out_d3 xor s_out_d4) and (srst_d4);
end generate MTBF_3;
MTBF_4 : if C_MTBF_STAGES = 4 generate
begin
s_out_re <= (s_out_d4 xor s_out_d5) and (srst_d5);
end generate MTBF_4;
MTBF_5 : if C_MTBF_STAGES = 5 generate
begin
s_out_re <= (s_out_d5 xor s_out_d6) and (srst_d6);
end generate MTBF_5;
MTBF_6 : if C_MTBF_STAGES = 6 generate
begin
s_out_re <= (s_out_d6 xor s_out_d7) and (srst_d7);
end generate MTBF_6;
-- Feed secondary pulse out
end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED;
-- Generate LEVEL clock domain crossing with reset state = 0
GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate
begin
-- Primary to Secondary
SINGLE_BIT : if C_SINGLE_BIT = 1 generate
signal p_level_in_d1_cdc_from : std_logic := '0';
signal p_level_in_int : std_logic := '0';
signal s_level_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true";
signal s_level_out_d2 : std_logic := '0';
signal s_level_out_d3 : std_logic := '0';
signal s_level_out_d4 : std_logic := '0';
signal s_level_out_d5 : std_logic := '0';
signal s_level_out_d6 : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_vect_out <= (others => '0');
prmry_ack <= '0';
INPUT_FLOP : if C_FLOP_INPUT = 1 generate
begin
---------------------------------- REG_PLEVEL_IN : process(prmry_aclk)
---------------------------------- begin
---------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
---------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
---------------------------------- p_level_in_d1_cdc_from <= '0';
---------------------------------- else
---------------------------------- p_level_in_d1_cdc_from <= prmry_in;
---------------------------------- end if;
---------------------------------- end if;
---------------------------------- end process REG_PLEVEL_IN;
REG_PLEVEL_IN_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_in_d1_cdc_from,
C => prmry_aclk,
D => prmry_in,
R => prmry_reset2
);
p_level_in_int <= p_level_in_d1_cdc_from;
end generate INPUT_FLOP;
NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate
begin
p_level_in_int <= prmry_in;
end generate NO_INPUT_FLOP;
CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d1_cdc_to,
C => scndry_aclk,
D => p_level_in_int,
R => scndry_reset2
);
------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
------------------------------ begin
------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then
------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------ s_level_out_d2 <= '0';
------------------------------ s_level_out_d3 <= '0';
------------------------------ s_level_out_d4 <= '0';
------------------------------ s_level_out_d5 <= '0';
------------------------------ s_level_out_d6 <= '0';
------------------------------ else
------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to;
------------------------------ s_level_out_d3 <= s_level_out_d2;
------------------------------ s_level_out_d4 <= s_level_out_d3;
------------------------------ s_level_out_d5 <= s_level_out_d4;
------------------------------ s_level_out_d6 <= s_level_out_d5;
------------------------------ end if;
------------------------------ end if;
------------------------------ end process CROSS_PLEVEL_IN2SCNDRY;
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d2,
C => scndry_aclk,
D => s_level_out_d1_cdc_to,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d3,
C => scndry_aclk,
D => s_level_out_d2,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d4,
C => scndry_aclk,
D => s_level_out_d3,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d5,
C => scndry_aclk,
D => s_level_out_d4,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d6,
C => scndry_aclk,
D => s_level_out_d5,
R => scndry_reset2
);
MTBF_L1 : if C_MTBF_STAGES = 1 generate
begin
scndry_out <= s_level_out_d1_cdc_to;
end generate MTBF_L1;
MTBF_L2 : if C_MTBF_STAGES = 2 generate
begin
scndry_out <= s_level_out_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_out <= s_level_out_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_out <= s_level_out_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_out <= s_level_out_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_out <= s_level_out_d6;
end generate MTBF_L6;
end generate SINGLE_BIT;
MULTI_BIT : if C_SINGLE_BIT = 0 generate
signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0);
signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
--attribute DONT_TOUCH of s_level_out_bus_d1_cdc_to : signal is "true";
signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true";
-----------------ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_out <= '0';
prmry_ack <= '0';
INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate
begin
----------------------------------- REG_PLEVEL_IN : process(prmry_aclk)
----------------------------------- begin
----------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
----------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
----------------------------------- p_level_in_bus_d1_cdc_from <= (others => '0');
----------------------------------- else
----------------------------------- p_level_in_bus_d1_cdc_from <= prmry_vect_in;
----------------------------------- end if;
----------------------------------- end if;
----------------------------------- end process REG_PLEVEL_IN;
FOR_REG_PLEVEL_IN: for i in 0 to (C_VECTOR_WIDTH-1) generate
begin
REG_PLEVEL_IN_p_level_in_bus_d1_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_in_bus_d1_cdc_from (i),
C => prmry_aclk,
D => prmry_vect_in (i),
R => prmry_reset2
);
end generate FOR_REG_PLEVEL_IN;
p_level_in_bus_int <= p_level_in_bus_d1_cdc_from;
end generate INPUT_FLOP_BUS;
NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate
begin
p_level_in_bus_int <= prmry_vect_in;
end generate NO_INPUT_FLOP_BUS;
FOR_IN_cdc_to: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d1_cdc_to (i),
C => scndry_aclk,
D => p_level_in_bus_int (i),
R => scndry_reset2
);
end generate FOR_IN_cdc_to;
----------------------------------------- CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
----------------------------------------- begin
----------------------------------------- if(scndry_aclk'EVENT and scndry_aclk ='1')then
----------------------------------------- if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
----------------------------------------- s_level_out_bus_d2 <= (others => '0');
----------------------------------------- s_level_out_bus_d3 <= (others => '0');
----------------------------------------- s_level_out_bus_d4 <= (others => '0');
----------------------------------------- s_level_out_bus_d5 <= (others => '0');
----------------------------------------- s_level_out_bus_d6 <= (others => '0');
----------------------------------------- else
----------------------------------------- s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to;
----------------------------------------- s_level_out_bus_d3 <= s_level_out_bus_d2;
----------------------------------------- s_level_out_bus_d4 <= s_level_out_bus_d3;
----------------------------------------- s_level_out_bus_d5 <= s_level_out_bus_d4;
----------------------------------------- s_level_out_bus_d6 <= s_level_out_bus_d5;
----------------------------------------- end if;
----------------------------------------- end if;
----------------------------------------- end process CROSS_PLEVEL_IN2SCNDRY;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d2 (i),
C => scndry_aclk,
D => s_level_out_bus_d1_cdc_to (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d3 (i),
C => scndry_aclk,
D => s_level_out_bus_d2 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d4 (i),
C => scndry_aclk,
D => s_level_out_bus_d3 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d5 (i),
C => scndry_aclk,
D => s_level_out_bus_d4 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d5;
FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6: for i in 0 to (C_VECTOR_WIDTH-1) generate
ATTRIBUTE async_reg OF CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : label IS "true";
begin
CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_bus_d6 (i),
C => scndry_aclk,
D => s_level_out_bus_d5 (i),
R => scndry_reset2
);
end generate FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d6;
MTBF_L1 : if C_MTBF_STAGES = 1 generate
begin
scndry_vect_out <= s_level_out_bus_d1_cdc_to;
end generate MTBF_L1;
MTBF_L2 : if C_MTBF_STAGES = 2 generate
begin
scndry_vect_out <= s_level_out_bus_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_vect_out <= s_level_out_bus_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_vect_out <= s_level_out_bus_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_vect_out <= s_level_out_bus_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_vect_out <= s_level_out_bus_d6;
end generate MTBF_L6;
end generate MULTI_BIT;
end generate GENERATE_LEVEL_P_S_CDC;
GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate
-- Primary to Secondary
signal p_level_in_d1_cdc_from : std_logic := '0';
signal p_level_in_int : std_logic := '0';
signal s_level_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of s_level_out_d1_cdc_to : signal is "true";
signal s_level_out_d2 : std_logic := '0';
signal s_level_out_d3 : std_logic := '0';
signal s_level_out_d4 : std_logic := '0';
signal s_level_out_d5 : std_logic := '0';
signal s_level_out_d6 : std_logic := '0';
signal p_level_out_d1_cdc_to : std_logic := '0';
--attribute DONT_TOUCH of p_level_out_d1_cdc_to : signal is "true";
signal p_level_out_d2 : std_logic := '0';
signal p_level_out_d3 : std_logic := '0';
signal p_level_out_d4 : std_logic := '0';
signal p_level_out_d5 : std_logic := '0';
signal p_level_out_d6 : std_logic := '0';
signal p_level_out_d7 : std_logic := '0';
signal scndry_out_int : std_logic := '0';
signal prmry_pulse_ack : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : label IS "true";
ATTRIBUTE async_reg OF CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : label IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_vect_out <= (others => '0');
INPUT_FLOP : if C_FLOP_INPUT = 1 generate
begin
------------------------------------------ REG_PLEVEL_IN : process(prmry_aclk)
------------------------------------------ begin
------------------------------------------ if(prmry_aclk'EVENT and prmry_aclk ='1')then
------------------------------------------ if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------------------ p_level_in_d1_cdc_from <= '0';
------------------------------------------ else
------------------------------------------ p_level_in_d1_cdc_from <= prmry_in;
------------------------------------------ end if;
------------------------------------------ end if;
------------------------------------------ end process REG_PLEVEL_IN;
REG_PLEVEL_IN_cdc_from : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_in_d1_cdc_from,
C => prmry_aclk,
D => prmry_in,
R => prmry_reset2
);
p_level_in_int <= p_level_in_d1_cdc_from;
end generate INPUT_FLOP;
NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate
begin
p_level_in_int <= prmry_in;
end generate NO_INPUT_FLOP;
CROSS3_PLEVEL_IN2SCNDRY_IN_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d1_cdc_to,
C => scndry_aclk,
D => p_level_in_int,
R => scndry_reset2
);
------------------------------------------------ CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
------------------------------------------------ begin
------------------------------------------------ if(scndry_aclk'EVENT and scndry_aclk ='1')then
------------------------------------------------ if(scndry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
------------------------------------------------ s_level_out_d2 <= '0';
------------------------------------------------ s_level_out_d3 <= '0';
------------------------------------------------ s_level_out_d4 <= '0';
------------------------------------------------ s_level_out_d5 <= '0';
------------------------------------------------ s_level_out_d6 <= '0';
------------------------------------------------ else
------------------------------------------------ s_level_out_d2 <= s_level_out_d1_cdc_to;
------------------------------------------------ s_level_out_d3 <= s_level_out_d2;
------------------------------------------------ s_level_out_d4 <= s_level_out_d3;
------------------------------------------------ s_level_out_d5 <= s_level_out_d4;
------------------------------------------------ s_level_out_d6 <= s_level_out_d5;
------------------------------------------------ end if;
------------------------------------------------ end if;
------------------------------------------------ end process CROSS_PLEVEL_IN2SCNDRY;
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d2,
C => scndry_aclk,
D => s_level_out_d1_cdc_to,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d3,
C => scndry_aclk,
D => s_level_out_d2,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d4,
C => scndry_aclk,
D => s_level_out_d3,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d5,
C => scndry_aclk,
D => s_level_out_d4,
R => scndry_reset2
);
CROSS_PLEVEL_IN2SCNDRY_s_level_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => s_level_out_d6,
C => scndry_aclk,
D => s_level_out_d5,
R => scndry_reset2
);
--------------------------------------------------- CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk)
--------------------------------------------------- begin
--------------------------------------------------- if(prmry_aclk'EVENT and prmry_aclk ='1')then
--------------------------------------------------- if(prmry_resetn1 = '0') then -- and C_RESET_STATE = 1)then
--------------------------------------------------- p_level_out_d1_cdc_to <= '0';
--------------------------------------------------- p_level_out_d2 <= '0';
--------------------------------------------------- p_level_out_d3 <= '0';
--------------------------------------------------- p_level_out_d4 <= '0';
--------------------------------------------------- p_level_out_d5 <= '0';
--------------------------------------------------- p_level_out_d6 <= '0';
--------------------------------------------------- p_level_out_d7 <= '0';
--------------------------------------------------- prmry_ack <= '0';
--------------------------------------------------- else
--------------------------------------------------- p_level_out_d1_cdc_to <= scndry_out_int;
--------------------------------------------------- p_level_out_d2 <= p_level_out_d1_cdc_to;
--------------------------------------------------- p_level_out_d3 <= p_level_out_d2;
--------------------------------------------------- p_level_out_d4 <= p_level_out_d3;
--------------------------------------------------- p_level_out_d5 <= p_level_out_d4;
--------------------------------------------------- p_level_out_d6 <= p_level_out_d5;
--------------------------------------------------- p_level_out_d7 <= p_level_out_d6;
--------------------------------------------------- prmry_ack <= prmry_pulse_ack;
--------------------------------------------------- end if;
--------------------------------------------------- end if;
--------------------------------------------------- end process CROSS_PLEVEL_SCNDRY2PRMRY;
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d1_cdc_to : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d1_cdc_to,
C => prmry_aclk,
D => scndry_out_int,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d2 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d2,
C => prmry_aclk,
D => p_level_out_d1_cdc_to,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d3 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d3,
C => prmry_aclk,
D => p_level_out_d2,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d4 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d4,
C => prmry_aclk,
D => p_level_out_d3,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d5 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d5,
C => prmry_aclk,
D => p_level_out_d4,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d6 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d6,
C => prmry_aclk,
D => p_level_out_d5,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_p_level_out_d7 : component FDR
generic map(INIT => '0'
)port map (
Q => p_level_out_d7,
C => prmry_aclk,
D => p_level_out_d6,
R => prmry_reset2
);
CROSS_PLEVEL_SCNDRY2PRMRY_prmry_ack : component FDR
generic map(INIT => '0'
)port map (
Q => prmry_ack,
C => prmry_aclk,
D => prmry_pulse_ack,
R => prmry_reset2
);
MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate
begin
scndry_out_int <= s_level_out_d2;
--prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2;
prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_out_int <= s_level_out_d3;
--prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3;
prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_out_int <= s_level_out_d4;
--prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4;
prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_out_int <= s_level_out_d5;
--prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5;
prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_out_int <= s_level_out_d6;
--prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6;
prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6;
end generate MTBF_L6;
scndry_out <= scndry_out_int;
end generate GENERATE_LEVEL_ACK_P_S_CDC;
end implementation;
|
gpl-3.0
|
nickg/nvc
|
test/regress/func20.vhd
|
1
|
439
|
entity func20 is
end entity;
architecture test of func20 is
impure function outer return string is
variable s : string(1 to 5);
impure function inner return string is
begin
return s;
end function;
begin
s := "hello";
return inner;
end function;
begin
p1: process is
begin
assert outer = "hello";
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/lower/issue94.vhd
|
5
|
487
|
entity issue94 is
end entity;
architecture test of issue94 is
function func (dataw : integer; shiftw : integer) return bit_vector is
constant max_shift : integer := shiftw;
type bit_vector_array is array (natural range <>) of bit_vector(dataw-1 downto 0);
variable y_temp : bit_vector_array (0 to max_shift);
begin
y_temp(0):=(others=>'1'); -- Error with LLVM asserts build
return y_temp(0);
end func;
begin
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/sem/issue246.vhd
|
2
|
134
|
package pkg is
subtype s is integer (0 to 10); -- error
subtype ss is string range 2 to 5; -- error
end package pkg;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/utt.fr/doimgproc_v1_0/hdl/vhdl/doImgProc_KERNEL_BUS_s_axi.vhd
|
4
|
14313
|
-- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2016.1
-- Copyright (C) 1986-2016 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.all;
entity doImgProc_KERNEL_BUS_s_axi is
generic (
C_S_AXI_ADDR_WIDTH : INTEGER := 5;
C_S_AXI_DATA_WIDTH : INTEGER := 32);
port (
-- axi4 lite slave signals
ACLK :in STD_LOGIC;
ARESET :in STD_LOGIC;
ACLK_EN :in STD_LOGIC;
AWADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
AWVALID :in STD_LOGIC;
AWREADY :out STD_LOGIC;
WDATA :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
WSTRB :in STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH/8-1 downto 0);
WVALID :in STD_LOGIC;
WREADY :out STD_LOGIC;
BRESP :out STD_LOGIC_VECTOR(1 downto 0);
BVALID :out STD_LOGIC;
BREADY :in STD_LOGIC;
ARADDR :in STD_LOGIC_VECTOR(C_S_AXI_ADDR_WIDTH-1 downto 0);
ARVALID :in STD_LOGIC;
ARREADY :out STD_LOGIC;
RDATA :out STD_LOGIC_VECTOR(C_S_AXI_DATA_WIDTH-1 downto 0);
RRESP :out STD_LOGIC_VECTOR(1 downto 0);
RVALID :out STD_LOGIC;
RREADY :in STD_LOGIC;
-- user signals
kernel_address0 :in STD_LOGIC_VECTOR(3 downto 0);
kernel_ce0 :in STD_LOGIC;
kernel_q0 :out STD_LOGIC_VECTOR(7 downto 0)
);
end entity doImgProc_KERNEL_BUS_s_axi;
-- ------------------------Address Info-------------------
-- 0x10 ~
-- 0x1f : Memory 'kernel' (3 * 8b)
-- Word n : bit [ 7: 0] - kernel[4n]
-- bit [15: 8] - kernel[4n+1]
-- bit [23:16] - kernel[4n+2]
-- bit [31:24] - kernel[4n+3]
-- (SC = Self Clear, COR = Clear on Read, TOW = Toggle on Write, COH = Clear on Handshake)
architecture behave of doImgProc_KERNEL_BUS_s_axi is
type states is (wridle, wrdata, wrresp, rdidle, rddata); -- read and write fsm states
signal wstate, wnext, rstate, rnext: states;
constant ADDR_KERNEL_BASE : INTEGER := 16#10#;
constant ADDR_KERNEL_HIGH : INTEGER := 16#1f#;
constant ADDR_BITS : INTEGER := 5;
signal waddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal wmask : UNSIGNED(31 downto 0);
signal aw_hs : STD_LOGIC;
signal w_hs : STD_LOGIC;
signal rdata_data : UNSIGNED(31 downto 0);
signal ar_hs : STD_LOGIC;
signal raddr : UNSIGNED(ADDR_BITS-1 downto 0);
signal AWREADY_t : STD_LOGIC;
signal WREADY_t : STD_LOGIC;
signal ARREADY_t : STD_LOGIC;
signal RVALID_t : STD_LOGIC;
-- memory signals
signal int_kernel_address0 : UNSIGNED(1 downto 0);
signal int_kernel_ce0 : STD_LOGIC;
signal int_kernel_we0 : STD_LOGIC;
signal int_kernel_be0 : UNSIGNED(3 downto 0);
signal int_kernel_d0 : UNSIGNED(31 downto 0);
signal int_kernel_q0 : UNSIGNED(31 downto 0);
signal int_kernel_address1 : UNSIGNED(1 downto 0);
signal int_kernel_ce1 : STD_LOGIC;
signal int_kernel_we1 : STD_LOGIC;
signal int_kernel_be1 : UNSIGNED(3 downto 0);
signal int_kernel_d1 : UNSIGNED(31 downto 0);
signal int_kernel_q1 : UNSIGNED(31 downto 0);
signal int_kernel_read : STD_LOGIC;
signal int_kernel_write : STD_LOGIC;
signal int_kernel_shift : UNSIGNED(1 downto 0);
component doImgProc_KERNEL_BUS_s_axi_ram is
generic (
BYTES : INTEGER :=4;
DEPTH : INTEGER :=256;
AWIDTH : INTEGER :=8);
port (
clk0 : in STD_LOGIC;
address0: in UNSIGNED(AWIDTH-1 downto 0);
ce0 : in STD_LOGIC;
we0 : in STD_LOGIC;
be0 : in UNSIGNED(BYTES-1 downto 0);
d0 : in UNSIGNED(BYTES*8-1 downto 0);
q0 : out UNSIGNED(BYTES*8-1 downto 0);
clk1 : in STD_LOGIC;
address1: in UNSIGNED(AWIDTH-1 downto 0);
ce1 : in STD_LOGIC;
we1 : in STD_LOGIC;
be1 : in UNSIGNED(BYTES-1 downto 0);
d1 : in UNSIGNED(BYTES*8-1 downto 0);
q1 : out UNSIGNED(BYTES*8-1 downto 0));
end component doImgProc_KERNEL_BUS_s_axi_ram;
function log2 (x : INTEGER) return INTEGER is
variable n, m : INTEGER;
begin
n := 1;
m := 2;
while m < x loop
n := n + 1;
m := m * 2;
end loop;
return n;
end function log2;
begin
-- ----------------------- Instantiation------------------
-- int_kernel
int_kernel : doImgProc_KERNEL_BUS_s_axi_ram
generic map (
BYTES => 4,
DEPTH => 3,
AWIDTH => log2(3))
port map (
clk0 => ACLK,
address0 => int_kernel_address0,
ce0 => int_kernel_ce0,
we0 => int_kernel_we0,
be0 => int_kernel_be0,
d0 => int_kernel_d0,
q0 => int_kernel_q0,
clk1 => ACLK,
address1 => int_kernel_address1,
ce1 => int_kernel_ce1,
we1 => int_kernel_we1,
be1 => int_kernel_be1,
d1 => int_kernel_d1,
q1 => int_kernel_q1);
-- ----------------------- AXI WRITE ---------------------
AWREADY_t <= '1' when wstate = wridle else '0';
AWREADY <= AWREADY_t;
WREADY_t <= '1' when wstate = wrdata else '0';
WREADY <= WREADY_t;
BRESP <= "00"; -- OKAY
BVALID <= '1' when wstate = wrresp else '0';
wmask <= (31 downto 24 => WSTRB(3), 23 downto 16 => WSTRB(2), 15 downto 8 => WSTRB(1), 7 downto 0 => WSTRB(0));
aw_hs <= AWVALID and AWREADY_t;
w_hs <= WVALID and WREADY_t;
-- write FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
wstate <= wridle;
elsif (ACLK_EN = '1') then
wstate <= wnext;
end if;
end if;
end process;
process (wstate, AWVALID, WVALID, BREADY)
begin
case (wstate) is
when wridle =>
if (AWVALID = '1') then
wnext <= wrdata;
else
wnext <= wridle;
end if;
when wrdata =>
if (WVALID = '1') then
wnext <= wrresp;
else
wnext <= wrdata;
end if;
when wrresp =>
if (BREADY = '1') then
wnext <= wridle;
else
wnext <= wrresp;
end if;
when others =>
wnext <= wridle;
end case;
end process;
waddr_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (aw_hs = '1') then
waddr <= UNSIGNED(AWADDR(ADDR_BITS-1 downto 0));
end if;
end if;
end if;
end process;
-- ----------------------- AXI READ ----------------------
ARREADY_t <= '1' when (rstate = rdidle) else '0';
ARREADY <= ARREADY_t;
RDATA <= STD_LOGIC_VECTOR(rdata_data);
RRESP <= "00"; -- OKAY
RVALID_t <= '1' when (rstate = rddata) and (int_kernel_read = '0') else '0';
RVALID <= RVALID_t;
ar_hs <= ARVALID and ARREADY_t;
raddr <= UNSIGNED(ARADDR(ADDR_BITS-1 downto 0));
-- read FSM
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
rstate <= rdidle;
elsif (ACLK_EN = '1') then
rstate <= rnext;
end if;
end if;
end process;
process (rstate, ARVALID, RREADY, RVALID_t)
begin
case (rstate) is
when rdidle =>
if (ARVALID = '1') then
rnext <= rddata;
else
rnext <= rdidle;
end if;
when rddata =>
if (RREADY = '1' and RVALID_t = '1') then
rnext <= rdidle;
else
rnext <= rddata;
end if;
when others =>
rnext <= rdidle;
end case;
end process;
rdata_proc : process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (ar_hs = '1') then
elsif (int_kernel_read = '1') then
rdata_data <= int_kernel_q1;
end if;
end if;
end if;
end process;
-- ----------------------- Register logic ----------------
-- ----------------------- Memory logic ------------------
-- kernel
int_kernel_address0 <= SHIFT_RIGHT(UNSIGNED(kernel_address0), 2)(1 downto 0);
int_kernel_ce0 <= kernel_ce0;
int_kernel_we0 <= '0';
int_kernel_be0 <= (others => '0');
int_kernel_d0 <= (others => '0');
kernel_q0 <= STD_LOGIC_VECTOR(SHIFT_RIGHT(int_kernel_q0, TO_INTEGER(int_kernel_shift) * 8)(7 downto 0));
int_kernel_address1 <= raddr(3 downto 2) when ar_hs = '1' else waddr(3 downto 2);
int_kernel_ce1 <= '1' when ar_hs = '1' or (int_kernel_write = '1' and WVALID = '1') else '0';
int_kernel_we1 <= '1' when int_kernel_write = '1' and WVALID = '1' else '0';
int_kernel_be1 <= UNSIGNED(WSTRB);
int_kernel_d1 <= UNSIGNED(WDATA);
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_kernel_read <= '0';
elsif (ACLK_EN = '1') then
if (ar_hs = '1' and raddr >= ADDR_KERNEL_BASE and raddr <= ADDR_KERNEL_HIGH) then
int_kernel_read <= '1';
else
int_kernel_read <= '0';
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ARESET = '1') then
int_kernel_write <= '0';
elsif (ACLK_EN = '1') then
if (aw_hs = '1' and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) >= ADDR_KERNEL_BASE and UNSIGNED(AWADDR(ADDR_BITS-1 downto 0)) <= ADDR_KERNEL_HIGH) then
int_kernel_write <= '1';
elsif (WVALID = '1') then
int_kernel_write <= '0';
end if;
end if;
end if;
end process;
process (ACLK)
begin
if (ACLK'event and ACLK = '1') then
if (ACLK_EN = '1') then
if (kernel_ce0 = '1') then
int_kernel_shift <= UNSIGNED(kernel_address0(1 downto 0));
end if;
end if;
end if;
end process;
end architecture behave;
library IEEE;
USE IEEE.std_logic_1164.all;
USE IEEE.numeric_std.all;
entity doImgProc_KERNEL_BUS_s_axi_ram is
generic (
BYTES : INTEGER :=4;
DEPTH : INTEGER :=256;
AWIDTH : INTEGER :=8);
port (
clk0 : in STD_LOGIC;
address0: in UNSIGNED(AWIDTH-1 downto 0);
ce0 : in STD_LOGIC;
we0 : in STD_LOGIC;
be0 : in UNSIGNED(BYTES-1 downto 0);
d0 : in UNSIGNED(BYTES*8-1 downto 0);
q0 : out UNSIGNED(BYTES*8-1 downto 0);
clk1 : in STD_LOGIC;
address1: in UNSIGNED(AWIDTH-1 downto 0);
ce1 : in STD_LOGIC;
we1 : in STD_LOGIC;
be1 : in UNSIGNED(BYTES-1 downto 0);
d1 : in UNSIGNED(BYTES*8-1 downto 0);
q1 : out UNSIGNED(BYTES*8-1 downto 0));
end entity doImgProc_KERNEL_BUS_s_axi_ram;
architecture behave of doImgProc_KERNEL_BUS_s_axi_ram is
signal address0_tmp : UNSIGNED(AWIDTH-1 downto 0);
signal address1_tmp : UNSIGNED(AWIDTH-1 downto 0);
type RAM_T is array (0 to DEPTH - 1) of UNSIGNED(BYTES*8 - 1 downto 0);
shared variable mem : RAM_T := (others => (others => '0'));
begin
process (address0)
begin
address0_tmp <= address0;
--synthesis translate_off
if (address0 > DEPTH-1) then
address0_tmp <= (others => '0');
else
address0_tmp <= address0;
end if;
--synthesis translate_on
end process;
process (address1)
begin
address1_tmp <= address1;
--synthesis translate_off
if (address1 > DEPTH-1) then
address1_tmp <= (others => '0');
else
address1_tmp <= address1;
end if;
--synthesis translate_on
end process;
--read port 0
process (clk0) begin
if (clk0'event and clk0 = '1') then
if (ce0 = '1') then
q0 <= mem(to_integer(address0_tmp));
end if;
end if;
end process;
--read port 1
process (clk1) begin
if (clk1'event and clk1 = '1') then
if (ce1 = '1') then
q1 <= mem(to_integer(address1_tmp));
end if;
end if;
end process;
gen_write : for i in 0 to BYTES - 1 generate
begin
--write port 0
process (clk0)
begin
if (clk0'event and clk0 = '1') then
if (ce0 = '1' and we0 = '1' and be0(i) = '1') then
mem(to_integer(address0_tmp))(8*i+7 downto 8*i) := d0(8*i+7 downto 8*i);
end if;
end if;
end process;
--write port 1
process (clk1)
begin
if (clk1'event and clk1 = '1') then
if (ce1 = '1' and we1 = '1' and be1(i) = '1') then
mem(to_integer(address1_tmp))(8*i+7 downto 8*i) := d1(8*i+7 downto 8*i);
end if;
end if;
end process;
end generate;
end architecture behave;
|
gpl-3.0
|
nickg/nvc
|
test/sem/issue128.vhd
|
5
|
400
|
package A_NG is
procedure PROC(SEL: in integer);
end package;
package body A_NG is
procedure PROC(SEL: in integer) is
begin
case SEL is
when 0 | 1 => -- Used to crash in sem_hoist_for_loop_var
for i in 0 to 3 loop
end loop;
when others =>
null;
end case;
end procedure;
end package body;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado_HLS/image_contrast_adj/solution1/impl/ip/tmp.srcs/sources_1/ip/doHistStretch_ap_fdiv_14_no_dsp_32/xbip_dsp48_multadd_v3_0_2/hdl/xbip_dsp48_multadd_v3_0.vhd
|
9
|
10163
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
RRooMx8aKXOKuw0jba9AAvNqcv1aOAWx0dmOeAMZtfEA8NEQBycfD1he5bNQ520rjDcafpEIYoFH
8wShwJiQKg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
RgXfz+8mp1qbBTyetHH5ngynBLMwZxu0rxkXLh6QHG9XAy4+BbRKOjz1+c6cH6NYhRRfM4vT5Wl9
ixg8Rc8Yc/S242b09BUNorP5ATo1wne5IxcZ3jC1T4BUzl0tgUScvpD0uFueK3/IMnEjC4aKr60w
VKR6qyW4sq2X954pF24=
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oSpqzFUI/f1Ucw6UHNSsuY41hVH/I8MTwUZaCMUrE6zrelZVctDl9yufZENXxn0WT1Yem6/W+w1g
j5QeVJm+5hjC0WIxLupVJMkqfzQw8dGHJPDGoEaTB2RcDqLTnI9CrpQ+iJb7hrQn6dDmxZImAuMq
3pJVs+aaFHAeNuzZZhE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
X4Fi+a1OzUk68cyxZUSzfNpLCwkSC0wmt8tY0kB574VuReti34LgiOkcPXhGDj4gSUKkUF4A/Yda
WDzaAnabrJ3zv3CWcxwC2pPrD1rNotHPICUvwJpTTNzK8oCLdrgMtygrsL5CwXaOVNz1a++BWa6C
QlArFRdlccowSRhXDf5tSyKNSLiV9tJxHNvWPzIowxyUtoVMdFV+wv3UhZXGP74OmYsEJ9ESIEXz
q0P+Tc5gairxuvjskvUVpqbsNEBOq90+NKAEaLtPOQ6vY8kzp+eWm8uf5f3kgAZZsAiQGswk7vU2
f5LaUSeubviPjfs+8uQjBW2DPBwJbScjsXNcQA==
`protect key_keyowner = "ATRENTA", key_keyname= "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ro6yqNSieyMPHHxOuV5fgYIFX1ue1fCOQaUrsWRJcJVYR01otjGNBK1LpULF9osLOrFWk4AtTJqV
rWcIGuQTGE8iRA3XlZBfUPo5Auyp6hG2JT2LLT/s9g6oUGrueNakH+McXpdG6aUJkgH9/eoBjrLX
DrPrHqq40IlnSppHpDAOq11fRkZk9TkYdWeakR7Tqj5fMix/Hves5J2MNw7M+0/bs9k7crMC3AB4
hiMav0upztwGMWPFQOTJLcPJQ9Jmlip35nCdHQRH+L1Pz5yPl599XvkZh7InTkLreIaRmIpZaIPJ
dSX841g9ZA3G2q8OiDyHBCZOsKYNN4/R2I8Cjw==
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2015_12", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
K8DR1xFh8LdLJFzLYbjbQJHVBapMV/A5ef8aS1igRTqWTzaxTp8rcNFvJmLeb2FVSABbW9ENq0z2
4PAQuZAFntoQZCy0B96/GhJqiwWNdo9SkQAXHie4qn1yl5LCT837iglxkr5T9u9hdW/y32580N70
9mwvaao2qtKVUj2WO7a8JbZTzIZj2kxqfrfxG+wf2zMcUTQ1XZCsGULlRMnDM7exK4fGQxqbrLsN
3z3GB/1h4CYvFQCvVlD3CURFCtPUP/5toS0Ja6ccVb6/3q73spZWxAHrzIuZDq1YEczGdzSMR+k6
s98cQiYspIArx3y1cvwLR27cpPujPAWuCP8Wyw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5392)
`protect data_block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`protect end_protected
|
gpl-3.0
|
nickg/nvc
|
test/lower/directmap.vhd
|
1
|
642
|
entity bot is
port (
i : in integer;
o : out integer );
end entity;
architecture test of bot is
begin
process (i) is
begin
o <= i + 1;
end process;
end architecture;
-------------------------------------------------------------------------------
entity directmap is
end entity;
architecture test of directmap is
signal x, y : integer;
begin
uut: entity work.bot
port map ( x, y );
process is
begin
x <= 0;
wait for 1 ns;
assert y = 1;
x <= 2;
wait for 1 ns;
assert y = 3;
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/regress/record16.vhd
|
1
|
678
|
entity record16 is
end entity;
architecture test of record16 is
type rec is record
x : bit;
y : integer;
end record;
signal r : rec := ('1', 0);
procedure drive(signal s : out integer; value : in integer) is
begin
s <= value;
end procedure;
procedure read(signal s : in integer; value : out integer) is
begin
value := s;
end procedure;
begin
process is
variable x : integer;
begin
drive(r.y, 123);
wait for 1 ns;
assert r.y = 123;
read(r.y, x);
--report integer'image(x);
--assert x = 123;
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/bounds/issue99.vhd
|
5
|
321
|
entity Top_PhysicalTest_Simple is
end entity;
architecture top of Top_PhysicalTest_Simple is
type my_int is range 1 to 5;
constant int_1 : INTEGER := natural(0.5); -- OK
constant int_2 : INTEGER := natural(-1.5); -- Error
constant int_3 : my_int := my_int(integer'(-1)); -- Error
begin
end;
|
gpl-3.0
|
nickg/nvc
|
test/regress/signal26.vhd
|
1
|
583
|
entity signal26 is
end entity;
architecture test of signal26 is
function func (x : integer) return integer is
begin
return x / 2;
end function;
constant w : integer := 4;
type rec is record
f : bit_vector(func(w) - 1 downto 0);
end record;
signal v : bit_vector(w - 1 downto 0);
signal r : rec;
begin
v(w-1 downto r.f'left + 1) <= (others => '1');
v(r.f'left downto 0) <= (others => '0');
check: process is
begin
wait for 1 ns;
assert v = "1100";
wait;
end process;
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/parse/visibility2.vhd
|
1
|
371
|
package pack1 is
procedure p (x : integer);
end package;
-------------------------------------------------------------------------------
use work.pack1.all;
package pack2 is
procedure p (y : integer); -- OK, hides pack1.p
end package;
package body pack2 is
procedure p (y : integer) is -- OK
begin
end procedure;
end package body;
|
gpl-3.0
|
nickg/nvc
|
test/elab/ifgen.vhd
|
5
|
472
|
entity sub is
generic (
foo : boolean := true );
port (
x : out integer );
end entity;
architecture test of sub is
begin
g: if foo = true generate
x <= 5;
end generate;
end architecture;
-------------------------------------------------------------------------------
entity ifgen is
end entity;
architecture test of ifgen is
signal x : integer;
begin
sub_i: entity work.sub
port map ( x );
end architecture;
|
gpl-3.0
|
nickg/nvc
|
test/regress/case7.vhd
|
2
|
867
|
entity case7 is
end entity;
architecture test of case7 is
constant C1 : bit_vector(3 downto 0) := X"1";
constant C2 : bit_vector(3 downto 0) := X"2";
signal x : bit_vector(7 downto 0);
signal y : integer;
begin
process (x) is
begin
case x is
when C1 & X"0" =>
y <= 5;
when C1 & X"8" =>
y <= 6;
when C2 & X"0" =>
y <= 10;
when others =>
y <= 0;
end case;
end process;
process is
begin
x <= X"10";
wait for 1 ns;
assert y = 5;
x <= X"18";
wait for 1 ns;
assert y = 6;
x <= X"20";
wait for 1 ns;
assert y = 10;
x <= X"21";
wait for 1 ns;
assert y = 0;
wait;
end process;
end architecture;
|
gpl-3.0
|
pleonex/Efponga
|
Pong/marcador.vhd
|
1
|
2993
|
LIBRARY IEEE;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.STD_LOGIC_ARITH.all;
USE IEEE.STD_LOGIC_UNSIGNED.all;
ENTITY marcador IS
PORT (
numero : IN UNSIGNED(3 DOWNTO 0);
hex0 : OUT STD_LOGIC;
hex1 : OUT STD_LOGIC;
hex2 : OUT STD_LOGIC;
hex3 : OUT STD_LOGIC;
hex4 : OUT STD_LOGIC;
hex5 : OUT STD_LOGIC;
hex6 : OUT STD_LOGIC
);
END marcador;
ARCHITECTURE funcional OF marcador IS
BEGIN
PROCESS (numero)
BEGIN
CASE numero IS
WHEN x"0" =>
hex0 <= '0';
hex1 <= '0';
hex2 <= '0';
hex3 <= '0';
hex4 <= '0';
hex5 <= '0';
hex6 <= '1';
WHEN x"1" =>
hex0 <= '1';
hex1 <= '0';
hex2 <= '0';
hex3 <= '1';
hex4 <= '1';
hex5 <= '1';
hex6 <= '1';
WHEN x"2" =>
hex0 <= '0';
hex1 <= '0';
hex2 <= '1';
hex3 <= '0';
hex4 <= '0';
hex5 <= '1';
hex6 <= '0';
WHEN x"3" =>
hex0 <= '0';
hex1 <= '0';
hex2 <= '0';
hex3 <= '0';
hex4 <= '1';
hex5 <= '1';
hex6 <= '0';
WHEN x"4" =>
hex0 <= '0';
hex1 <= '1';
hex2 <= '0';
hex3 <= '1';
hex4 <= '1';
hex5 <= '0';
hex6 <= '0';
WHEN x"5" =>
hex0 <= '0';
hex1 <= '1';
hex2 <= '0';
hex3 <= '0';
hex4 <= '1';
hex5 <= '0';
hex6 <= '0';
WHEN x"6" =>
hex0 <= '0';
hex1 <= '1';
hex2 <= '0';
hex3 <= '0';
hex4 <= '0';
hex5 <= '0';
hex6 <= '0';
WHEN x"7" =>
hex0 <= '0';
hex1 <= '0';
hex2 <= '0';
hex3 <= '1';
hex4 <= '1';
hex5 <= '0';
hex6 <= '1';
WHEN x"8" =>
hex0 <= '0';
hex1 <= '0';
hex2 <= '0';
hex3 <= '0';
hex4 <= '0';
hex5 <= '0';
hex6 <= '0';
WHEN x"9" =>
hex0 <= '0';
hex1 <= '0';
hex2 <= '0';
hex3 <= '1';
hex4 <= '1';
hex5 <= '0';
hex6 <= '0';
WHEN OTHERS =>
hex0 <= '0';
hex1 <= '0';
hex2 <= '0';
hex3 <= '0';
hex4 <= '0';
hex5 <= '0';
hex6 <= '0';
END CASE;
END process;
END funcional;
|
gpl-3.0
|
nickg/nvc
|
test/regress/issue45.vhd
|
5
|
291
|
entity issue45 is
begin
end entity issue45;
architecture a of issue45 is
begin
b: block is
begin
p : process
begin
report p'instance_name;
report b'instance_name;
wait;
end process p;
end block;
end architecture a;
|
gpl-3.0
|
nickg/nvc
|
test/parse/literal.vhd
|
1
|
1277
|
-- -*- coding: latin-1 -*-
entity ee is
end entity;
ARCHITECTURE aa OF ee IS
SIGNAL pos : INTEGER := 64;
SIGNAL neg : INTEGER := -265;
CONSTANT c : INTEGER := 523;
CONSTANT a : STRING := "hel""lo";
CONSTANT b : STRING := """quote""";
CONSTANT d : INTEGER := 1E3; -- Integer not real
CONSTANT e : REAL := 1.234;
CONSTANT f : REAL := 0.21712;
CONSTANT g : REAL := 1.4e6;
CONSTANT h : REAL := 235.1e-2;
CONSTANT i : INTEGER := 1_2_3_4;
CONSTANT j : REAL := 5_6_7.12_3;
type ptr is access integer;
shared variable k : ptr := NULL;
CONSTANT l : STRING := "Setup time is too short";
CONSTANT m : STRING := "";
CONSTANT n : STRING := " ";
CONSTANT o : STRING := "A";
CONSTANT p : STRING := """";
CONSTANT q : STRING := %Setup time is too short%;
CONSTANT r : STRING := %%;
CONSTANT s : STRING := % %;
CONSTANT t : STRING := %A%;
CONSTANT u : STRING := %%%%;
constant v : string := "©";
subtype lowercase is character range 'a' to 'z';
type my_string is array (lowercase range <>) of character;
constant w : my_string := "hello";
constant too_big : integer := 9223372036854775808; -- Error
constant way_too_big : integer := 235423414124e124124; -- Error
BEGIN
END ARCHITECTURE;
|
gpl-3.0
|
nickg/nvc
|
test/regress/issue293.vhd
|
2
|
348
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.math_real.all;
entity issue293 is
end issue293;
architecture behv of issue293 is
constant AWIDTH : natural := integer(ceil(log2(real(4))));
signal a : std_logic_vector (AWIDTH downto 0);
begin
process is
begin
assert a'left = 2;
wait;
end process;
end behv;
|
gpl-3.0
|
nickg/nvc
|
test/parse/func.vhd
|
1
|
335
|
package func is
function add(x, y : integer; z : in integer) return integer;
impure function naughty return integer;
function "+"(x, y : integer) return integer;
end package;
package body func is
function "+"(x, y : integer) return integer is
begin
return 42;
end function "+";
end package body;
|
gpl-3.0
|
Darkin47/Zynq-TX-UTT
|
Vivado/image_conv_2D/image_conv_2D.srcs/sources_1/bd/design_1/ipshared/xilinx.com/axi_dma_v7_1/hdl/src/vhdl/axi_dma_mm2s_mngr.vhd
|
3
|
51651
|
-- (c) Copyright 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: axi_dma_mm2s_mngr.vhd
-- Description: This entity is the top level entity for the AXI DMA MM2S
-- manager.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
library unisim;
use unisim.vcomponents.all;
library axi_dma_v7_1_9;
use axi_dma_v7_1_9.axi_dma_pkg.all;
-------------------------------------------------------------------------------
entity axi_dma_mm2s_mngr is
generic(
C_PRMRY_IS_ACLK_ASYNC : integer range 0 to 1 := 0;
-- Primary MM2S/S2MM sync/async mode
-- 0 = synchronous mode - all clocks are synchronous
-- 1 = asynchronous mode - Primary data path channels (MM2S and S2MM)
-- run asynchronous to AXI Lite, DMA Control,
-- and SG.
C_PRMY_CMDFIFO_DEPTH : integer range 1 to 16 := 1;
-- Depth of DataMover command FIFO
-----------------------------------------------------------------------
-- Scatter Gather Parameters
-----------------------------------------------------------------------
C_INCLUDE_SG : integer range 0 to 1 := 1;
-- Include or Exclude the Scatter Gather Engine
-- 0 = Exclude SG Engine - Enables Simple DMA Mode
-- 1 = Include SG Engine - Enables Scatter Gather Mode
C_SG_INCLUDE_STSCNTRL_STRM : integer range 0 to 1 := 1;
-- Include or Exclude AXI Status and AXI Control Streams
-- 0 = Exclude Status and Control Streams
-- 1 = Include Status and Control Streams
C_SG_INCLUDE_DESC_QUEUE : integer range 0 to 1 := 0;
-- Include or Exclude Scatter Gather Descriptor Queuing
-- 0 = Exclude SG Descriptor Queuing
-- 1 = Include SG Descriptor Queuing
C_SG_LENGTH_WIDTH : integer range 8 to 23 := 14;
-- Descriptor Buffer Length, Transferred Bytes, and Status Stream
-- Rx Length Width. Indicates the least significant valid bits of
-- descriptor buffer length, transferred bytes, or Rx Length value
-- in the status word coincident with tlast.
C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for Scatter Gather R/W Port
C_M_AXIS_SG_TDATA_WIDTH : integer range 32 to 32 := 32;
-- AXI Master Stream in for descriptor fetch
C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32;
-- 32 Update Status Bits
C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33;
-- 1 IOC bit + 32 Update Status Bits
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH : integer range 32 to 32 := 32;
-- Master AXI Control Stream Data Width
-----------------------------------------------------------------------
-- Memory Map to Stream (MM2S) Parameters
-----------------------------------------------------------------------
C_INCLUDE_MM2S : integer range 0 to 1 := 1;
-- Include or exclude MM2S primary data path
-- 0 = Exclude MM2S primary data path
-- 1 = Include MM2S primary data path
C_M_AXI_MM2S_ADDR_WIDTH : integer range 32 to 64 := 32;
-- Master AXI Memory Map Address Width for MM2S Read Port
C_ENABLE_MULTI_CHANNEL : integer range 0 to 1 := 0;
C_MICRO_DMA : integer range 0 to 1 := 0;
C_FAMILY : string := "virtex7"
-- Target FPGA Device Family
);
port (
-- Secondary Clock and Reset
m_axi_sg_aclk : in std_logic ; --
m_axi_sg_aresetn : in std_logic ; --
--
-- Primary Clock and Reset --
axi_prmry_aclk : in std_logic ; --
p_reset_n : in std_logic ; --
--
soft_reset : in std_logic ; --
--
-- MM2S Control and Status --
mm2s_run_stop : in std_logic ; --
mm2s_keyhole : in std_logic ;
mm2s_halted : in std_logic ; --
mm2s_ftch_idle : in std_logic ; --
mm2s_updt_idle : in std_logic ; --
mm2s_ftch_err_early : in std_logic ; --
mm2s_ftch_stale_desc : in std_logic ; --
mm2s_tailpntr_enble : in std_logic ; --
mm2s_halt : in std_logic ; --
mm2s_halt_cmplt : in std_logic ; --
mm2s_halted_clr : out std_logic ; --
mm2s_halted_set : out std_logic ; --
mm2s_idle_set : out std_logic ; --
mm2s_idle_clr : out std_logic ; --
mm2s_new_curdesc : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
mm2s_new_curdesc_wren : out std_logic ; --
mm2s_stop : out std_logic ; --
mm2s_desc_flush : out std_logic ; --
cntrl_strm_stop : out std_logic ;
mm2s_all_idle : out std_logic ; --
--
mm2s_error : out std_logic ; --
s2mm_error : in std_logic ; --
-- Simple DMA Mode Signals
mm2s_sa : in std_logic_vector --
(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0); --
mm2s_length_wren : in std_logic ; --
mm2s_length : in std_logic_vector --
(C_SG_LENGTH_WIDTH-1 downto 0) ; --
mm2s_smple_done : out std_logic ; --
mm2s_interr_set : out std_logic ; --
mm2s_slverr_set : out std_logic ; --
mm2s_decerr_set : out std_logic ; --
m_axis_mm2s_aclk : in std_logic;
mm2s_strm_tlast : in std_logic;
mm2s_strm_tready : in std_logic;
mm2s_axis_info : out std_logic_vector
(13 downto 0);
--
-- SG MM2S Descriptor Fetch AXI Stream In --
m_axis_mm2s_ftch_tdata : in std_logic_vector --
(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_ftch_tvalid : in std_logic ; --
m_axis_mm2s_ftch_tready : out std_logic ; --
m_axis_mm2s_ftch_tlast : in std_logic ; --
m_axis_mm2s_ftch_tdata_new : in std_logic_vector --
(96+31*0+(0+2)*(C_M_AXI_SG_ADDR_WIDTH-32) downto 0); --
m_axis_mm2s_ftch_tdata_mcdma_new : in std_logic_vector --
(63 downto 0); --
m_axis_mm2s_ftch_tvalid_new : in std_logic ; --
m_axis_ftch1_desc_available : in std_logic;
--
-- SG MM2S Descriptor Update AXI Stream Out --
s_axis_mm2s_updtptr_tdata : out std_logic_vector --
(C_M_AXI_SG_ADDR_WIDTH-1 downto 0); --
s_axis_mm2s_updtptr_tvalid : out std_logic ; --
s_axis_mm2s_updtptr_tready : in std_logic ; --
s_axis_mm2s_updtptr_tlast : out std_logic ; --
--
s_axis_mm2s_updtsts_tdata : out std_logic_vector --
(C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); --
s_axis_mm2s_updtsts_tvalid : out std_logic ; --
s_axis_mm2s_updtsts_tready : in std_logic ; --
s_axis_mm2s_updtsts_tlast : out std_logic ; --
--
-- User Command Interface Ports (AXI Stream) --
s_axis_mm2s_cmd_tvalid : out std_logic ; --
s_axis_mm2s_cmd_tready : in std_logic ; --
s_axis_mm2s_cmd_tdata : out std_logic_vector --
((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0);--
--
-- User Status Interface Ports (AXI Stream) --
m_axis_mm2s_sts_tvalid : in std_logic ; --
m_axis_mm2s_sts_tready : out std_logic ; --
m_axis_mm2s_sts_tdata : in std_logic_vector(7 downto 0) ; --
m_axis_mm2s_sts_tkeep : in std_logic_vector(0 downto 0) ; --
mm2s_err : in std_logic ; --
--
ftch_error : in std_logic ; --
updt_error : in std_logic ; --
--
-- Memory Map to Stream Control Stream Interface --
m_axis_mm2s_cntrl_tdata : out std_logic_vector --
(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH-1 downto 0); --
m_axis_mm2s_cntrl_tkeep : out std_logic_vector --
((C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH/8)-1 downto 0); --
m_axis_mm2s_cntrl_tvalid : out std_logic ; --
m_axis_mm2s_cntrl_tready : in std_logic ; --
m_axis_mm2s_cntrl_tlast : out std_logic --
);
end axi_dma_mm2s_mngr;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of axi_dma_mm2s_mngr is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Signal / Type Declarations
-------------------------------------------------------------------------------
-- Primary DataMover Command signals
signal mm2s_cmnd_wr : std_logic := '0';
signal mm2s_cmnd_data : std_logic_vector
((C_M_AXI_MM2S_ADDR_WIDTH-32+2*32+CMD_BASE_WIDTH+46)-1 downto 0) := (others => '0');
signal mm2s_cmnd_pending : std_logic := '0';
-- Primary DataMover Status signals
signal mm2s_done : std_logic := '0';
signal mm2s_stop_i : std_logic := '0';
signal mm2s_interr : std_logic := '0';
signal mm2s_slverr : std_logic := '0';
signal mm2s_decerr : std_logic := '0';
signal mm2s_tag : std_logic_vector(3 downto 0) := (others => '0');
signal dma_mm2s_error : std_logic := '0';
signal soft_reset_d1 : std_logic := '0';
signal soft_reset_d2 : std_logic := '0';
signal soft_reset_re : std_logic := '0';
signal mm2s_error_i : std_logic := '0';
--signal cntrl_strm_stop : std_logic := '0';
signal mm2s_halted_set_i : std_logic := '0';
signal mm2s_sts_received_clr : std_logic := '0';
signal mm2s_sts_received : std_logic := '0';
signal mm2s_cmnd_idle : std_logic := '0';
signal mm2s_sts_idle : std_logic := '0';
-- Scatter Gather Interface signals
signal desc_fetch_req : std_logic := '0';
signal desc_fetch_done : std_logic := '0';
signal desc_fetch_done_del : std_logic := '0';
signal desc_update_req : std_logic := '0';
signal desc_update_done : std_logic := '0';
signal desc_available : std_logic := '0';
signal packet_in_progress : std_logic := '0';
signal mm2s_desc_baddress : std_logic_vector(C_M_AXI_MM2S_ADDR_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_blength : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_blength_v : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_blength_s : std_logic_vector(BUFFER_LENGTH_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_eof : std_logic := '0';
signal mm2s_desc_sof : std_logic := '0';
signal mm2s_desc_cmplt : std_logic := '0';
signal mm2s_desc_info : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app0 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app1 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app2 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app3 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_app4 : std_logic_vector(C_M_AXIS_SG_TDATA_WIDTH-1 downto 0) := (others => '0');
signal mm2s_desc_info_int : std_logic_vector(13 downto 0) := (others => '0');
signal mm2s_strm_tlast_int : std_logic;
signal rd_en_hold, rd_en_hold_int : std_logic;
-- Control Stream Fifo write signals
signal cntrlstrm_fifo_wren : std_logic := '0';
signal cntrlstrm_fifo_full : std_logic := '0';
signal cntrlstrm_fifo_din : std_logic_vector(C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH downto 0) := (others => '0');
signal info_fifo_full : std_logic;
signal info_fifo_empty : std_logic;
signal updt_pending : std_logic := '0';
signal mm2s_cmnd_wr_1 : std_logic := '0';
signal fifo_rst : std_logic;
signal fifo_empty : std_logic;
signal fifo_empty_first : std_logic;
signal fifo_empty_first1 : std_logic;
signal first_read_pulse : std_logic;
signal fifo_read : std_logic;
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- Include MM2S State Machine and support logic
-------------------------------------------------------------------------------
GEN_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 1 generate
begin
-- Pass out to register module
mm2s_halted_set <= mm2s_halted_set_i;
-------------------------------------------------------------------------------
-- Graceful shut down logic
-------------------------------------------------------------------------------
-- Error from DataMover (DMAIntErr, DMADecErr, or DMASlvErr) or SG Update error
-- or SG Fetch error, or Stale Descriptor Error
mm2s_error_i <= dma_mm2s_error -- Primary data mover reports error
or updt_error -- SG Update engine reports error
or ftch_error -- SG Fetch engine reports error
or mm2s_ftch_err_early -- SG Fetch engine reports early error on mm2s
or mm2s_ftch_stale_desc; -- SG Fetch stale descriptor error
-- pass out to shut down s2mm
mm2s_error <= mm2s_error_i;
-- Clear run/stop and stop state machines due to errors or soft reset
-- Error based on datamover error report or sg update error or sg fetch error
-- SG update error and fetch error included because need to shut down, no way
-- to update descriptors on sg update error and on fetch error descriptor
-- data is corrupt therefor do not want to issue the xfer command to primary datamover
--CR#566306 status for both mm2s and s2mm datamover are masked during shutdown therefore
-- need to stop all processes regardless of the source of the error.
-- mm2s_stop_i <= mm2s_error -- Error
-- or soft_reset; -- Soft Reset issued
mm2s_stop_i <= mm2s_error_i -- Error on MM2S
or s2mm_error -- Error on S2MM
or soft_reset; -- Soft Reset issued
-- Reg stop out
REG_STOP_OUT : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
mm2s_stop <= '0';
else
mm2s_stop <= mm2s_stop_i;
end if;
end if;
end process REG_STOP_OUT;
-- Generate DMA Controller For Scatter Gather Mode
GEN_SCATTER_GATHER_MODE : if C_INCLUDE_SG = 1 generate
begin
-- Not Used in SG Mode (Errors are imbedded in updated descriptor and
-- generate error after descriptor update is complete)
mm2s_interr_set <= '0';
mm2s_slverr_set <= '0';
mm2s_decerr_set <= '0';
mm2s_smple_done <= '0';
mm2s_cmnd_wr_1 <= m_axis_mm2s_ftch_tvalid_new;
---------------------------------------------------------------------------
-- MM2S Primary DMA Controller State Machine
---------------------------------------------------------------------------
I_MM2S_SM : entity axi_dma_v7_1_9.axi_dma_mm2s_sm
generic map(
C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH ,
C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE ,
C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH ,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control and Status
mm2s_run_stop => mm2s_run_stop ,
mm2s_keyhole => mm2s_keyhole ,
mm2s_ftch_idle => mm2s_ftch_idle ,
mm2s_cmnd_idle => mm2s_cmnd_idle ,
mm2s_sts_idle => mm2s_sts_idle ,
mm2s_stop => mm2s_stop_i ,
mm2s_desc_flush => mm2s_desc_flush ,
-- MM2S Descriptor Fetch Request (from mm2s_sm)
desc_available => desc_available ,
desc_fetch_req => desc_fetch_req ,
desc_fetch_done => desc_fetch_done ,
desc_update_done => desc_update_done ,
updt_pending => updt_pending ,
packet_in_progress => packet_in_progress ,
-- DataMover Command
mm2s_cmnd_wr => open, --mm2s_cmnd_wr_1 ,
mm2s_cmnd_data => mm2s_cmnd_data ,
mm2s_cmnd_pending => mm2s_cmnd_pending ,
-- Descriptor Fields
mm2s_cache_info => mm2s_desc_info ,
mm2s_desc_baddress => mm2s_desc_baddress ,
mm2s_desc_blength => mm2s_desc_blength ,
mm2s_desc_blength_v => mm2s_desc_blength_v ,
mm2s_desc_blength_s => mm2s_desc_blength_s ,
mm2s_desc_eof => mm2s_desc_eof ,
mm2s_desc_sof => mm2s_desc_sof
);
---------------------------------------------------------------------------
-- MM2S Scatter Gather State Machine
---------------------------------------------------------------------------
I_MM2S_SG_IF : entity axi_dma_v7_1_9.axi_dma_mm2s_sg_if
generic map(
-------------------------------------------------------------------
-- Scatter Gather Parameters
-------------------------------------------------------------------
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
C_SG_INCLUDE_DESC_QUEUE => C_SG_INCLUDE_DESC_QUEUE ,
C_SG_INCLUDE_STSCNTRL_STRM => C_SG_INCLUDE_STSCNTRL_STRM ,
C_M_AXIS_SG_TDATA_WIDTH => C_M_AXIS_SG_TDATA_WIDTH ,
C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH ,
C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ,
C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH ,
C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL ,
C_MICRO_DMA => C_MICRO_DMA,
C_FAMILY => C_FAMILY
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- SG MM2S Descriptor Fetch AXI Stream In
m_axis_mm2s_ftch_tdata => m_axis_mm2s_ftch_tdata ,
m_axis_mm2s_ftch_tvalid => m_axis_mm2s_ftch_tvalid ,
m_axis_mm2s_ftch_tready => m_axis_mm2s_ftch_tready ,
m_axis_mm2s_ftch_tlast => m_axis_mm2s_ftch_tlast ,
m_axis_mm2s_ftch_tdata_new => m_axis_mm2s_ftch_tdata_new ,
m_axis_mm2s_ftch_tdata_mcdma_new => m_axis_mm2s_ftch_tdata_mcdma_new ,
m_axis_mm2s_ftch_tvalid_new => m_axis_mm2s_ftch_tvalid_new ,
m_axis_ftch1_desc_available => m_axis_ftch1_desc_available ,
-- SG MM2S Descriptor Update AXI Stream Out
s_axis_mm2s_updtptr_tdata => s_axis_mm2s_updtptr_tdata ,
s_axis_mm2s_updtptr_tvalid => s_axis_mm2s_updtptr_tvalid ,
s_axis_mm2s_updtptr_tready => s_axis_mm2s_updtptr_tready ,
s_axis_mm2s_updtptr_tlast => s_axis_mm2s_updtptr_tlast ,
s_axis_mm2s_updtsts_tdata => s_axis_mm2s_updtsts_tdata ,
s_axis_mm2s_updtsts_tvalid => s_axis_mm2s_updtsts_tvalid ,
s_axis_mm2s_updtsts_tready => s_axis_mm2s_updtsts_tready ,
s_axis_mm2s_updtsts_tlast => s_axis_mm2s_updtsts_tlast ,
-- MM2S Descriptor Fetch Request (from mm2s_sm)
desc_available => desc_available ,
desc_fetch_req => desc_fetch_req ,
desc_fetch_done => desc_fetch_done ,
updt_pending => updt_pending ,
packet_in_progress => packet_in_progress ,
-- MM2S Descriptor Update Request
desc_update_done => desc_update_done ,
mm2s_ftch_stale_desc => mm2s_ftch_stale_desc ,
mm2s_sts_received_clr => mm2s_sts_received_clr ,
mm2s_sts_received => mm2s_sts_received ,
mm2s_desc_cmplt => mm2s_desc_cmplt ,
mm2s_done => mm2s_done ,
mm2s_interr => mm2s_interr ,
mm2s_slverr => mm2s_slverr ,
mm2s_decerr => mm2s_decerr ,
mm2s_tag => mm2s_tag ,
mm2s_halt => mm2s_halt , -- CR566306
-- Control Stream Output
cntrlstrm_fifo_wren => cntrlstrm_fifo_wren ,
cntrlstrm_fifo_full => cntrlstrm_fifo_full ,
cntrlstrm_fifo_din => cntrlstrm_fifo_din ,
-- MM2S Descriptor Field Output
mm2s_new_curdesc => mm2s_new_curdesc ,
mm2s_new_curdesc_wren => mm2s_new_curdesc_wren ,
mm2s_desc_baddress => mm2s_desc_baddress ,
mm2s_desc_blength => mm2s_desc_blength ,
mm2s_desc_blength_v => mm2s_desc_blength_v ,
mm2s_desc_blength_s => mm2s_desc_blength_s ,
mm2s_desc_info => mm2s_desc_info ,
mm2s_desc_eof => mm2s_desc_eof ,
mm2s_desc_sof => mm2s_desc_sof ,
mm2s_desc_app0 => mm2s_desc_app0 ,
mm2s_desc_app1 => mm2s_desc_app1 ,
mm2s_desc_app2 => mm2s_desc_app2 ,
mm2s_desc_app3 => mm2s_desc_app3 ,
mm2s_desc_app4 => mm2s_desc_app4
);
cntrlstrm_fifo_full <= '0';
end generate GEN_SCATTER_GATHER_MODE;
-- Generate DMA Controller for Simple DMA Mode
GEN_SIMPLE_DMA_MODE : if C_INCLUDE_SG = 0 generate
begin
-- Scatter Gather signals not used in Simple DMA Mode
m_axis_mm2s_ftch_tready <= '0';
s_axis_mm2s_updtptr_tdata <= (others => '0');
s_axis_mm2s_updtptr_tvalid <= '0';
s_axis_mm2s_updtptr_tlast <= '0';
s_axis_mm2s_updtsts_tdata <= (others => '0');
s_axis_mm2s_updtsts_tvalid <= '0';
s_axis_mm2s_updtsts_tlast <= '0';
desc_available <= '0';
desc_fetch_done <= '0';
packet_in_progress <= '0';
desc_update_done <= '0';
cntrlstrm_fifo_wren <= '0';
cntrlstrm_fifo_din <= (others => '0');
mm2s_new_curdesc <= (others => '0');
mm2s_new_curdesc_wren <= '0';
mm2s_desc_baddress <= (others => '0');
mm2s_desc_blength <= (others => '0');
mm2s_desc_blength_v <= (others => '0');
mm2s_desc_blength_s <= (others => '0');
mm2s_desc_eof <= '0';
mm2s_desc_sof <= '0';
mm2s_desc_cmplt <= '0';
mm2s_desc_app0 <= (others => '0');
mm2s_desc_app1 <= (others => '0');
mm2s_desc_app2 <= (others => '0');
mm2s_desc_app3 <= (others => '0');
mm2s_desc_app4 <= (others => '0');
desc_fetch_req <= '0';
-- Simple DMA State Machine
I_MM2S_SMPL_SM : entity axi_dma_v7_1_9.axi_dma_smple_sm
generic map(
C_M_AXI_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH ,
C_SG_LENGTH_WIDTH => C_SG_LENGTH_WIDTH,
C_MICRO_DMA => C_MICRO_DMA
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Channel 1 Control and Status
run_stop => mm2s_run_stop ,
keyhole => mm2s_keyhole ,
stop => mm2s_stop_i ,
cmnd_idle => mm2s_cmnd_idle ,
sts_idle => mm2s_sts_idle ,
-- DataMover Status
sts_received => mm2s_sts_received ,
sts_received_clr => mm2s_sts_received_clr ,
-- DataMover Command
cmnd_wr => mm2s_cmnd_wr_1 ,
cmnd_data => mm2s_cmnd_data ,
cmnd_pending => mm2s_cmnd_pending ,
-- Trasnfer Qualifiers
xfer_length_wren => mm2s_length_wren ,
xfer_address => mm2s_sa ,
xfer_length => mm2s_length
);
-- Pass Done/Error Status out to DMASR
mm2s_interr_set <= mm2s_interr;
mm2s_slverr_set <= mm2s_slverr;
mm2s_decerr_set <= mm2s_decerr;
-- S2MM Simple DMA Transfer Done - used to assert IOC bit in DMASR.
-- Receive clear when not shutting down
mm2s_smple_done <= mm2s_sts_received_clr when mm2s_stop_i = '0'
-- Else halt set prior to halted being set
else mm2s_halted_set_i when mm2s_halted = '0'
else '0';
end generate GEN_SIMPLE_DMA_MODE;
-------------------------------------------------------------------------------
-- MM2S Primary DataMover command status interface
-------------------------------------------------------------------------------
I_MM2S_CMDSTS : entity axi_dma_v7_1_9.axi_dma_mm2s_cmdsts_if
generic map(
C_M_AXI_MM2S_ADDR_WIDTH => C_M_AXI_MM2S_ADDR_WIDTH,
C_ENABLE_MULTI_CHANNEL => C_ENABLE_MULTI_CHANNEL,
C_ENABLE_QUEUE => C_SG_INCLUDE_DESC_QUEUE
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- Fetch command write interface from mm2s sm
mm2s_cmnd_wr => mm2s_cmnd_wr_1 ,
mm2s_cmnd_data => mm2s_cmnd_data ,
mm2s_cmnd_pending => mm2s_cmnd_pending ,
mm2s_sts_received_clr => mm2s_sts_received_clr ,
mm2s_sts_received => mm2s_sts_received ,
mm2s_tailpntr_enble => mm2s_tailpntr_enble ,
mm2s_desc_cmplt => mm2s_desc_cmplt ,
-- User Command Interface Ports (AXI Stream)
s_axis_mm2s_cmd_tvalid => s_axis_mm2s_cmd_tvalid ,
s_axis_mm2s_cmd_tready => s_axis_mm2s_cmd_tready ,
s_axis_mm2s_cmd_tdata => s_axis_mm2s_cmd_tdata ,
-- User Status Interface Ports (AXI Stream)
m_axis_mm2s_sts_tvalid => m_axis_mm2s_sts_tvalid ,
m_axis_mm2s_sts_tready => m_axis_mm2s_sts_tready ,
m_axis_mm2s_sts_tdata => m_axis_mm2s_sts_tdata ,
m_axis_mm2s_sts_tkeep => m_axis_mm2s_sts_tkeep ,
-- MM2S Primary DataMover Status
mm2s_err => mm2s_err ,
mm2s_done => mm2s_done ,
mm2s_error => dma_mm2s_error ,
mm2s_interr => mm2s_interr ,
mm2s_slverr => mm2s_slverr ,
mm2s_decerr => mm2s_decerr ,
mm2s_tag => mm2s_tag
);
---------------------------------------------------------------------------
-- Halt / Idle Status Manager
---------------------------------------------------------------------------
I_MM2S_STS_MNGR : entity axi_dma_v7_1_9.axi_dma_mm2s_sts_mngr
generic map(
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC
)
port map(
m_axi_sg_aclk => m_axi_sg_aclk ,
m_axi_sg_aresetn => m_axi_sg_aresetn ,
-- dma control and sg engine status signals
mm2s_run_stop => mm2s_run_stop ,
mm2s_ftch_idle => mm2s_ftch_idle ,
mm2s_updt_idle => mm2s_updt_idle ,
mm2s_cmnd_idle => mm2s_cmnd_idle ,
mm2s_sts_idle => mm2s_sts_idle ,
-- stop and halt control/status
mm2s_stop => mm2s_stop_i ,
mm2s_halt_cmplt => mm2s_halt_cmplt ,
-- system state and control
mm2s_all_idle => mm2s_all_idle ,
mm2s_halted_clr => mm2s_halted_clr ,
mm2s_halted_set => mm2s_halted_set_i ,
mm2s_idle_set => mm2s_idle_set ,
mm2s_idle_clr => mm2s_idle_clr
);
-- MM2S Control Stream Included
GEN_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 1 and C_INCLUDE_SG = 1 generate
begin
-- Register soft reset to create rising edge pulse to use for shut down.
-- soft_reset from DMACR does not clear until after all reset processes
-- are done. This causes stop to assert too long causing issue with
-- status stream skid buffer.
REG_SFT_RST : process(m_axi_sg_aclk)
begin
if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then
if(m_axi_sg_aresetn = '0')then
soft_reset_d1 <= '0';
soft_reset_d2 <= '0';
else
soft_reset_d1 <= soft_reset;
soft_reset_d2 <= soft_reset_d1;
end if;
end if;
end process REG_SFT_RST;
-- Rising edge soft reset pulse
soft_reset_re <= soft_reset_d1 and not soft_reset_d2;
-- Control Stream module stop requires rising edge of soft reset to
-- shut down due to DMACR.SoftReset does not deassert on internal hard reset
-- It clears after therefore do not want to issue another stop to cntrl strm
-- skid buffer.
cntrl_strm_stop <= mm2s_error_i -- Error
or soft_reset_re; -- Soft Reset issued
-- Control stream interface
-- I_MM2S_CNTRL_STREAM : entity axi_dma_v7_1_9.axi_dma_mm2s_cntrl_strm
-- generic map(
-- C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
-- C_PRMY_CMDFIFO_DEPTH => C_PRMY_CMDFIFO_DEPTH ,
-- C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH => C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH ,
-- C_FAMILY => C_FAMILY
-- )
-- port map(
-- -- Secondary clock / reset
-- m_axi_sg_aclk => m_axi_sg_aclk ,
-- m_axi_sg_aresetn => m_axi_sg_aresetn ,
--
-- -- Primary clock / reset
-- axi_prmry_aclk => axi_prmry_aclk ,
-- p_reset_n => p_reset_n ,
--
-- -- MM2S Error
-- mm2s_stop => cntrl_strm_stop ,
--
-- -- Control Stream input
---- cntrlstrm_fifo_wren => cntrlstrm_fifo_wren ,
-- cntrlstrm_fifo_full => cntrlstrm_fifo_full ,
-- cntrlstrm_fifo_din => cntrlstrm_fifo_din ,
--
-- -- Memory Map to Stream Control Stream Interface
-- m_axis_mm2s_cntrl_tdata => m_axis_mm2s_cntrl_tdata ,
-- m_axis_mm2s_cntrl_tkeep => m_axis_mm2s_cntrl_tkeep ,
-- m_axis_mm2s_cntrl_tvalid => m_axis_mm2s_cntrl_tvalid ,
-- m_axis_mm2s_cntrl_tready => m_axis_mm2s_cntrl_tready ,
-- m_axis_mm2s_cntrl_tlast => m_axis_mm2s_cntrl_tlast
--
-- );
end generate GEN_CNTRL_STREAM;
-- MM2S Control Stream Excluded
GEN_NO_CNTRL_STREAM : if C_SG_INCLUDE_STSCNTRL_STRM = 0 or C_INCLUDE_SG = 0 generate
begin
soft_reset_d1 <= '0';
soft_reset_d2 <= '0';
soft_reset_re <= '0';
cntrl_strm_stop <= '0';
end generate GEN_NO_CNTRL_STREAM;
m_axis_mm2s_cntrl_tdata <= (others => '0');
m_axis_mm2s_cntrl_tkeep <= (others => '0');
m_axis_mm2s_cntrl_tvalid <= '0';
m_axis_mm2s_cntrl_tlast <= '0';
end generate GEN_MM2S_DMA_CONTROL;
-------------------------------------------------------------------------------
-- Exclude MM2S State Machine and support logic
-------------------------------------------------------------------------------
GEN_NO_MM2S_DMA_CONTROL : if C_INCLUDE_MM2S = 0 generate
begin
m_axis_mm2s_ftch_tready <= '0';
s_axis_mm2s_updtptr_tdata <= (others =>'0');
s_axis_mm2s_updtptr_tvalid <= '0';
s_axis_mm2s_updtptr_tlast <= '0';
s_axis_mm2s_updtsts_tdata <= (others =>'0');
s_axis_mm2s_updtsts_tvalid <= '0';
s_axis_mm2s_updtsts_tlast <= '0';
mm2s_new_curdesc <= (others =>'0');
mm2s_new_curdesc_wren <= '0';
s_axis_mm2s_cmd_tvalid <= '0';
s_axis_mm2s_cmd_tdata <= (others =>'0');
m_axis_mm2s_sts_tready <= '0';
mm2s_halted_clr <= '0';
mm2s_halted_set <= '0';
mm2s_idle_set <= '0';
mm2s_idle_clr <= '0';
m_axis_mm2s_cntrl_tdata <= (others => '0');
m_axis_mm2s_cntrl_tkeep <= (others => '0');
m_axis_mm2s_cntrl_tvalid <= '0';
m_axis_mm2s_cntrl_tlast <= '0';
mm2s_stop <= '0';
mm2s_desc_flush <= '0';
mm2s_all_idle <= '1';
mm2s_error <= '0'; -- CR#570587
mm2s_interr_set <= '0';
mm2s_slverr_set <= '0';
mm2s_decerr_set <= '0';
mm2s_smple_done <= '0';
cntrl_strm_stop <= '0';
end generate GEN_NO_MM2S_DMA_CONTROL;
TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 1) generate
process (m_axi_sg_aclk)
begin
if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
desc_fetch_done_del <= '0';
else --if (m_axi_sg_aclk'event and m_axi_sg_aclk = '1') then
desc_fetch_done_del <= desc_fetch_done;
end if;
end if;
end process;
process (m_axis_mm2s_aclk)
begin
if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
fifo_empty <= '0';
else
fifo_empty <= info_fifo_empty;
end if;
end if;
end process;
process (m_axis_mm2s_aclk)
begin
if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then
if (m_axi_sg_aresetn = '0') then
fifo_empty_first <= '0';
fifo_empty_first1 <= '0';
else
if (fifo_empty_first = '0' and (info_fifo_empty = '0' and fifo_empty = '1')) then
fifo_empty_first <= '1';
end if;
fifo_empty_first1 <= fifo_empty_first;
end if;
end if;
end process;
first_read_pulse <= fifo_empty_first and (not fifo_empty_first1);
fifo_read <= first_read_pulse or rd_en_hold;
mm2s_desc_info_int <= mm2s_desc_info (19 downto 16) & mm2s_desc_info (12 downto 8) & mm2s_desc_info (4 downto 0);
-- mm2s_strm_tlast_int <= mm2s_strm_tlast and (not info_fifo_empty);
-- process (m_axis_mm2s_aclk)
-- begin
-- if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then
-- if (p_reset_n = '0') then
-- rd_en_hold <= '0';
-- rd_en_hold_int <= '0';
-- else
-- if (rd_en_hold = '1') then
-- rd_en_hold <= '0';
-- elsif (info_fifo_empty = '0' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then
-- rd_en_hold <= '1';
-- rd_en_hold_int <= '0';
-- else
-- rd_en_hold <= rd_en_hold;
-- rd_en_hold_int <= rd_en_hold_int;
-- end if;
-- end if;
-- end if;
-- end process;
process (m_axis_mm2s_aclk)
begin
if (m_axis_mm2s_aclk'event and m_axis_mm2s_aclk = '1') then
if (p_reset_n = '0') then
rd_en_hold <= '0';
rd_en_hold_int <= '0';
else
if (info_fifo_empty = '1' and mm2s_strm_tlast = '1' and mm2s_strm_tready = '1') then
rd_en_hold <= '1';
rd_en_hold_int <= '0';
elsif (info_fifo_empty = '0') then
rd_en_hold <= mm2s_strm_tlast and mm2s_strm_tready;
rd_en_hold_int <= rd_en_hold;
else
rd_en_hold <= rd_en_hold;
rd_en_hold_int <= rd_en_hold_int;
end if;
end if;
end if;
end process;
fifo_rst <= not (m_axi_sg_aresetn);
-- Following FIFO is used to store the Tuser, Tid and xCache info
I_INFO_FIFO : entity axi_dma_v7_1_9.axi_dma_afifo_autord
generic map(
C_DWIDTH => 14,
C_DEPTH => 31 ,
C_CNT_WIDTH => 5 ,
C_USE_BLKMEM => 0,
C_USE_AUTORD => 1,
C_PRMRY_IS_ACLK_ASYNC => C_PRMRY_IS_ACLK_ASYNC ,
C_FAMILY => C_FAMILY
)
port map(
-- Inputs
AFIFO_Ainit => fifo_rst ,
AFIFO_Wr_clk => m_axi_sg_aclk ,
AFIFO_Wr_en => desc_fetch_done_del ,
AFIFO_Din => mm2s_desc_info_int ,
AFIFO_Rd_clk => m_axis_mm2s_aclk ,
AFIFO_Rd_en => rd_en_hold_int, --fifo_read, --mm2s_strm_tlast_int ,
AFIFO_Clr_Rd_Data_Valid => '0' ,
-- Outputs
AFIFO_DValid => open ,
AFIFO_Dout => mm2s_axis_info ,
AFIFO_Full => info_fifo_full ,
AFIFO_Empty => info_fifo_empty ,
AFIFO_Almost_full => open ,
AFIFO_Almost_empty => open ,
AFIFO_Wr_count => open ,
AFIFO_Rd_count => open ,
AFIFO_Corr_Rd_count => open ,
AFIFO_Corr_Rd_count_minus1 => open ,
AFIFO_Rd_ack => open
);
end generate TDEST_FIFO;
NO_TDEST_FIFO : if (C_ENABLE_MULTI_CHANNEL = 0) generate
mm2s_axis_info <= (others => '0');
end generate NO_TDEST_FIFO;
end implementation;
|
gpl-3.0
|
nickg/nvc
|
test/bounds/issue208.vhd
|
5
|
711
|
entity test is
end test;
architecture fum of test is
signal foo : bit_vector(1 downto 0);
alias foo1 is foo(1);
alias foo0 is foo(0);
begin
dummy:
process is
begin
for i in foo'range loop -- range is 1 downto 0
case i is -- OK
when 0 =>
report "foo(0) = " & bit'image(foo0);
when 1 =>
report "foo(1) = " & bit'image(foo1);
end case;
case i is -- Error
when 0 =>
report "foo(0) = " & bit'image(foo0);
end case;
end loop;
wait;
end process dummy;
end architecture fum;
|
gpl-3.0
|
nickg/nvc
|
lib/ieee/math_complex-body.vhdl
|
3
|
52648
|
-- -----------------------------------------------------------------
--
-- Copyright 2019 IEEE P1076 WG Authors
--
-- See the LICENSE file distributed with this work for copyright and
-- licensing information and the AUTHORS file.
--
-- This file to you under the Apache License, Version 2.0 (the "License").
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
-- implied. See the License for the specific language governing
-- permissions and limitations under the License.
--
-- Title : Standard VHDL Mathematical Packages
-- : (MATH_COMPLEX package body)
-- :
-- Library : This package shall be compiled into a library
-- : symbolically named IEEE.
-- :
-- Developers: IEEE DASC VHDL Mathematical Packages Working Group
-- :
-- Purpose : This package defines a standard for designers to use in
-- : describing VHDL models that make use of common COMPLEX
-- : constants and common COMPLEX mathematical functions and
-- : operators.
-- :
-- Limitation: The values generated by the functions in this package
-- : may vary from platform to platform, and the precision
-- : of results is only guaranteed to be the minimum required
-- : by IEEE Std 1076-2008.
-- :
-- Note : This package may be modified to include additional data
-- : required by tools, but it must in no way change the
-- : external interfaces or simulation behavior of the
-- : description. It is permissible to add comments and/or
-- : attributes to the package declarations, but not to change
-- : or delete any original lines of the package declaration.
-- : The package body may be changed only in accordance with
-- : the terms of Clause 16 of this standard.
-- :
-- --------------------------------------------------------------------
-- $Revision: 1220 $
-- $Date: 2008-04-10 17:16:09 +0930 (Thu, 10 Apr 2008) $
-- --------------------------------------------------------------------
use WORK.MATH_REAL.all;
package body MATH_COMPLEX is
--
-- Equality and Inequality Operators for COMPLEX_POLAR
--
function "=" ( L: in COMPLEX_POLAR; R: in COMPLEX_POLAR ) return BOOLEAN
is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns FALSE on error
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in =(L,R)"
severity ERROR;
return FALSE;
end if;
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in =(L,R)"
severity ERROR;
return FALSE;
end if;
-- Get special values
if ( L.MAG = 0.0 and R.MAG = 0.0 ) then
return TRUE;
end if;
-- Get value for general case
if ( L.MAG = R.MAG and L.ARG = R.ARG ) then
return TRUE;
end if;
return FALSE;
end function "=";
function "/=" ( L: in COMPLEX_POLAR; R: in COMPLEX_POLAR ) return BOOLEAN
is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns FALSE on error
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in /=(L,R)"
severity ERROR;
return FALSE;
end if;
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in /=(L,R)"
severity ERROR;
return FALSE;
end if;
-- Get special values
if ( L.MAG = 0.0 and R.MAG = 0.0 ) then
return FALSE;
end if;
-- Get value for general case
if ( L.MAG = R.MAG and L.ARG = R.ARG ) then
return FALSE;
end if;
return TRUE;
end function "/=";
--
-- Other Functions Start Here
--
function CMPLX(X: in REAL; Y: in REAL := 0.0 ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(X, Y);
end function CMPLX;
function GET_PRINCIPAL_VALUE(X: in REAL ) return PRINCIPAL_VALUE is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
variable TEMP: REAL;
begin
-- Check if already a principal value
if ( X > -MATH_PI and X <= MATH_PI ) then
return PRINCIPAL_VALUE'(X);
end if;
-- Get principal value
TEMP := X;
while ( TEMP <= -MATH_PI ) loop
TEMP := TEMP + MATH_2_PI;
end loop;
while (TEMP > MATH_PI ) loop
TEMP := TEMP - MATH_2_PI;
end loop;
return PRINCIPAL_VALUE'(TEMP);
end function GET_PRINCIPAL_VALUE;
function COMPLEX_TO_POLAR(Z: in COMPLEX ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
variable TEMP: REAL;
begin
-- Get value for special cases
if ( Z.RE = 0.0 ) then
if ( Z.IM = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
elsif ( Z.IM > 0.0 ) then
return COMPLEX_POLAR'(Z.IM, MATH_PI_OVER_2);
else
return COMPLEX_POLAR'(-Z.IM, -MATH_PI_OVER_2);
end if;
end if;
if ( Z.IM = 0.0 ) then
if ( Z.RE = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
elsif ( Z.RE > 0.0 ) then
return COMPLEX_POLAR'(Z.RE, 0.0);
else
return COMPLEX_POLAR'(-Z.RE, MATH_PI);
end if;
end if;
-- Get principal value for general case
TEMP := ARCTAN(Z.IM, Z.RE);
return COMPLEX_POLAR'(SQRT(Z.RE*Z.RE + Z.IM*Z.IM),
GET_PRINCIPAL_VALUE(TEMP));
end function COMPLEX_TO_POLAR;
function POLAR_TO_COMPLEX(Z: in COMPLEX_POLAR ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns MATH_CZERO on error
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in POLAR_TO_COMPLEX(Z)"
severity ERROR;
return MATH_CZERO;
end if;
-- Get value for general case
return COMPLEX'( Z.MAG*COS(Z.ARG), Z.MAG*SIN(Z.ARG) );
end function POLAR_TO_COMPLEX;
function "ABS"(Z: in COMPLEX ) return POSITIVE_REAL is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) ABS(Z) = SQRT(Z.RE*Z.RE + Z.IM*Z.IM)
begin
-- Get value for general case
return POSITIVE_REAL'(SQRT(Z.RE*Z.RE + Z.IM*Z.IM));
end function "ABS";
function "ABS"(Z: in COMPLEX_POLAR ) return POSITIVE_REAL is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) ABS(Z) = Z.MAG
-- b) Returns 0.0 on error
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in ABS(Z)"
severity ERROR;
return 0.0;
end if;
-- Get value for general case
return Z.MAG;
end function "ABS";
function ARG(Z: in COMPLEX ) return PRINCIPAL_VALUE is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) ARG(Z) = ARCTAN(Z.IM, Z.RE)
variable ZTEMP : COMPLEX_POLAR;
begin
-- Get value for general case
ZTEMP := COMPLEX_TO_POLAR(Z);
return ZTEMP.ARG;
end function ARG;
function ARG(Z: in COMPLEX_POLAR ) return PRINCIPAL_VALUE is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) ARG(Z) = Z.ARG
-- b) Returns 0.0 on error
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in ARG(Z)"
severity ERROR;
return 0.0;
end if;
-- Get value for general case
return Z.ARG;
end function ARG;
function "-" (Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns -x -jy for Z = x + jy
begin
-- Get value for general case
return COMPLEX'(-Z.RE, -Z.IM);
end function "-";
function "-" (Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns (Z.MAG, Z.ARG + MATH_PI)
-- b) Returns Z on error
variable TEMP: REAL;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in -(Z)"
severity ERROR;
return Z;
end if;
-- Get principal value for general case
TEMP := REAL'(Z.ARG) + MATH_PI;
return COMPLEX_POLAR'(Z.MAG, GET_PRINCIPAL_VALUE(TEMP));
end function "-";
function CONJ (Z: in COMPLEX) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns x - jy for Z = x + jy
begin
-- Get value for general case
return COMPLEX'(Z.RE, -Z.IM);
end function CONJ;
function CONJ (Z: in COMPLEX_POLAR) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX conjugate (Z.MAG, -Z.ARG)
-- b) Returns Z on error
--
variable TEMP: PRINCIPAL_VALUE;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in CONJ(Z)"
severity ERROR;
return Z;
end if;
-- Get principal value for general case
if ( Z.ARG = MATH_PI or Z.ARG = 0.0 ) then
TEMP := Z.ARG;
else
TEMP := -Z.ARG;
end if;
return COMPLEX_POLAR'(Z.MAG, TEMP);
end function CONJ;
function SQRT(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
variable ZTEMP : COMPLEX_POLAR;
variable ZOUT : COMPLEX;
variable TMAG : REAL;
variable TARG : REAL;
begin
-- Get value for special cases
if ( Z = MATH_CZERO ) then
return MATH_CZERO;
end if;
-- Get value for general case
ZTEMP := COMPLEX_TO_POLAR(Z);
TMAG := SQRT(ZTEMP.MAG);
TARG := 0.5*ZTEMP.ARG;
if ( COS(TARG) > 0.0 ) then
ZOUT.RE := TMAG*COS(TARG);
ZOUT.IM := TMAG*SIN(TARG);
return ZOUT;
end if;
if ( COS(TARG) < 0.0 ) then
ZOUT.RE := TMAG*COS(TARG + MATH_PI);
ZOUT.IM := TMAG*SIN(TARG + MATH_PI);
return ZOUT;
end if;
if ( SIN(TARG) > 0.0 ) then
ZOUT.RE := 0.0;
ZOUT.IM := TMAG*SIN(TARG);
return ZOUT;
end if;
ZOUT.RE := 0.0;
ZOUT.IM := TMAG*SIN(TARG + MATH_PI);
return ZOUT;
end function SQRT;
function SQRT(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns Z on error
variable ZOUT : COMPLEX_POLAR;
variable TMAG : REAL;
variable TARG : REAL;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in SQRT(Z)"
severity ERROR;
return Z;
end if;
-- Get value for special cases
if ( Z.MAG = 0.0 and Z.ARG = 0.0 ) then
return Z;
end if;
-- Get principal value for general case
TMAG := SQRT(Z.MAG);
TARG := 0.5*Z.ARG;
ZOUT.MAG := POSITIVE_REAL'(TMAG);
if ( COS(TARG) < 0.0 ) then
TARG := TARG + MATH_PI;
end if;
if ( (COS(TARG) = 0.0) and (SIN(TARG) < 0.0) ) then
TARG := TARG + MATH_PI;
end if;
ZOUT.ARG := GET_PRINCIPAL_VALUE(TARG);
return ZOUT;
end function SQRT;
function EXP(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
variable TEMP: REAL;
begin
-- Get value for special cases
if ( Z = MATH_CZERO ) then
return MATH_CBASE_1;
end if;
if ( Z.RE = 0.0 ) then
if ( Z.IM = MATH_PI or Z.IM = -MATH_PI ) then
return COMPLEX'(-1.0, 0.0);
end if;
if ( Z.IM = MATH_PI_OVER_2 ) then
return MATH_CBASE_J;
end if;
if ( Z.IM = -MATH_PI_OVER_2 ) then
return COMPLEX'(0.0, -1.0);
end if;
end if;
-- Get value for general case
TEMP := EXP(Z.RE);
return COMPLEX'(TEMP*COS(Z.IM), TEMP*SIN(Z.IM));
end function EXP;
function EXP(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns Z on error
variable ZTEMP : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in EXP(Z)"
severity ERROR;
return Z;
end if;
-- Get value for special cases
if ( Z.MAG = 0.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(1.0, 0.0);
end if;
if ( Z.MAG = MATH_PI and (Z.ARG = MATH_PI_OVER_2 or
Z.ARG = -MATH_PI_OVER_2 )) then
return COMPLEX_POLAR'(1.0, MATH_PI);
end if;
if ( Z.MAG = MATH_PI_OVER_2 ) then
if ( Z.ARG = MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(1.0, MATH_PI_OVER_2);
end if;
if ( Z.ARG = -MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(1.0, -MATH_PI_OVER_2);
end if;
end if;
-- Get principal value for general case
ZTEMP := POLAR_TO_COMPLEX(Z);
ZOUT.MAG := POSITIVE_REAL'(EXP(ZTEMP.RE));
ZOUT.ARG := GET_PRINCIPAL_VALUE(ZTEMP.IM);
return ZOUT;
end function EXP;
function LOG(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX'(REAL'LOW, 0.0) on error
variable ZTEMP : COMPLEX_POLAR;
variable TEMP : REAL;
begin
-- Check validity of input arguments
if ( Z.RE = 0.0 and Z.IM = 0.0 ) then
assert FALSE
report "Z.RE = 0.0 and Z.IM = 0.0 in LOG(Z)"
severity ERROR;
return COMPLEX'(REAL'LOW, 0.0);
end if;
-- Get value for special cases
if ( Z.IM = 0.0 ) then
if ( Z.RE = -1.0 ) then
return COMPLEX'(0.0, MATH_PI);
end if;
if ( Z.RE = MATH_E ) then
return MATH_CBASE_1;
end if;
if ( Z.RE = 1.0 ) then
return MATH_CZERO;
end if;
end if;
if ( Z.RE = 0.0 ) then
if (Z.IM = 1.0) then
return COMPLEX'(0.0, MATH_PI_OVER_2);
end if;
if (Z.IM = -1.0) then
return COMPLEX'(0.0, -MATH_PI_OVER_2);
end if;
end if;
-- Get value for general case
ZTEMP := COMPLEX_TO_POLAR(Z);
TEMP := LOG(ZTEMP.MAG);
return COMPLEX'(TEMP, ZTEMP.ARG);
end function LOG;
function LOG2(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX'(REAL'LOW, 0.0) on error
variable ZTEMP : COMPLEX_POLAR;
variable TEMP : REAL;
begin
-- Check validity of input arguments
if ( Z.RE = 0.0 and Z.IM = 0.0 ) then
assert FALSE
report "Z.RE = 0.0 and Z.IM = 0.0 in LOG2(Z)"
severity ERROR;
return COMPLEX'(REAL'LOW, 0.0);
end if;
-- Get value for special cases
if ( Z.IM = 0.0 ) then
if ( Z.RE = 2.0 ) then
return MATH_CBASE_1;
end if;
if ( Z.RE = 1.0 ) then
return MATH_CZERO;
end if;
end if;
-- Get value for general case
ZTEMP := COMPLEX_TO_POLAR(Z);
TEMP := MATH_LOG2_OF_E*LOG(ZTEMP.MAG);
return COMPLEX'(TEMP, MATH_LOG2_OF_E*ZTEMP.ARG);
end function LOG2;
function LOG10(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX'(REAL'LOW, 0.0) on error
variable ZTEMP : COMPLEX_POLAR;
variable TEMP : REAL;
begin
-- Check validity of input arguments
if ( Z.RE = 0.0 and Z.IM = 0.0 ) then
assert FALSE
report "Z.RE = 0.0 and Z.IM = 0.0 in LOG10(Z)"
severity ERROR;
return COMPLEX'(REAL'LOW, 0.0);
end if;
-- Get value for special cases
if ( Z.IM = 0.0 ) then
if ( Z.RE = 10.0 ) then
return MATH_CBASE_1;
end if;
if ( Z.RE = 1.0 ) then
return MATH_CZERO;
end if;
end if;
-- Get value for general case
ZTEMP := COMPLEX_TO_POLAR(Z);
TEMP := MATH_LOG10_OF_E*LOG(ZTEMP.MAG);
return COMPLEX'(TEMP, MATH_LOG10_OF_E*ZTEMP.ARG);
end function LOG10;
function LOG(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(REAL'HIGH, MATH_PI) on error
variable ZTEMP : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.MAG <= 0.0 ) then
assert FALSE
report "Z.MAG <= 0.0 in LOG(Z)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in LOG(Z)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
-- Compute value for special cases
if (Z.MAG = 1.0 ) then
if ( Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.ARG = MATH_PI ) then
return COMPLEX_POLAR'(MATH_PI, MATH_PI_OVER_2);
end if;
if ( Z.ARG = MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(MATH_PI_OVER_2, MATH_PI_OVER_2);
end if;
if ( Z.ARG = -MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(MATH_PI_OVER_2, -MATH_PI_OVER_2);
end if;
end if;
if ( Z.MAG = MATH_E and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(1.0, 0.0);
end if;
-- Compute value for general case
ZTEMP.RE := LOG(Z.MAG);
ZTEMP.IM := Z.ARG;
ZOUT := COMPLEX_TO_POLAR(ZTEMP);
return ZOUT;
end function LOG;
function LOG2(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(REAL'HIGH, MATH_PI) on error
variable ZTEMP : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.MAG <= 0.0 ) then
assert FALSE
report "Z.MAG <= 0.0 in LOG2(Z)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in LOG2(Z)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
-- Compute value for special cases
if (Z.MAG = 1.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = 2.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(1.0, 0.0);
end if;
-- Compute value for general case
ZTEMP.RE := MATH_LOG2_OF_E*LOG(Z.MAG);
ZTEMP.IM := MATH_LOG2_OF_E*Z.ARG;
ZOUT := COMPLEX_TO_POLAR(ZTEMP);
return ZOUT;
end function LOG2;
function LOG10(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(REAL'HIGH, MATH_PI) on error
variable ZTEMP : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.MAG <= 0.0 ) then
assert FALSE
report "Z.MAG <= 0.0 in LOG10(Z)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in LOG10(Z)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
-- Compute value for special cases
if (Z.MAG = 1.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = 10.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(1.0, 0.0);
end if;
-- Compute value for general case
ZTEMP.RE := MATH_LOG10_OF_E*LOG(Z.MAG);
ZTEMP.IM := MATH_LOG10_OF_E*Z.ARG;
ZOUT := COMPLEX_TO_POLAR(ZTEMP);
return ZOUT;
end function LOG10;
function LOG(Z: in COMPLEX; BASE: in REAL ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX'(REAL'LOW, 0.0) on error
variable ZTEMP : COMPLEX_POLAR;
variable TEMPRE : REAL;
variable TEMPIM : REAL;
begin
-- Check validity of input arguments
if ( Z.RE = 0.0 and Z.IM = 0.0 ) then
assert FALSE
report "Z.RE = 0.0 and Z.IM = 0.0 in LOG(Z,BASE)"
severity ERROR;
return COMPLEX'(REAL'LOW, 0.0);
end if;
if ( BASE <= 0.0 or BASE = 1.0 ) then
assert FALSE
report "BASE <= 0.0 or BASE = 1.0 in LOG(Z,BASE)"
severity ERROR;
return COMPLEX'(REAL'LOW, 0.0);
end if;
-- Get value for special cases
if ( Z.IM = 0.0 ) then
if ( Z.RE = BASE ) then
return MATH_CBASE_1;
end if;
if ( Z.RE = 1.0 ) then
return MATH_CZERO;
end if;
end if;
-- Get value for general case
ZTEMP := COMPLEX_TO_POLAR(Z);
TEMPRE := LOG(ZTEMP.MAG, BASE);
TEMPIM := ZTEMP.ARG/LOG(BASE);
return COMPLEX'(TEMPRE, TEMPIM);
end function LOG;
function LOG(Z: in COMPLEX_POLAR; BASE: in REAL ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(REAL'HIGH, MATH_PI) on error
variable ZTEMP : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.MAG <= 0.0 ) then
assert FALSE
report "Z.MAG <= 0.0 in LOG(Z,BASE)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
if ( BASE <= 0.0 or BASE = 1.0 ) then
assert FALSE
report "BASE <= 0.0 or BASE = 1.0 in LOG(Z,BASE)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in LOG(Z,BASE)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, MATH_PI);
end if;
-- Compute value for special cases
if (Z.MAG = 1.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = BASE and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(1.0, 0.0);
end if;
-- Compute value for general case
ZTEMP.RE := LOG(Z.MAG, BASE);
ZTEMP.IM := Z.ARG/LOG(BASE);
ZOUT := COMPLEX_TO_POLAR(ZTEMP);
return ZOUT;
end function LOG;
function SIN(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
-- Get value for special cases
if ( Z.IM = 0.0 ) then
if ( Z.RE = 0.0 or Z.RE = MATH_PI) then
return MATH_CZERO;
end if;
end if;
-- Get value for general case
return COMPLEX'(SIN(Z.RE)*COSH(Z.IM), COS(Z.RE)*SINH(Z.IM));
end function SIN;
function SIN(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(0.0, 0.0) on error
variable Z1, Z2 : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in SIN(Z)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Compute value for special cases
if ( Z.MAG = 0.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = MATH_PI and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Compute value for general case
Z1 := POLAR_TO_COMPLEX(Z);
Z2 := COMPLEX'(SIN(Z1.RE)*COSH(Z1.IM), COS(Z1.RE)*SINH(Z1.IM));
ZOUT := COMPLEX_TO_POLAR(Z2);
return ZOUT;
end function SIN;
function COS(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
-- Get value for special cases
if ( Z.IM = 0.0 ) then
if ( Z.RE = MATH_PI_OVER_2 or Z.RE = -MATH_PI_OVER_2) then
return MATH_CZERO;
end if;
end if;
-- Get value for general case
return COMPLEX'(COS(Z.RE)*COSH(Z.IM), -SIN(Z.RE)*SINH(Z.IM));
end function COS;
function COS(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(0.0, 0.0) on error
variable Z1, Z2 : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in COS(Z)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Compute value for special cases
if ( Z.MAG = MATH_PI_OVER_2 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = MATH_PI_OVER_2 and Z.ARG = MATH_PI ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Compute value for general case
Z1 := POLAR_TO_COMPLEX(Z);
Z2 := COMPLEX'(COS(Z1.RE)*COSH(Z1.IM), -SIN(Z1.RE)*SINH(Z1.IM));
ZOUT := COMPLEX_TO_POLAR(Z2);
return ZOUT;
end function COS;
function SINH(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
-- Get value for special cases
if ( Z.RE = 0.0 ) then
if ( Z.IM = 0.0 or Z.IM = MATH_PI ) then
return MATH_CZERO;
end if;
if ( Z.IM = MATH_PI_OVER_2 ) then
return MATH_CBASE_J;
end if;
if ( Z.IM = -MATH_PI_OVER_2 ) then
return -MATH_CBASE_J;
end if;
end if;
-- Get value for general case
return COMPLEX'(SINH(Z.RE)*COS(Z.IM), COSH(Z.RE)*SIN(Z.IM));
end function SINH;
function SINH(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(0.0, 0.0) on error
variable Z1, Z2 : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in SINH(Z)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Compute value for special cases
if ( Z.MAG = 0.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = MATH_PI and Z.ARG = MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = MATH_PI_OVER_2 and Z.ARG = MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(1.0, MATH_PI_OVER_2);
end if;
if ( Z.MAG = MATH_PI_OVER_2 and Z.ARG = -MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(1.0, -MATH_PI_OVER_2);
end if;
-- Compute value for general case
Z1 := POLAR_TO_COMPLEX(Z);
Z2 := COMPLEX'(SINH(Z1.RE)*COS(Z1.IM), COSH(Z1.RE)*SIN(Z1.IM));
ZOUT := COMPLEX_TO_POLAR(Z2);
return ZOUT;
end function SINH;
function COSH(Z: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
-- Get value for special cases
if ( Z.RE = 0.0 ) then
if ( Z.IM = 0.0 ) then
return MATH_CBASE_1;
end if;
if ( Z.IM = MATH_PI ) then
return -MATH_CBASE_1;
end if;
if ( Z.IM = MATH_PI_OVER_2 or Z.IM = -MATH_PI_OVER_2 ) then
return MATH_CZERO;
end if;
end if;
-- Get value for general case
return COMPLEX'(COSH(Z.RE)*COS(Z.IM), SINH(Z.RE)*SIN(Z.IM));
end function COSH;
function COSH(Z: in COMPLEX_POLAR ) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR(0.0, 0.0) on error
variable Z1, Z2 : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( Z.ARG = -MATH_PI ) then
assert FALSE
report "Z.ARG = -MATH_PI in COSH(Z)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Compute value for special cases
if ( Z.MAG = 0.0 and Z.ARG = 0.0 ) then
return COMPLEX_POLAR'(1.0, 0.0);
end if;
if ( Z.MAG = MATH_PI and Z.ARG = MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(1.0, MATH_PI);
end if;
if ( Z.MAG = MATH_PI_OVER_2 and Z.ARG = MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( Z.MAG = MATH_PI_OVER_2 and Z.ARG = -MATH_PI_OVER_2 ) then
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Compute value for general case
Z1 := POLAR_TO_COMPLEX(Z);
Z2 := COMPLEX'(COSH(Z1.RE)*COS(Z1.IM), SINH(Z1.RE)*SIN(Z1.IM));
ZOUT := COMPLEX_TO_POLAR(Z2);
return ZOUT;
end function COSH;
--
-- Arithmetic Operators
--
function "+" ( L: in COMPLEX; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L.RE + R.RE, L.IM + R.IM);
end function "+";
function "+" ( L: in REAL; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L + R.RE, R.IM);
end function "+";
function "+" ( L: in COMPLEX; R: in REAL ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L.RE + R, L.IM);
end function "+";
function "+" (L: in COMPLEX_POLAR; R: in COMPLEX_POLAR)
return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZL, ZR : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in +(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in +(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZL := POLAR_TO_COMPLEX( L );
ZR := POLAR_TO_COMPLEX( R );
ZOUT := COMPLEX_TO_POLAR(COMPLEX'(ZL.RE + ZR.RE, ZL.IM +ZR.IM));
return ZOUT;
end function "+";
function "+" ( L: in REAL; R: in COMPLEX_POLAR) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
variable ZR : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in +(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZR := POLAR_TO_COMPLEX( R );
ZOUT := COMPLEX_TO_POLAR(COMPLEX'(L + ZR.RE, ZR.IM));
return ZOUT;
end function "+";
function "+" ( L: in COMPLEX_POLAR; R: in REAL) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZL : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in +(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZL := POLAR_TO_COMPLEX( L );
ZOUT := COMPLEX_TO_POLAR(COMPLEX'(ZL.RE + R, ZL.IM));
return ZOUT;
end function "+";
function "-" ( L: in COMPLEX; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L.RE - R.RE, L.IM - R.IM);
end function "-";
function "-" ( L: in REAL; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L - R.RE, -1.0 * R.IM);
end function "-";
function "-" ( L: in COMPLEX; R: in REAL ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L.RE - R, L.IM);
end function "-";
function "-" ( L: in COMPLEX_POLAR; R: in COMPLEX_POLAR)
return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZL, ZR : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in -(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in -(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZL := POLAR_TO_COMPLEX( L );
ZR := POLAR_TO_COMPLEX( R );
ZOUT := COMPLEX_TO_POLAR(COMPLEX'(ZL.RE - ZR.RE, ZL.IM -ZR.IM));
return ZOUT;
end function "-";
function "-" ( L: in REAL; R: in COMPLEX_POLAR) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZR : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in -(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZR := POLAR_TO_COMPLEX( R );
ZOUT := COMPLEX_TO_POLAR(COMPLEX'(L - ZR.RE, -1.0*ZR.IM));
return ZOUT;
end function "-";
function "-" ( L: in COMPLEX_POLAR; R: in REAL) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZL : COMPLEX;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in -(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZL := POLAR_TO_COMPLEX( L );
ZOUT := COMPLEX_TO_POLAR(COMPLEX'(ZL.RE - R, ZL.IM));
return ZOUT;
end function "-";
function "*" ( L: in COMPLEX; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L.RE * R.RE - L.IM * R.IM, L.RE * R.IM + L.IM * R.RE);
end function "*";
function "*" ( L: in REAL; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L * R.RE, L * R.IM);
end function "*";
function "*" ( L: in COMPLEX; R: in REAL ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- None
begin
return COMPLEX'(L.RE * R, L.IM * R);
end function "*";
function "*" ( L: in COMPLEX_POLAR; R: in COMPLEX_POLAR)
return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in *(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in *(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZOUT.MAG := L.MAG * R.MAG;
ZOUT.ARG := GET_PRINCIPAL_VALUE(L.ARG + R.ARG);
return ZOUT;
end function "*";
function "*" ( L: in REAL; R: in COMPLEX_POLAR) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZL : COMPLEX_POLAR;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in *(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZL.MAG := POSITIVE_REAL'(ABS(L));
if ( L < 0.0 ) then
ZL.ARG := MATH_PI;
else
ZL.ARG := 0.0;
end if;
ZOUT.MAG := ZL.MAG * R.MAG;
ZOUT.ARG := GET_PRINCIPAL_VALUE(ZL.ARG + R.ARG);
return ZOUT;
end function "*";
function "*" ( L: in COMPLEX_POLAR; R: in REAL) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(0.0, 0.0) on error
--
variable ZR : COMPLEX_POLAR;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in *(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZR.MAG := POSITIVE_REAL'(ABS(R));
if ( R < 0.0 ) then
ZR.ARG := MATH_PI;
else
ZR.ARG := 0.0;
end if;
ZOUT.MAG := L.MAG * ZR.MAG;
ZOUT.ARG := GET_PRINCIPAL_VALUE(L.ARG + ZR.ARG);
return ZOUT;
end function "*";
function "/" ( L: in COMPLEX; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX'(REAL'HIGH, 0.0) on error
--
constant TEMP : REAL := R.RE*R.RE + R.IM*R.IM;
begin
-- Check validity of input arguments
if (TEMP = 0.0) then
assert FALSE
report "Attempt to divide COMPLEX by (0.0, 0.0)"
severity ERROR;
return COMPLEX'(REAL'HIGH, 0.0);
end if;
-- Get value
return COMPLEX'( (L.RE * R.RE + L.IM * R.IM) / TEMP,
(L.IM * R.RE - L.RE * R.IM) / TEMP);
end function "/";
function "/" ( L: in REAL; R: in COMPLEX ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX'(REAL'HIGH, 0.0) on error
--
variable TEMP : REAL := R.RE*R.RE + R.IM*R.IM;
begin
-- Check validity of input arguments
if (TEMP = 0.0) then
assert FALSE
report "Attempt to divide COMPLEX by (0.0, 0.0)"
severity ERROR;
return COMPLEX'(REAL'HIGH, 0.0);
end if;
-- Get value
TEMP := L / TEMP;
return COMPLEX'( TEMP * R.RE, -TEMP * R.IM );
end function "/";
function "/" ( L: in COMPLEX; R: in REAL ) return COMPLEX is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX'(REAL'HIGH, 0.0) on error
begin
-- Check validity of input arguments
if (R = 0.0) then
assert FALSE
report "Attempt to divide COMPLEX by 0.0"
severity ERROR;
return COMPLEX'(REAL'HIGH, 0.0);
end if;
-- Get value
return COMPLEX'(L.RE / R, L.IM / R);
end function "/";
function "/" ( L: in COMPLEX_POLAR; R: in COMPLEX_POLAR)
return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(REAL'HIGH, 0.0) on error
--
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if (R.MAG = 0.0) then
assert FALSE
report "Attempt to divide COMPLEX_POLAR by (0.0, 0.0)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, 0.0);
end if;
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in /(L,R)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, 0.0);
end if;
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_PI in /(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZOUT.MAG := L.MAG/R.MAG;
ZOUT.ARG := GET_PRINCIPAL_VALUE(L.ARG - R.ARG);
return ZOUT;
end function "/";
function "/" ( L: in COMPLEX_POLAR; R: in REAL) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(REAL'HIGH, 0.0) on error
--
variable ZR : COMPLEX_POLAR;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if (R = 0.0) then
assert FALSE
report "Attempt to divide COMPLEX_POLAR by 0.0"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, 0.0);
end if;
if ( L.ARG = -MATH_PI ) then
assert FALSE
report "L.ARG = -MATH_PI in /(L,R)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, 0.0);
end if;
-- Get principal value
ZR.MAG := POSITIVE_REAL'(ABS(R));
if R < 0.0 then
ZR.ARG := MATH_PI;
else
ZR.ARG := 0.0;
end if;
ZOUT.MAG := L.MAG/ZR.MAG;
ZOUT.ARG := GET_PRINCIPAL_VALUE(L.ARG - ZR.ARG);
return ZOUT;
end function "/";
function "/" ( L: in REAL; R: in COMPLEX_POLAR) return COMPLEX_POLAR is
-- Description:
-- See function declaration in IEEE Std 1076.2-1996
-- Notes:
-- a) Returns COMPLEX_POLAR'(REAL'HIGH, 0.0) on error
--
variable ZL : COMPLEX_POLAR;
variable ZOUT : COMPLEX_POLAR;
begin
-- Check validity of input arguments
if (R.MAG = 0.0) then
assert FALSE
report "Attempt to divide COMPLEX_POLAR by (0.0, 0.0)"
severity ERROR;
return COMPLEX_POLAR'(REAL'HIGH, 0.0);
end if;
if ( R.ARG = -MATH_PI ) then
assert FALSE
report "R.ARG = -MATH_P in /(L,R)"
severity ERROR;
return COMPLEX_POLAR'(0.0, 0.0);
end if;
-- Get principal value
ZL.MAG := POSITIVE_REAL'(ABS(L));
if L < 0.0 then
ZL.ARG := MATH_PI;
else
ZL.ARG := 0.0;
end if;
ZOUT.MAG := ZL.MAG/R.MAG;
ZOUT.ARG := GET_PRINCIPAL_VALUE(ZL.ARG - R.ARG);
return ZOUT;
end function "/";
end package body MATH_COMPLEX;
|
gpl-3.0
|
nickg/nvc
|
test/parse/issue367.vhd
|
2
|
382
|
package r is
function r1(a:bit_vector) return bit_vector;
end package;
package body r is
function r1(a:bit_vector) return bit_vector is
variable ret : bit_vector(a'range);
variable i : integer range a'range; -- Error here
begin
for i in a'range loop
ret(i) := not a(i);
end loop;
return ret;
end r1;
end r;
|
gpl-3.0
|
nickg/nvc
|
test/sem/const2.vhd
|
4
|
229
|
package deferred is
type t_int_array is array (natural range <>) of integer;
constant def_arr : t_int_array;
end package;
package body deferred is
constant def_arr : t_int_array := (0 to 2 => 10);
end package body;
|
gpl-3.0
|
nickg/nvc
|
test/jit/prot1.vhd
|
1
|
764
|
package prot1 is
impure function fetch_and_add (n : integer) return integer;
end package;
package body prot1 is
type pt is protected
procedure increment (n : integer);
impure function get return integer;
end protected;
type pt is protected body
variable counter : integer := 0;
procedure increment (n : integer) is
begin
counter := counter + n;
end procedure;
impure function get return integer is
begin
return counter;
end function;
end protected body;
shared variable p : pt;
impure function fetch_and_add (n : integer) return integer is
begin
p.increment(n);
return p.get;
end function;
end package body;
|
gpl-3.0
|
nickg/nvc
|
test/jit/sum.vhd
|
1
|
907
|
package sumpkg is
type int_vector is array (natural range <>) of integer;
function get_left(a : int_vector) return integer;
function get_right(a : int_vector) return integer;
function get_length(a : int_vector) return integer;
function sum(a : int_vector) return integer;
end package;
package body sumpkg is
function sum(a : int_vector) return integer is
variable result : integer := 0;
begin
for i in a'range loop
result := result + a(i);
end loop;
return result;
end function;
function get_left(a : int_vector) return integer is
begin
return a'left;
end function;
function get_right(a : int_vector) return integer is
begin
return a'right;
end function;
function get_length(a : int_vector) return integer is
begin
return a'length;
end function;
end package body;
|
gpl-3.0
|
Separius/CordicWrapper
|
CordicWrapperTB1.vhd
|
1
|
2631
|
-- Vhdl test bench created from schematic G:\University\5th semester\CAD\CAD-CA5-wrapper\wrapper\FinalDP.sch - Sat Jan 16 17:16:35 2016
--
-- Notes:
-- 1) This testbench template has been automatically generated using types
-- std_logic and std_logic_vector for the ports of the unit under test.
-- Xilinx recommends that these types always be used for the top-level
-- I/O of a design in order to guarantee that the testbench will bind
-- correctly to the timing (post-route) simulation model.
-- 2) To use this template as your testbench, change the filename to any
-- name of your choice with the extension .vhd, and use the "Source->Add"
-- menu in Project Navigator to import the testbench. Then
-- edit the user defined section below, adding code to generate the
-- stimulus for your design.
--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY UNISIM;
USE UNISIM.Vcomponents.ALL;
ENTITY FinalDP_FinalDP_sch_tb IS
END FinalDP_FinalDP_sch_tb;
ARCHITECTURE behavioral OF FinalDP_FinalDP_sch_tb IS
COMPONENT FinalDP
PORT( clk : IN STD_LOGIC;
bus_reset : IN STD_LOGIC;
start0 : IN STD_LOGIC;
rst0 : IN STD_LOGIC;
start1 : IN STD_LOGIC;
rst1 : IN STD_LOGIC;
start2 : IN STD_LOGIC;
rst2 : IN STD_LOGIC;
rst3 : IN STD_LOGIC;
start3 : IN STD_LOGIC);
END COMPONENT;
SIGNAL clk : STD_LOGIC;
SIGNAL bus_reset : STD_LOGIC;
SIGNAL start0 : STD_LOGIC;
SIGNAL rst0 : STD_LOGIC;
SIGNAL start1 : STD_LOGIC;
SIGNAL rst1 : STD_LOGIC;
SIGNAL start2 : STD_LOGIC;
SIGNAL rst2 : STD_LOGIC;
SIGNAL rst3 : STD_LOGIC;
SIGNAL start3 : STD_LOGIC;
constant in_clk_period : time := 10 ns;
BEGIN
in_clk_process :process
begin
clk <= '0';
wait for in_clk_period/2;
clk <= '1';
wait for in_clk_period/2;
end process;
UUT: FinalDP PORT MAP(
clk => clk,
bus_reset => bus_reset,
start0 => start0,
rst0 => rst0,
start1 => start1,
rst1 => rst1,
start2 => start2,
rst2 => rst2,
rst3 => rst3,
start3 => start3
);
-- *** Test Bench - User Defined Section ***
tb : PROCESS
BEGIN
bus_reset <= '1';
start0 <= '0';
rst0 <= '1';
start1 <= '0';
rst1 <= '1';
start2 <= '0';
rst2 <= '1';
start3 <= '0';
rst3 <= '1';
wait for in_clk_period*5;
bus_reset <= '0';
start0 <= '1';
rst0 <= '0';
start1 <= '1';
rst1 <= '0';
start2 <= '1';
rst2 <= '0';
start3 <= '1';
rst3 <= '0';
wait for in_clk_period*60;
WAIT; -- will wait forever
END PROCESS;
-- *** End Test Bench - User Defined Section ***
END;
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_prim_width.vhd
|
9
|
70108
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YxgXi5ZNjd/KWluvWOlaYPkHk/TK+795UL0y9tJViNj6JUEDwmv8ke8RukSnSfy0Nc/3oGnKwikQ
Xo7ZsbEMYg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A7pjsLJHE+Q0Vj20RRmo8hHA1nzTjuE9M9OyVZNkumTFMCPZuFsTweWRnA+ajkNIRsEqy29BY66S
PQXJAjVVb9C+cq20yJh0id+M+GaMu1DypKZvS+5yW9OPzaKH2ewnGdKxLPv5buwxbVg85URUZC2j
p4cKdEcyp1qC6vdPqtc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EagYSh1VS+j1aE9YdycZ7/wy3BJGmAesjmpfTxZnveLinC8pax1WIp327K5y07pZI5+7pCneKCCu
Ch0q2xNDbiCSzDV59VuZOhCaDiPCTcIQfd3eACesb9q0lqsAYeSJMbI8hJxHHNmU3cjn8jDZTa8K
UUnQApUiAv8KbU3ePnUe09noEmoyc67mUx8CeOveoqqzMEl2mEuw3gAOYWR5AfCW7Sq48xgVagGH
L1o0UowNhTCGl3Ww7tRjm7YNu8aTKFM0Bynqy5zcpvlTjZywYPxQQrM8sc0nkgVK833oI/QK2OzA
v+yFf4ntTCTacbYgy6kHCk92vswsMKIUu3STwg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QyMTFbGY1TgMvPMcmnhS1DVk97CpDL/bnJ/EaHMgDYVPURou/gUOP8tQ6DW/w7oDbsYVo0Cxfu+m
kGFgvaKOaIRdi8cYzsLU6S5XMgdcpenKik0Jxp12Rin0ijEprtEXdzC91Z3D+JydfGrfpFrNE87M
r6jo6GTpARD1BUpmlus=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UlJamfAuw/HSTTAlOXO+IrKOrg43jDciPKjruWxi99c7wFQNGDcyuyjUf6Tq1FMpXs8qkz8jP4gi
o2wem873tjBS9eVsWPfTRJTBuYEVNyMOnqt9vvzp5+20InMI3LLhxF/gWDjhlpfGEqFBxgqVkFtS
XvU7dhgFCDVn/CVSbMzHQym/3nrVmkwnQAAt7j2XM85iif+vUgBaCfM+x87ENcIKpDL9PeTUB7Hn
BLQ+u8c4HtbPnMaLSVpmBZqYeAGJ928Hq1AzX+lY5vxRviuhqqanGhjEwOOvmXfbL42+mf0Z1Ec6
aP+lTG9oUtmOCHmcTXjZ+V+fMvXZ5EfOA9ASXQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 50160)
`protect data_block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`protect end_protected
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/Kintex7_160T_experimental/Kintex7_160T_experimental.srcs/sources_1/ip/golden_ticket_fifo/fifo_generator_v10_0/common/wr_pf_as.vhd
|
9
|
27228
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
oN0wWrBe0rGnQ0ZpmkHwkCAUrYr/Gio1+Il/P3mSrzFjyZ0gie82Yw7x94FIXMRv8N6PeTNfKpl9
5/Y8ky3xhQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QtY7k/NolrYKkecpqallF9Cek/S8HeKmSLIzCRo85yPnV+ZHMQR9E5Y+AKXGtTh7Df6gTThcfZwA
R93ZUBnlyewMZb5HEDc05neqsbfC0s/c28ug1OUpnHi96wykhCKHOumKaJz8wr0xV4s6RDETZ8yd
UXmKpTZhuOjqrjBiGsc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
riZ2QfK4b8k+oYQG5Wo4CKz973rOyhtOr1QXKv7/MEwziqm1q1Bh7K8LmsmZpGgDmiC1Vq7kcwuL
GAKHc1zF+UiqaZdWtVspPRudCMUAk9r5chQ4g3t/HkeuPFQk0JQ4SrblXFI6EawVP4QBSwV7xIfU
SNsI1cvKQWT3SY0j6uCBrAAjnIOSfngoqkD/hZpdUt4NgzBPU+5/fEVv5WDm95vtARo7Y5nYSMmU
CFW+7UB4Myochkit6sR3a2jh6323qbOc+2quTKLILnX3i8XHRWAJIItphSZePeHdEcPtC+73UcoB
i5dA6qb78DTz8IZw9ODf49EILW6bE8530ur8rA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
aHi1Tov0QXJ8SIa7qtita5zw5uWWuN6+jqNwMOl5sQJgakVugpx4nVipbKv1FYoTZqXWItvyaMT9
F+wPEFY8fNCyZ/RXGISVyoLDhV9sHgItN5siikbg9rLT/PcfcRqYOoHEHGgsORMBVZOc6mbiROdM
EBf9TWw9vhUy5NoUNxU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VvViFGiowFGbhMhTclPDuxqtUkmDgZ9whgNHH1GYsHcvA24QEza8DQiK18eTyIQVFiJRJq9b17NK
inScoEaVuWgNeAMTC25Zuwc3InUAYhwML3+VVLWNFC/k8c1X60CTf8DMTZFw291WiJGuamyFgZ/N
M1V20OCudXHsN6N+kq3bFwmpfHc2d9ok62B8VR8uW+WowbykU+M2c08oSeuQTjmp1pSfey6cVfFo
IKk+Ys2VTIXmwDu0YzL540hrtZhDaZJRHMrsYYpzPJ7ZZtIk0q2hrT12eV6SXSvD2SC+qhE1P6gk
FsqiK+wmcMf9EqbM3VYRRcfEHk60hIi1xkI6mg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18416)
`protect data_block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`protect end_protected
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00058.vhd
|
1
|
11405
|
-- NEED RESULT: ARCH00058.P1: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P2: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P3: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P4: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P5: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P6: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P7: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P8: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P9: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P10: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P11: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P12: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P13: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P14: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P15: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P16: While condition in loop is evaluated prior to execution of loop body passed
-- NEED RESULT: ARCH00058.P17: While condition in loop is evaluated prior to execution of loop body passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00058
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.8 (3)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00058)
-- ENT00058_Test_Bench(ARCH00058_Test_Bench)
--
-- REVISION HISTORY:
--
-- 02-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00058 of E00000 is
signal Dummy : Boolean := false ;
begin
P1 :
process ( Dummy )
variable correct : boolean := true ;
variable v_boolean : boolean :=
c_boolean_1 ;
--
begin
L1 :
while v_boolean /= c_boolean_1 loop
correct := false ;
v_boolean := c_boolean_2 ;
end loop L1 ;
test_report ( "ARCH00058.P1" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P1 ;
--
P2 :
process ( Dummy )
variable correct : boolean := true ;
variable v_bit : bit :=
c_bit_1 ;
--
begin
L1 :
while v_bit /= c_bit_1 loop
correct := false ;
v_bit := c_bit_2 ;
end loop L1 ;
test_report ( "ARCH00058.P2" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P2 ;
--
P3 :
process ( Dummy )
variable correct : boolean := true ;
variable v_severity_level : severity_level :=
c_severity_level_1 ;
--
begin
L1 :
while v_severity_level /= c_severity_level_1 loop
correct := false ;
v_severity_level := c_severity_level_2 ;
end loop L1 ;
test_report ( "ARCH00058.P3" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P3 ;
--
P4 :
process ( Dummy )
variable correct : boolean := true ;
variable v_character : character :=
c_character_1 ;
--
begin
L1 :
while v_character /= c_character_1 loop
correct := false ;
v_character := c_character_2 ;
end loop L1 ;
test_report ( "ARCH00058.P4" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P4 ;
--
P5 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_enum1 : st_enum1 :=
c_st_enum1_1 ;
--
begin
L1 :
while v_st_enum1 /= c_st_enum1_1 loop
correct := false ;
v_st_enum1 := c_st_enum1_2 ;
end loop L1 ;
test_report ( "ARCH00058.P5" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P5 ;
--
P6 :
process ( Dummy )
variable correct : boolean := true ;
variable v_integer : integer :=
c_integer_1 ;
--
begin
L1 :
while v_integer /= c_integer_1 loop
correct := false ;
v_integer := c_integer_2 ;
end loop L1 ;
test_report ( "ARCH00058.P6" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P6 ;
--
P7 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_int1 : st_int1 :=
c_st_int1_1 ;
--
begin
L1 :
while v_st_int1 /= c_st_int1_1 loop
correct := false ;
v_st_int1 := c_st_int1_2 ;
end loop L1 ;
test_report ( "ARCH00058.P7" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P7 ;
--
P8 :
process ( Dummy )
variable correct : boolean := true ;
variable v_time : time :=
c_time_1 ;
--
begin
L1 :
while v_time /= c_time_1 loop
correct := false ;
v_time := c_time_2 ;
end loop L1 ;
test_report ( "ARCH00058.P8" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P8 ;
--
P9 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_phys1 : st_phys1 :=
c_st_phys1_1 ;
--
begin
L1 :
while v_st_phys1 /= c_st_phys1_1 loop
correct := false ;
v_st_phys1 := c_st_phys1_2 ;
end loop L1 ;
test_report ( "ARCH00058.P9" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P9 ;
--
P10 :
process ( Dummy )
variable correct : boolean := true ;
variable v_real : real :=
c_real_1 ;
--
begin
L1 :
while v_real /= c_real_1 loop
correct := false ;
v_real := c_real_2 ;
end loop L1 ;
test_report ( "ARCH00058.P10" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P10 ;
--
P11 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_real1 : st_real1 :=
c_st_real1_1 ;
--
begin
L1 :
while v_st_real1 /= c_st_real1_1 loop
correct := false ;
v_st_real1 := c_st_real1_2 ;
end loop L1 ;
test_report ( "ARCH00058.P11" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P11 ;
--
P12 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_rec1 : st_rec1 :=
c_st_rec1_1 ;
--
begin
L1 :
while v_st_rec1 /= c_st_rec1_1 loop
correct := false ;
v_st_rec1 := c_st_rec1_2 ;
end loop L1 ;
test_report ( "ARCH00058.P12" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P12 ;
--
P13 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_rec2 : st_rec2 :=
c_st_rec2_1 ;
--
begin
L1 :
while v_st_rec2 /= c_st_rec2_1 loop
correct := false ;
v_st_rec2 := c_st_rec2_2 ;
end loop L1 ;
test_report ( "ARCH00058.P13" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P13 ;
--
P14 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_rec3 : st_rec3 :=
c_st_rec3_1 ;
--
begin
L1 :
while v_st_rec3 /= c_st_rec3_1 loop
correct := false ;
v_st_rec3 := c_st_rec3_2 ;
end loop L1 ;
test_report ( "ARCH00058.P14" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P14 ;
--
P15 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_arr1 : st_arr1 :=
c_st_arr1_1 ;
--
begin
L1 :
while v_st_arr1 /= c_st_arr1_1 loop
correct := false ;
v_st_arr1 := c_st_arr1_2 ;
end loop L1 ;
test_report ( "ARCH00058.P15" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P15 ;
--
P16 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_arr2 : st_arr2 :=
c_st_arr2_1 ;
--
begin
L1 :
while v_st_arr2 /= c_st_arr2_1 loop
correct := false ;
v_st_arr2 := c_st_arr2_2 ;
end loop L1 ;
test_report ( "ARCH00058.P16" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P16 ;
--
P17 :
process ( Dummy )
variable correct : boolean := true ;
variable v_st_arr3 : st_arr3 :=
c_st_arr3_1 ;
--
begin
L1 :
while v_st_arr3 /= c_st_arr3_1 loop
correct := false ;
v_st_arr3 := c_st_arr3_2 ;
end loop L1 ;
test_report ( "ARCH00058.P17" ,
"While condition in loop is evaluated prior to " &
"execution of loop body",
correct ) ;
--
end process P17 ;
--
--
end ARCH00058 ;
--
entity ENT00058_Test_Bench is
end ENT00058_Test_Bench ;
--
architecture ARCH00058_Test_Bench of ENT00058_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00058 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00058_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00698.vhd
|
1
|
2968
|
-- NEED RESULT: ARCH00698: Formal parameters of mode in may be left unspecified in association list if they have default expressions passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00698
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.3.3.2 (7)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00698(ARCH00698)
-- ENT00698_Test_Bench(ARCH00698_Test_Bench)
--
-- REVISION HISTORY:
--
-- 09-SEP-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES.all ;
entity ENT00698 is
port (
p_integer : integer := 5 ;
p_boolean : boolean := true ;
p_st_arr3 : st_arr3 := c_st_arr3_1
) ;
end ENT00698 ;
--
architecture ARCH00698 of ENT00698 is
procedure p1 (
pc_integer : integer := -4 ;
pc_boolean : boolean := false ;
pc_st_arr3 : st_arr3 := c_st_arr3_2 ;
pv_integer : integer := 3 ;
pv_boolean : boolean := true ;
pv_st_arr3 : st_arr3 := c_st_arr3_1 ;
signal ps_integer : integer ;
signal ps_boolean : boolean ;
signal ps_st_arr3 : st_arr3
) is
variable correct : boolean := true ;
begin
correct := correct and pc_integer = -4 ;
correct := correct and not pc_boolean ;
correct := correct and pc_st_arr3 = c_st_arr3_2 ;
correct := correct and pv_integer = 0 ;
correct := correct and pv_boolean ;
correct := correct and pv_st_arr3 = c_st_arr3_1 ;
correct := correct and ps_integer = 5 ;
correct := correct and ps_boolean ;
correct := correct and ps_st_arr3 = c_st_arr3_1 ;
test_report ( "ARCH00698" ,
"Formal parameters of mode in may be left unspecified"
& " in association list if they have default expressions" ,
correct ) ;
end p1 ;
begin
process
variable v_integer : integer := 0 ;
variable v_boolean : boolean := true ;
variable v_st_arr3 : st_arr3 := c_st_arr3_1 ;
begin
p1 (
ps_integer => p_integer ,
ps_boolean => p_boolean ,
ps_st_arr3 => p_st_arr3 ,
pv_integer => 0
) ;
wait ;
end process ;
end ARCH00698 ;
--
use WORK.STANDARD_TYPES.all ;
entity ENT00698_Test_Bench is
end ENT00698_Test_Bench ;
--
architecture ARCH00698_Test_Bench of ENT00698_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.ENT00698 ( ARCH00698 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00698_Test_Bench ;
--
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00390.vhd
|
1
|
69202
|
-- NEED RESULT: ARCH00390.P1: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P2: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P3: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P4: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P5: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P6: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P7: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P8: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390.P9: Multi inertial transactions occurred on concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Old transactions were removed on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: One inertial transaction occurred on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: ARCH00390: Inertial semantics check on a concurrent signal asg passed
-- NEED RESULT: P9: Inertial transactions completed entirely passed
-- NEED RESULT: P8: Inertial transactions completed entirely passed
-- NEED RESULT: P7: Inertial transactions completed entirely passed
-- NEED RESULT: P6: Inertial transactions completed entirely passed
-- NEED RESULT: P5: Inertial transactions completed entirely passed
-- NEED RESULT: P4: Inertial transactions completed entirely passed
-- NEED RESULT: P3: Inertial transactions completed entirely passed
-- NEED RESULT: P2: Inertial transactions completed entirely passed
-- NEED RESULT: P1: Inertial transactions completed entirely passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00390
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 9.5 (3)
-- 9.5.1 (1)
-- 9.5.1 (2)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00390(ARCH00390)
-- ENT00390_Test_Bench(ARCH00390_Test_Bench)
--
-- REVISION HISTORY:
--
-- 30-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00390 is
end ENT00390 ;
--
--
architecture ARCH00390 of ENT00390 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_boolean_vector : chk_sig_type := -1 ;
signal chk_st_severity_level_vector : chk_sig_type := -1 ;
signal chk_st_string : chk_sig_type := -1 ;
signal chk_st_enum1_vector : chk_sig_type := -1 ;
signal chk_st_integer_vector : chk_sig_type := -1 ;
signal chk_st_time_vector : chk_sig_type := -1 ;
signal chk_st_real_vector : chk_sig_type := -1 ;
signal chk_st_rec1_vector : chk_sig_type := -1 ;
signal chk_st_arr2_vector : chk_sig_type := -1 ;
--
subtype chk_time_type is Time ;
signal s_st_boolean_vector_savt : chk_time_type := 0 ns ;
signal s_st_severity_level_vector_savt : chk_time_type := 0 ns ;
signal s_st_string_savt : chk_time_type := 0 ns ;
signal s_st_enum1_vector_savt : chk_time_type := 0 ns ;
signal s_st_integer_vector_savt : chk_time_type := 0 ns ;
signal s_st_time_vector_savt : chk_time_type := 0 ns ;
signal s_st_real_vector_savt : chk_time_type := 0 ns ;
signal s_st_rec1_vector_savt : chk_time_type := 0 ns ;
signal s_st_arr2_vector_savt : chk_time_type := 0 ns ;
--
subtype chk_cnt_type is Integer ;
signal s_st_boolean_vector_cnt : chk_cnt_type := 0 ;
signal s_st_severity_level_vector_cnt : chk_cnt_type := 0 ;
signal s_st_string_cnt : chk_cnt_type := 0 ;
signal s_st_enum1_vector_cnt : chk_cnt_type := 0 ;
signal s_st_integer_vector_cnt : chk_cnt_type := 0 ;
signal s_st_time_vector_cnt : chk_cnt_type := 0 ;
signal s_st_real_vector_cnt : chk_cnt_type := 0 ;
signal s_st_rec1_vector_cnt : chk_cnt_type := 0 ;
signal s_st_arr2_vector_cnt : chk_cnt_type := 0 ;
--
type select_type is range 1 to 6 ;
signal st_boolean_vector_select : select_type := 1 ;
signal st_severity_level_vector_select : select_type := 1 ;
signal st_string_select : select_type := 1 ;
signal st_enum1_vector_select : select_type := 1 ;
signal st_integer_vector_select : select_type := 1 ;
signal st_time_vector_select : select_type := 1 ;
signal st_real_vector_select : select_type := 1 ;
signal st_rec1_vector_select : select_type := 1 ;
signal st_arr2_vector_select : select_type := 1 ;
--
signal s_st_boolean_vector : st_boolean_vector
:= c_st_boolean_vector_1 ;
signal s_st_severity_level_vector : st_severity_level_vector
:= c_st_severity_level_vector_1 ;
signal s_st_string : st_string
:= c_st_string_1 ;
signal s_st_enum1_vector : st_enum1_vector
:= c_st_enum1_vector_1 ;
signal s_st_integer_vector : st_integer_vector
:= c_st_integer_vector_1 ;
signal s_st_time_vector : st_time_vector
:= c_st_time_vector_1 ;
signal s_st_real_vector : st_real_vector
:= c_st_real_vector_1 ;
signal s_st_rec1_vector : st_rec1_vector
:= c_st_rec1_vector_1 ;
signal s_st_arr2_vector : st_arr2_vector
:= c_st_arr2_vector_1 ;
--
begin
CHG1 :
process
variable correct : boolean ;
begin
case s_st_boolean_vector_cnt is
when 0
=> null ;
-- s_st_boolean_vector(lowb to highb-1) <=
-- c_st_boolean_vector_2(lowb to highb-1) after 10 ns,
-- c_st_boolean_vector_1(lowb to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_2(lowb to highb-1) and
(s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_1(lowb to highb-1) and
(s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P1" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_boolean_vector_select <= transport 2 ;
-- s_st_boolean_vector(lowb to highb-1) <=
-- c_st_boolean_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_boolean_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_boolean_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_boolean_vector_1(lowb to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_2(lowb to highb-1) and
(s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ;
st_boolean_vector_select <= transport 3 ;
-- s_st_boolean_vector(lowb to highb-1) <=
-- c_st_boolean_vector_1(lowb to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_1(lowb to highb-1) and
(s_st_boolean_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_boolean_vector_select <= transport 4 ;
-- s_st_boolean_vector(lowb to highb-1) <=
-- c_st_boolean_vector_1(lowb to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_1(lowb to highb-1) and
(s_st_boolean_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_boolean_vector_select <= transport 5 ;
-- s_st_boolean_vector(lowb to highb-1) <=
-- c_st_boolean_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_boolean_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_boolean_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_boolean_vector_1(lowb to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_2(lowb to highb-1) and
(s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_boolean_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_boolean_vector(lowb to highb-1) <=
-- c_st_boolean_vector_1(lowb to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_1(lowb to highb-1) and
(s_st_boolean_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_boolean_vector(lowb to highb-1) =
c_st_boolean_vector_1(lowb to highb-1) and
(s_st_boolean_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_boolean_vector_savt <= transport Std.Standard.Now ;
chk_st_boolean_vector <= transport s_st_boolean_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_boolean_vector_cnt <= transport s_st_boolean_vector_cnt + 1 ;
wait until (not s_st_boolean_vector(lowb to highb-1)'Quiet) and
(s_st_boolean_vector_savt /= Std.Standard.Now) ;
--
end process CHG1 ;
--
PGEN_CHKP_1 :
process ( chk_st_boolean_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions completed entirely",
chk_st_boolean_vector = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
s_st_boolean_vector(lowb to highb-1) <=
c_st_boolean_vector_2(lowb to highb-1) after 10 ns,
c_st_boolean_vector_1(lowb to highb-1) after 20 ns
when st_boolean_vector_select = 1 else
--
c_st_boolean_vector_2(lowb to highb-1) after 10 ns ,
c_st_boolean_vector_1(lowb to highb-1) after 20 ns ,
c_st_boolean_vector_2(lowb to highb-1) after 30 ns ,
c_st_boolean_vector_1(lowb to highb-1) after 40 ns
when st_boolean_vector_select = 2 else
--
c_st_boolean_vector_1(lowb to highb-1) after 5 ns
when st_boolean_vector_select = 3 else
--
c_st_boolean_vector_1(lowb to highb-1) after 100 ns
when st_boolean_vector_select = 4 else
--
c_st_boolean_vector_2(lowb to highb-1) after 10 ns ,
c_st_boolean_vector_1(lowb to highb-1) after 20 ns ,
c_st_boolean_vector_2(lowb to highb-1) after 30 ns ,
c_st_boolean_vector_1(lowb to highb-1) after 40 ns
when st_boolean_vector_select = 5 else
--
-- Last transaction above is marked
c_st_boolean_vector_1(lowb to highb-1) after 40 ns ;
--
CHG2 :
process
variable correct : boolean ;
begin
case s_st_severity_level_vector_cnt is
when 0
=> null ;
-- s_st_severity_level_vector(lowb to highb-1) <=
-- c_st_severity_level_vector_2(lowb to highb-1) after 10 ns,
-- c_st_severity_level_vector_1(lowb to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_2(lowb to highb-1) and
(s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_1(lowb to highb-1) and
(s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P2" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_severity_level_vector_select <= transport 2 ;
-- s_st_severity_level_vector(lowb to highb-1) <=
-- c_st_severity_level_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_severity_level_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_severity_level_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_severity_level_vector_1(lowb to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_2(lowb to highb-1) and
(s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ;
st_severity_level_vector_select <= transport 3 ;
-- s_st_severity_level_vector(lowb to highb-1) <=
-- c_st_severity_level_vector_1(lowb to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_1(lowb to highb-1) and
(s_st_severity_level_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_severity_level_vector_select <= transport 4 ;
-- s_st_severity_level_vector(lowb to highb-1) <=
-- c_st_severity_level_vector_1(lowb to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_1(lowb to highb-1) and
(s_st_severity_level_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_severity_level_vector_select <= transport 5 ;
-- s_st_severity_level_vector(lowb to highb-1) <=
-- c_st_severity_level_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_severity_level_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_severity_level_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_severity_level_vector_1(lowb to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_2(lowb to highb-1) and
(s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_severity_level_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_severity_level_vector(lowb to highb-1) <=
-- c_st_severity_level_vector_1(lowb to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_1(lowb to highb-1) and
(s_st_severity_level_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_severity_level_vector(lowb to highb-1) =
c_st_severity_level_vector_1(lowb to highb-1) and
(s_st_severity_level_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_severity_level_vector_savt <= transport Std.Standard.Now ;
chk_st_severity_level_vector <= transport s_st_severity_level_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_severity_level_vector_cnt <= transport s_st_severity_level_vector_cnt
+ 1 ;
wait until (not s_st_severity_level_vector(lowb to highb-1)'Quiet) and
(s_st_severity_level_vector_savt /= Std.Standard.Now) ;
--
end process CHG2 ;
--
PGEN_CHKP_2 :
process ( chk_st_severity_level_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Inertial transactions completed entirely",
chk_st_severity_level_vector = 8 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
s_st_severity_level_vector(lowb to highb-1) <=
c_st_severity_level_vector_2(lowb to highb-1) after 10 ns,
c_st_severity_level_vector_1(lowb to highb-1) after 20 ns
when st_severity_level_vector_select = 1 else
--
c_st_severity_level_vector_2(lowb to highb-1) after 10 ns ,
c_st_severity_level_vector_1(lowb to highb-1) after 20 ns ,
c_st_severity_level_vector_2(lowb to highb-1) after 30 ns ,
c_st_severity_level_vector_1(lowb to highb-1) after 40 ns
when st_severity_level_vector_select = 2 else
--
c_st_severity_level_vector_1(lowb to highb-1) after 5 ns
when st_severity_level_vector_select = 3 else
--
c_st_severity_level_vector_1(lowb to highb-1) after 100 ns
when st_severity_level_vector_select = 4 else
--
c_st_severity_level_vector_2(lowb to highb-1) after 10 ns ,
c_st_severity_level_vector_1(lowb to highb-1) after 20 ns ,
c_st_severity_level_vector_2(lowb to highb-1) after 30 ns ,
c_st_severity_level_vector_1(lowb to highb-1) after 40 ns
when st_severity_level_vector_select = 5 else
--
-- Last transaction above is marked
c_st_severity_level_vector_1(lowb to highb-1) after 40 ns ;
--
CHG3 :
process
variable correct : boolean ;
begin
case s_st_string_cnt is
when 0
=> null ;
-- s_st_string(highb-1 to highb-1) <=
-- c_st_string_2(highb-1 to highb-1) after 10 ns,
-- c_st_string_1(highb-1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_string(highb-1 to highb-1) =
c_st_string_2(highb-1 to highb-1) and
(s_st_string_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_string(highb-1 to highb-1) =
c_st_string_1(highb-1 to highb-1) and
(s_st_string_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P3" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_string_select <= transport 2 ;
-- s_st_string(highb-1 to highb-1) <=
-- c_st_string_2(highb-1 to highb-1) after 10 ns ,
-- c_st_string_1(highb-1 to highb-1) after 20 ns ,
-- c_st_string_2(highb-1 to highb-1) after 30 ns ,
-- c_st_string_1(highb-1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_string(highb-1 to highb-1) =
c_st_string_2(highb-1 to highb-1) and
(s_st_string_savt + 10 ns) = Std.Standard.Now ;
st_string_select <= transport 3 ;
-- s_st_string(highb-1 to highb-1) <=
-- c_st_string_1(highb-1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_string(highb-1 to highb-1) =
c_st_string_1(highb-1 to highb-1) and
(s_st_string_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_string_select <= transport 4 ;
-- s_st_string(highb-1 to highb-1) <=
-- c_st_string_1(highb-1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_string(highb-1 to highb-1) =
c_st_string_1(highb-1 to highb-1) and
(s_st_string_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_string_select <= transport 5 ;
-- s_st_string(highb-1 to highb-1) <=
-- c_st_string_2(highb-1 to highb-1) after 10 ns ,
-- c_st_string_1(highb-1 to highb-1) after 20 ns ,
-- c_st_string_2(highb-1 to highb-1) after 30 ns ,
-- c_st_string_1(highb-1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_string(highb-1 to highb-1) =
c_st_string_2(highb-1 to highb-1) and
(s_st_string_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_string_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_string(highb-1 to highb-1) <=
-- c_st_string_1(highb-1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_string(highb-1 to highb-1) =
c_st_string_1(highb-1 to highb-1) and
(s_st_string_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_string(highb-1 to highb-1) =
c_st_string_1(highb-1 to highb-1) and
(s_st_string_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_string_savt <= transport Std.Standard.Now ;
chk_st_string <= transport s_st_string_cnt
after (1 us - Std.Standard.Now) ;
s_st_string_cnt <= transport s_st_string_cnt + 1 ;
wait until (not s_st_string(highb-1 to highb-1)'Quiet) and
(s_st_string_savt /= Std.Standard.Now) ;
--
end process CHG3 ;
--
PGEN_CHKP_3 :
process ( chk_st_string )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Inertial transactions completed entirely",
chk_st_string = 8 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
--
s_st_string(highb-1 to highb-1) <=
c_st_string_2(highb-1 to highb-1) after 10 ns,
c_st_string_1(highb-1 to highb-1) after 20 ns
when st_string_select = 1 else
--
c_st_string_2(highb-1 to highb-1) after 10 ns ,
c_st_string_1(highb-1 to highb-1) after 20 ns ,
c_st_string_2(highb-1 to highb-1) after 30 ns ,
c_st_string_1(highb-1 to highb-1) after 40 ns
when st_string_select = 2 else
--
c_st_string_1(highb-1 to highb-1) after 5 ns
when st_string_select = 3 else
--
c_st_string_1(highb-1 to highb-1) after 100 ns
when st_string_select = 4 else
--
c_st_string_2(highb-1 to highb-1) after 10 ns ,
c_st_string_1(highb-1 to highb-1) after 20 ns ,
c_st_string_2(highb-1 to highb-1) after 30 ns ,
c_st_string_1(highb-1 to highb-1) after 40 ns
when st_string_select = 5 else
--
-- Last transaction above is marked
c_st_string_1(highb-1 to highb-1) after 40 ns ;
--
CHG4 :
process
variable correct : boolean ;
begin
case s_st_enum1_vector_cnt is
when 0
=> null ;
-- s_st_enum1_vector(highb-1 to highb-1) <=
-- c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns,
-- c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_2(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_1(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P4" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_enum1_vector_select <= transport 2 ;
-- s_st_enum1_vector(highb-1 to highb-1) <=
-- c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns ,
-- c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns ,
-- c_st_enum1_vector_2(highb-1 to highb-1) after 30 ns ,
-- c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_2(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ;
st_enum1_vector_select <= transport 3 ;
-- s_st_enum1_vector(highb-1 to highb-1) <=
-- c_st_enum1_vector_1(highb-1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_1(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_enum1_vector_select <= transport 4 ;
-- s_st_enum1_vector(highb-1 to highb-1) <=
-- c_st_enum1_vector_1(highb-1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_1(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_enum1_vector_select <= transport 5 ;
-- s_st_enum1_vector(highb-1 to highb-1) <=
-- c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns ,
-- c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns ,
-- c_st_enum1_vector_2(highb-1 to highb-1) after 30 ns ,
-- c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_2(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_enum1_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_enum1_vector(highb-1 to highb-1) <=
-- c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_1(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_enum1_vector(highb-1 to highb-1) =
c_st_enum1_vector_1(highb-1 to highb-1) and
(s_st_enum1_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_enum1_vector_savt <= transport Std.Standard.Now ;
chk_st_enum1_vector <= transport s_st_enum1_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_enum1_vector_cnt <= transport s_st_enum1_vector_cnt + 1 ;
wait until (not s_st_enum1_vector(highb-1 to highb-1)'Quiet) and
(s_st_enum1_vector_savt /= Std.Standard.Now) ;
--
end process CHG4 ;
--
PGEN_CHKP_4 :
process ( chk_st_enum1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Inertial transactions completed entirely",
chk_st_enum1_vector = 8 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
--
s_st_enum1_vector(highb-1 to highb-1) <=
c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns,
c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns
when st_enum1_vector_select = 1 else
--
c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns ,
c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns ,
c_st_enum1_vector_2(highb-1 to highb-1) after 30 ns ,
c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns
when st_enum1_vector_select = 2 else
--
c_st_enum1_vector_1(highb-1 to highb-1) after 5 ns
when st_enum1_vector_select = 3 else
--
c_st_enum1_vector_1(highb-1 to highb-1) after 100 ns
when st_enum1_vector_select = 4 else
--
c_st_enum1_vector_2(highb-1 to highb-1) after 10 ns ,
c_st_enum1_vector_1(highb-1 to highb-1) after 20 ns ,
c_st_enum1_vector_2(highb-1 to highb-1) after 30 ns ,
c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns
when st_enum1_vector_select = 5 else
--
-- Last transaction above is marked
c_st_enum1_vector_1(highb-1 to highb-1) after 40 ns ;
--
CHG5 :
process
variable correct : boolean ;
begin
case s_st_integer_vector_cnt is
when 0
=> null ;
-- s_st_integer_vector(lowb to highb-1) <=
-- c_st_integer_vector_2(lowb to highb-1) after 10 ns,
-- c_st_integer_vector_1(lowb to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_2(lowb to highb-1) and
(s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_1(lowb to highb-1) and
(s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P5" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_integer_vector_select <= transport 2 ;
-- s_st_integer_vector(lowb to highb-1) <=
-- c_st_integer_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_integer_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_integer_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_integer_vector_1(lowb to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_2(lowb to highb-1) and
(s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ;
st_integer_vector_select <= transport 3 ;
-- s_st_integer_vector(lowb to highb-1) <=
-- c_st_integer_vector_1(lowb to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_1(lowb to highb-1) and
(s_st_integer_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_integer_vector_select <= transport 4 ;
-- s_st_integer_vector(lowb to highb-1) <=
-- c_st_integer_vector_1(lowb to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_1(lowb to highb-1) and
(s_st_integer_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_integer_vector_select <= transport 5 ;
-- s_st_integer_vector(lowb to highb-1) <=
-- c_st_integer_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_integer_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_integer_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_integer_vector_1(lowb to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_2(lowb to highb-1) and
(s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_integer_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_integer_vector(lowb to highb-1) <=
-- c_st_integer_vector_1(lowb to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_1(lowb to highb-1) and
(s_st_integer_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_integer_vector(lowb to highb-1) =
c_st_integer_vector_1(lowb to highb-1) and
(s_st_integer_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_integer_vector_savt <= transport Std.Standard.Now ;
chk_st_integer_vector <= transport s_st_integer_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_integer_vector_cnt <= transport s_st_integer_vector_cnt + 1 ;
wait until (not s_st_integer_vector(lowb to highb-1)'Quiet) and
(s_st_integer_vector_savt /= Std.Standard.Now) ;
--
end process CHG5 ;
--
PGEN_CHKP_5 :
process ( chk_st_integer_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Inertial transactions completed entirely",
chk_st_integer_vector = 8 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
--
s_st_integer_vector(lowb to highb-1) <=
c_st_integer_vector_2(lowb to highb-1) after 10 ns,
c_st_integer_vector_1(lowb to highb-1) after 20 ns
when st_integer_vector_select = 1 else
--
c_st_integer_vector_2(lowb to highb-1) after 10 ns ,
c_st_integer_vector_1(lowb to highb-1) after 20 ns ,
c_st_integer_vector_2(lowb to highb-1) after 30 ns ,
c_st_integer_vector_1(lowb to highb-1) after 40 ns
when st_integer_vector_select = 2 else
--
c_st_integer_vector_1(lowb to highb-1) after 5 ns
when st_integer_vector_select = 3 else
--
c_st_integer_vector_1(lowb to highb-1) after 100 ns
when st_integer_vector_select = 4 else
--
c_st_integer_vector_2(lowb to highb-1) after 10 ns ,
c_st_integer_vector_1(lowb to highb-1) after 20 ns ,
c_st_integer_vector_2(lowb to highb-1) after 30 ns ,
c_st_integer_vector_1(lowb to highb-1) after 40 ns
when st_integer_vector_select = 5 else
--
-- Last transaction above is marked
c_st_integer_vector_1(lowb to highb-1) after 40 ns ;
--
CHG6 :
process
variable correct : boolean ;
begin
case s_st_time_vector_cnt is
when 0
=> null ;
-- s_st_time_vector(lowb to highb-1) <=
-- c_st_time_vector_2(lowb to highb-1) after 10 ns,
-- c_st_time_vector_1(lowb to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_2(lowb to highb-1) and
(s_st_time_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_1(lowb to highb-1) and
(s_st_time_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P6" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_time_vector_select <= transport 2 ;
-- s_st_time_vector(lowb to highb-1) <=
-- c_st_time_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_time_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_time_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_time_vector_1(lowb to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_2(lowb to highb-1) and
(s_st_time_vector_savt + 10 ns) = Std.Standard.Now ;
st_time_vector_select <= transport 3 ;
-- s_st_time_vector(lowb to highb-1) <=
-- c_st_time_vector_1(lowb to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_1(lowb to highb-1) and
(s_st_time_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_time_vector_select <= transport 4 ;
-- s_st_time_vector(lowb to highb-1) <=
-- c_st_time_vector_1(lowb to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_1(lowb to highb-1) and
(s_st_time_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_time_vector_select <= transport 5 ;
-- s_st_time_vector(lowb to highb-1) <=
-- c_st_time_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_time_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_time_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_time_vector_1(lowb to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_2(lowb to highb-1) and
(s_st_time_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_time_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_time_vector(lowb to highb-1) <=
-- c_st_time_vector_1(lowb to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_1(lowb to highb-1) and
(s_st_time_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_time_vector(lowb to highb-1) =
c_st_time_vector_1(lowb to highb-1) and
(s_st_time_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_time_vector_savt <= transport Std.Standard.Now ;
chk_st_time_vector <= transport s_st_time_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_time_vector_cnt <= transport s_st_time_vector_cnt + 1 ;
wait until (not s_st_time_vector(lowb to highb-1)'Quiet) and
(s_st_time_vector_savt /= Std.Standard.Now) ;
--
end process CHG6 ;
--
PGEN_CHKP_6 :
process ( chk_st_time_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Inertial transactions completed entirely",
chk_st_time_vector = 8 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
--
s_st_time_vector(lowb to highb-1) <=
c_st_time_vector_2(lowb to highb-1) after 10 ns,
c_st_time_vector_1(lowb to highb-1) after 20 ns
when st_time_vector_select = 1 else
--
c_st_time_vector_2(lowb to highb-1) after 10 ns ,
c_st_time_vector_1(lowb to highb-1) after 20 ns ,
c_st_time_vector_2(lowb to highb-1) after 30 ns ,
c_st_time_vector_1(lowb to highb-1) after 40 ns
when st_time_vector_select = 2 else
--
c_st_time_vector_1(lowb to highb-1) after 5 ns
when st_time_vector_select = 3 else
--
c_st_time_vector_1(lowb to highb-1) after 100 ns
when st_time_vector_select = 4 else
--
c_st_time_vector_2(lowb to highb-1) after 10 ns ,
c_st_time_vector_1(lowb to highb-1) after 20 ns ,
c_st_time_vector_2(lowb to highb-1) after 30 ns ,
c_st_time_vector_1(lowb to highb-1) after 40 ns
when st_time_vector_select = 5 else
--
-- Last transaction above is marked
c_st_time_vector_1(lowb to highb-1) after 40 ns ;
--
CHG7 :
process
variable correct : boolean ;
begin
case s_st_real_vector_cnt is
when 0
=> null ;
-- s_st_real_vector(highb-1 to highb-1) <=
-- c_st_real_vector_2(highb-1 to highb-1) after 10 ns,
-- c_st_real_vector_1(highb-1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_2(highb-1 to highb-1) and
(s_st_real_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_1(highb-1 to highb-1) and
(s_st_real_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P7" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_real_vector_select <= transport 2 ;
-- s_st_real_vector(highb-1 to highb-1) <=
-- c_st_real_vector_2(highb-1 to highb-1) after 10 ns ,
-- c_st_real_vector_1(highb-1 to highb-1) after 20 ns ,
-- c_st_real_vector_2(highb-1 to highb-1) after 30 ns ,
-- c_st_real_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_2(highb-1 to highb-1) and
(s_st_real_vector_savt + 10 ns) = Std.Standard.Now ;
st_real_vector_select <= transport 3 ;
-- s_st_real_vector(highb-1 to highb-1) <=
-- c_st_real_vector_1(highb-1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_1(highb-1 to highb-1) and
(s_st_real_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_real_vector_select <= transport 4 ;
-- s_st_real_vector(highb-1 to highb-1) <=
-- c_st_real_vector_1(highb-1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_1(highb-1 to highb-1) and
(s_st_real_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_real_vector_select <= transport 5 ;
-- s_st_real_vector(highb-1 to highb-1) <=
-- c_st_real_vector_2(highb-1 to highb-1) after 10 ns ,
-- c_st_real_vector_1(highb-1 to highb-1) after 20 ns ,
-- c_st_real_vector_2(highb-1 to highb-1) after 30 ns ,
-- c_st_real_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_2(highb-1 to highb-1) and
(s_st_real_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_real_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_real_vector(highb-1 to highb-1) <=
-- c_st_real_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_1(highb-1 to highb-1) and
(s_st_real_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_real_vector(highb-1 to highb-1) =
c_st_real_vector_1(highb-1 to highb-1) and
(s_st_real_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_real_vector_savt <= transport Std.Standard.Now ;
chk_st_real_vector <= transport s_st_real_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_real_vector_cnt <= transport s_st_real_vector_cnt + 1 ;
wait until (not s_st_real_vector(highb-1 to highb-1)'Quiet) and
(s_st_real_vector_savt /= Std.Standard.Now) ;
--
end process CHG7 ;
--
PGEN_CHKP_7 :
process ( chk_st_real_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P7" ,
"Inertial transactions completed entirely",
chk_st_real_vector = 8 ) ;
end if ;
end process PGEN_CHKP_7 ;
--
--
s_st_real_vector(highb-1 to highb-1) <=
c_st_real_vector_2(highb-1 to highb-1) after 10 ns,
c_st_real_vector_1(highb-1 to highb-1) after 20 ns
when st_real_vector_select = 1 else
--
c_st_real_vector_2(highb-1 to highb-1) after 10 ns ,
c_st_real_vector_1(highb-1 to highb-1) after 20 ns ,
c_st_real_vector_2(highb-1 to highb-1) after 30 ns ,
c_st_real_vector_1(highb-1 to highb-1) after 40 ns
when st_real_vector_select = 2 else
--
c_st_real_vector_1(highb-1 to highb-1) after 5 ns
when st_real_vector_select = 3 else
--
c_st_real_vector_1(highb-1 to highb-1) after 100 ns
when st_real_vector_select = 4 else
--
c_st_real_vector_2(highb-1 to highb-1) after 10 ns ,
c_st_real_vector_1(highb-1 to highb-1) after 20 ns ,
c_st_real_vector_2(highb-1 to highb-1) after 30 ns ,
c_st_real_vector_1(highb-1 to highb-1) after 40 ns
when st_real_vector_select = 5 else
--
-- Last transaction above is marked
c_st_real_vector_1(highb-1 to highb-1) after 40 ns ;
--
CHG8 :
process
variable correct : boolean ;
begin
case s_st_rec1_vector_cnt is
when 0
=> null ;
-- s_st_rec1_vector(highb-1 to highb-1) <=
-- c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns,
-- c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_2(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_1(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P8" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_rec1_vector_select <= transport 2 ;
-- s_st_rec1_vector(highb-1 to highb-1) <=
-- c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns ,
-- c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns ,
-- c_st_rec1_vector_2(highb-1 to highb-1) after 30 ns ,
-- c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_2(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ;
st_rec1_vector_select <= transport 3 ;
-- s_st_rec1_vector(highb-1 to highb-1) <=
-- c_st_rec1_vector_1(highb-1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_1(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec1_vector_select <= transport 4 ;
-- s_st_rec1_vector(highb-1 to highb-1) <=
-- c_st_rec1_vector_1(highb-1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_1(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_rec1_vector_select <= transport 5 ;
-- s_st_rec1_vector(highb-1 to highb-1) <=
-- c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns ,
-- c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns ,
-- c_st_rec1_vector_2(highb-1 to highb-1) after 30 ns ,
-- c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_2(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_rec1_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_rec1_vector(highb-1 to highb-1) <=
-- c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_1(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_rec1_vector(highb-1 to highb-1) =
c_st_rec1_vector_1(highb-1 to highb-1) and
(s_st_rec1_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_rec1_vector_savt <= transport Std.Standard.Now ;
chk_st_rec1_vector <= transport s_st_rec1_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_rec1_vector_cnt <= transport s_st_rec1_vector_cnt + 1 ;
wait until (not s_st_rec1_vector(highb-1 to highb-1)'Quiet) and
(s_st_rec1_vector_savt /= Std.Standard.Now) ;
--
end process CHG8 ;
--
PGEN_CHKP_8 :
process ( chk_st_rec1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P8" ,
"Inertial transactions completed entirely",
chk_st_rec1_vector = 8 ) ;
end if ;
end process PGEN_CHKP_8 ;
--
--
s_st_rec1_vector(highb-1 to highb-1) <=
c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns,
c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns
when st_rec1_vector_select = 1 else
--
c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns ,
c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns ,
c_st_rec1_vector_2(highb-1 to highb-1) after 30 ns ,
c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns
when st_rec1_vector_select = 2 else
--
c_st_rec1_vector_1(highb-1 to highb-1) after 5 ns
when st_rec1_vector_select = 3 else
--
c_st_rec1_vector_1(highb-1 to highb-1) after 100 ns
when st_rec1_vector_select = 4 else
--
c_st_rec1_vector_2(highb-1 to highb-1) after 10 ns ,
c_st_rec1_vector_1(highb-1 to highb-1) after 20 ns ,
c_st_rec1_vector_2(highb-1 to highb-1) after 30 ns ,
c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns
when st_rec1_vector_select = 5 else
--
-- Last transaction above is marked
c_st_rec1_vector_1(highb-1 to highb-1) after 40 ns ;
--
CHG9 :
process
variable correct : boolean ;
begin
case s_st_arr2_vector_cnt is
when 0
=> null ;
-- s_st_arr2_vector(lowb to highb-1) <=
-- c_st_arr2_vector_2(lowb to highb-1) after 10 ns,
-- c_st_arr2_vector_1(lowb to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_2(lowb to highb-1) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_1(lowb to highb-1) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390.P9" ,
"Multi inertial transactions occurred on " &
"concurrent signal asg",
correct ) ;
--
st_arr2_vector_select <= transport 2 ;
-- s_st_arr2_vector(lowb to highb-1) <=
-- c_st_arr2_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_arr2_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_arr2_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_arr2_vector_1(lowb to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_2(lowb to highb-1) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
st_arr2_vector_select <= transport 3 ;
-- s_st_arr2_vector(lowb to highb-1) <=
-- c_st_arr2_vector_1(lowb to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_1(lowb to highb-1) and
(s_st_arr2_vector_savt + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr2_vector_select <= transport 4 ;
-- s_st_arr2_vector(lowb to highb-1) <=
-- c_st_arr2_vector_1(lowb to highb-1) after 100 ns ;
--
when 5
=> correct :=
correct and
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_1(lowb to highb-1) and
(s_st_arr2_vector_savt + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Old transactions were removed on a " &
"concurrent signal asg",
correct ) ;
st_arr2_vector_select <= transport 5 ;
-- s_st_arr2_vector(lowb to highb-1) <=
-- c_st_arr2_vector_2(lowb to highb-1) after 10 ns ,
-- c_st_arr2_vector_1(lowb to highb-1) after 20 ns ,
-- c_st_arr2_vector_2(lowb to highb-1) after 30 ns ,
-- c_st_arr2_vector_1(lowb to highb-1) after 40 ns ;
--
when 6
=> correct :=
correct and
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_2(lowb to highb-1) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"One inertial transaction occurred on a " &
"concurrent signal asg",
correct ) ;
st_arr2_vector_select <= transport 6 ;
-- Last transaction above is marked
-- s_st_arr2_vector(lowb to highb-1) <=
-- c_st_arr2_vector_1(lowb to highb-1) after 40 ns ;
--
when 7
=> correct :=
correct and
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_1(lowb to highb-1) and
(s_st_arr2_vector_savt + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct :=
correct and
s_st_arr2_vector(lowb to highb-1) =
c_st_arr2_vector_1(lowb to highb-1) and
(s_st_arr2_vector_savt + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
correct ) ;
--
when others
=> -- No more transactions should have occurred
test_report ( "ARCH00390" ,
"Inertial semantics check on a concurrent " &
"signal asg",
false ) ;
--
end case ;
--
s_st_arr2_vector_savt <= transport Std.Standard.Now ;
chk_st_arr2_vector <= transport s_st_arr2_vector_cnt
after (1 us - Std.Standard.Now) ;
s_st_arr2_vector_cnt <= transport s_st_arr2_vector_cnt + 1 ;
wait until (not s_st_arr2_vector(lowb to highb-1)'Quiet) and
(s_st_arr2_vector_savt /= Std.Standard.Now) ;
--
end process CHG9 ;
--
PGEN_CHKP_9 :
process ( chk_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P9" ,
"Inertial transactions completed entirely",
chk_st_arr2_vector = 8 ) ;
end if ;
end process PGEN_CHKP_9 ;
--
--
s_st_arr2_vector(lowb to highb-1) <=
c_st_arr2_vector_2(lowb to highb-1) after 10 ns,
c_st_arr2_vector_1(lowb to highb-1) after 20 ns
when st_arr2_vector_select = 1 else
--
c_st_arr2_vector_2(lowb to highb-1) after 10 ns ,
c_st_arr2_vector_1(lowb to highb-1) after 20 ns ,
c_st_arr2_vector_2(lowb to highb-1) after 30 ns ,
c_st_arr2_vector_1(lowb to highb-1) after 40 ns
when st_arr2_vector_select = 2 else
--
c_st_arr2_vector_1(lowb to highb-1) after 5 ns
when st_arr2_vector_select = 3 else
--
c_st_arr2_vector_1(lowb to highb-1) after 100 ns
when st_arr2_vector_select = 4 else
--
c_st_arr2_vector_2(lowb to highb-1) after 10 ns ,
c_st_arr2_vector_1(lowb to highb-1) after 20 ns ,
c_st_arr2_vector_2(lowb to highb-1) after 30 ns ,
c_st_arr2_vector_1(lowb to highb-1) after 40 ns
when st_arr2_vector_select = 5 else
--
-- Last transaction above is marked
c_st_arr2_vector_1(lowb to highb-1) after 40 ns ;
--
end ARCH00390 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00390_Test_Bench is
end ENT00390_Test_Bench ;
--
--
architecture ARCH00390_Test_Bench of ENT00390_Test_Bench is
begin
L1:
block
component UUT
end component ;
--
for CIS1 : UUT use entity WORK.ENT00390 ( ARCH00390 ) ;
begin
CIS1 : UUT
;
end block L1 ;
end ARCH00390_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00207.vhd
|
1
|
5163
|
-- NEED RESULT: ENT00207: Wait statement longest static prefix check passed
-- NEED RESULT: ENT00207: Wait statement longest static prefix check passed
-- NEED RESULT: ENT00207: Wait statement longest static prefix check passed
-- NEED RESULT: ENT00207: Wait statement longest static prefix check passed
-- NEED RESULT: P1: Wait longest static prefix test completed passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00207
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.1 (5)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00207(ARCH00207)
-- ENT00207_Test_Bench(ARCH00207_Test_Bench)
--
-- REVISION HISTORY:
--
-- 10-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
entity ENT00207 is
generic (G : integer) ;
--
constant CG : integer := G+1;
attribute attr : integer ;
attribute attr of CG : constant is CG+1;
--
end ENT00207 ;
--
--
architecture ARCH00207 of ENT00207 is
signal s_st_int1_vector : st_int1_vector
:= c_st_int1_vector_1 ;
--
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_st_int1_vector : chk_sig_type := -1 ;
--
begin
P1 :
process
variable counter : integer := 0 ;
variable correct : boolean ;
variable savtime : time := 0 ns ;
begin
case counter is
when 0
=>
s_st_int1_vector(1) <= transport
c_st_int1_vector_2(1) ;
s_st_int1_vector(1 to 2) <= transport
c_st_int1_vector_2(1 to 2) after 10 ns ;
wait until s_st_int1_vector(1 to 2) =
c_st_int1_vector_2(1 to 2) ;
Test_Report (
"ENT00207",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_int1_vector(1 to 2) =
c_st_int1_vector_2(1 to 2) )) ;
--
when 1
=>
s_st_int1_vector(1) <= transport
c_st_int1_vector_1(1) ;
s_st_int1_vector(G-1 to G) <= transport
c_st_int1_vector_2(G-1 to G) after 10 ns ;
wait until s_st_int1_vector(G-1 to G) =
c_st_int1_vector_2(G-1 to G) ;
Test_Report (
"ENT00207",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_int1_vector(G-1 to G) =
c_st_int1_vector_2(G-1 to G) )) ;
--
when 2
=>
s_st_int1_vector(1) <= transport
c_st_int1_vector_2(1) ;
s_st_int1_vector(CG-1 to CG) <= transport
c_st_int1_vector_2(CG-1 to CG) after 10 ns ;
wait until s_st_int1_vector(CG-1 to CG) =
c_st_int1_vector_2(CG-1 to CG) ;
Test_Report (
"ENT00207",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_int1_vector(CG-1 to CG) =
c_st_int1_vector_2(CG-1 to CG) )) ;
--
when 3
=>
s_st_int1_vector(1) <= transport
c_st_int1_vector_1(1) ;
s_st_int1_vector(CG'Attr-1 to CG'Attr) <= transport
c_st_int1_vector_2(CG'Attr-1 to CG'Attr) after 10 ns ;
wait until s_st_int1_vector(CG'Attr-1 to CG'Attr) =
c_st_int1_vector_2(CG'Attr-1 to CG'Attr) ;
Test_Report (
"ENT00207",
"Wait statement longest static prefix check",
((savtime + 10 ns) = Std.Standard.Now) and
(s_st_int1_vector(CG'Attr-1 to CG'Attr) =
c_st_int1_vector_2(CG'Attr-1 to CG'Attr) )) ;
--
when others
=> wait ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_st_int1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
end process P1 ;
--
PGEN_CHKP_1 :
process ( chk_st_int1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Wait longest static prefix test completed",
chk_st_int1_vector = 3 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
end ARCH00207 ;
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00207_Test_Bench is
end ENT00207_Test_Bench ;
--
--
architecture ARCH00207_Test_Bench of ENT00207_Test_Bench is
begin
L1:
block
component UUT
generic (G : integer) ;
end component ;
--
for CIS1 : UUT use entity WORK.ENT00207 ( ARCH00207 ) ;
begin
CIS1 : UUT
generic map (lowb+2)
;
end block L1 ;
end ARCH00207_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00596.vhd
|
1
|
2059
|
-- NEED RESULT: ARCH00596: Direction of subtype with range is that of range passed
-- NEED RESULT: ARCH00596: Direction of subtype without range is that of underlying type passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00596
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.2 (7)
-- 4.2 (8)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00596)
-- ENT00596_Test_Bench(ARCH00596_Test_Bench)
--
-- REVISION HISTORY:
--
-- 26-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00596 of E00000 is
begin
process
subtype s0 is integer ;
subtype s1 is integer range -10 downto -20 ;
subtype s2 is integer range 10 to 20 ;
subtype s3 is t_enum1 ;
subtype s4 is t_enum1 range en2 downto en1 ;
subtype s5 is t_enum1 range en1 to en2 ;
type t6 is range 5 downto 1 ;
subtype s6 is t6 ;
begin
test_report ( "ARCH00596" ,
"Direction of subtype with range is that of range" ,
s1'left > s1'right and
s2'left < s2'right and
s4'left > s4'right and
s5'left < s5'right ) ;
test_report ( "ARCH00596" ,
"Direction of subtype without range is that of"
& " underlying type" ,
s0'left < s0'right and
s3'left < s3'right and
s6'left > s6'right ) ;
wait ;
end process ;
end ARCH00596 ;
--
entity ENT00596_Test_Bench is
end ENT00596_Test_Bench ;
architecture ARCH00596_Test_Bench of ENT00596_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00596 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00596_Test_Bench ;
--
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00264.vhd
|
1
|
2237
|
-- NEED RESULT: ARCH00264: Scalar types passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00264
--
-- AUTHOR:
--
-- D. Hyman
--
-- TEST OBJECTIVES:
--
-- 3.1 (1)
-- 3.1 (2)
-- 3.1 (3)
-- 3.1 (4)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00264)
-- ENT00264_Test_Bench(ARCH00264_Test_Bench)
--
-- REVISION HISTORY:
--
-- 29-JUL-1987 - initial revision
-- 14-JUN-1988 - EL - arrays must be initialized to values within the
-- element subtype
--
-- NOTES:
--
-- self-checking
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00264 of E00000 is
-- these test 3.1 (1)
function f ( ary : t_arr1 ) return integer is
begin
return ary'right ;
end f ;
-- these test 3.1 (2) and 3.1 (3)
type ascending_range is range 0 to 10 ;
type descending_range is range 10 downto 0 ;
-- these test 3.1 (4)
subtype ascending_subrange is descending_range range 2 to 5 ;
subtype descending_subrange is ascending_range range 5 downto 2 ;
begin
P :
process
variable ascending_array : t_arr1 (5 to 7) := (10,10,10);
variable descending_array : t_arr1 (20 downto 17) := (10,10,10,10);
begin
test_report ( "ARCH00264" ,
"Scalar types" ,
(ascending_range'left = 0) and
(descending_range'left = 10) and
(ascending_subrange'right = 5) and
(descending_subrange'right = 2) and
(f(ascending_array) = 7) and
(f(descending_array) = 17)
) ;
wait ;
end process P ;
end ARCH00264 ;
entity ENT00264_Test_Bench is
end ENT00264_Test_Bench ;
architecture ARCH00264_Test_Bench of ENT00264_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00264 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00264_Test_Bench ;
|
gpl-3.0
|
grwlf/vsim
|
vhdl_ct/ct00664.vhd
|
1
|
2377
|
-- NEED RESULT: ARCH00664: Ports on blocks and entities of mode 'linkage' may appear as an actual corresponding to an interface object of mode linkage passed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00664
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 4.3.3 (20)
-- 4.3.3.1 (1)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00664(ARCH00664)
-- ENT00664_Test_Bench(ARCH00664_Test_Bench)
--
-- REVISION HISTORY:
--
-- 26-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
--
--
use WORK.STANDARD_TYPES.all ;
entity ENT00664 is
port ( Pt1 : linkage Integer ) ;
end ENT00664 ;
--
architecture ARCH00664 of ENT00664 is
function To_Integer ( P : Real ) return Integer is
begin
if P = -1.0 then
return -1 ;
else
return -2 ;
end if ;
end To_Integer ;
function To_Real ( P : Integer ) return Real is
begin
if P = -1 then
return -1.0 ;
else
return -2.0 ;
end if ;
end To_Real ;
begin
L1 :
block
port ( Pt1 : linkage Real ) ;
port map ( To_Integer(Pt1) => To_Real(Pt1) ) ; -- Check block 'linkage' p
begin
BP1 :
process
begin
wait ;
end process BP1 ;
end block L1 ;
end ARCH00664 ;
--
use WORK.STANDARD_TYPES.all;
entity ENT00664_Test_Bench is
end ENT00664_Test_Bench ;
architecture ARCH00664_Test_Bench of ENT00664_Test_Bench is
begin
L1:
block
component UUT
end component ;
signal S1 : Integer := -2 ;
for CIS1 : UUT use entity WORK.ENT00664 ( ARCH00664 )
port map ( S1 ) ; -- Check entity 'linkage' port
begin
CIS1 : UUT ;
process
begin
test_report ( "ARCH00664" ,
"Ports on blocks and entities "&
"of mode 'linkage' may appear as an actual "&
"corresponding to an interface object of "&
"mode linkage" ,
S1 = -2 ) ;
wait ;
end process ;
end block L1 ;
end ARCH00664_Test_Bench ;
--
|
gpl-3.0
|
progranism/Open-Source-FPGA-Bitcoin-Miner
|
projects/KC705_experimental/KC705_experimental.srcs/sources_1/ip/golden_ticket_fifo/blk_mem_gen_v8_0/blk_mem_gen_v8_0_pkg.vhd
|
9
|
123409
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
LSnexr1OC8CCdh8gA9zMjAYmn+n6s9kKbbabypFMh9TcLez/yqA7rc3UlImtcNbnBhXWf0nd5nU4
nRx2DEslmg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
MwZO2oHTMUx5Hdo1u5jrwhU4oDQKRfBm9CtzBwu3vqc9iqWHqEjzKgwc23LpuYGZZM4bgpiAIvX/
p+f0ym25hwYrMTTmmQYHPyleZcPD8sKAZ4Fa6c2k8tz3SPtF3TsANPm4JNDhyibFh5nz60FdWZB/
MvZdFOwU6e+QNm25qdA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
yRVBtkgLg65ezJY0rK7fLkALg1qTej0ka1DPRNIyQDJfsEEDDakOn6KV7cQaCyYTmeuVMaaiiOzb
HNgcbId5SNP3+apuPcrBzDQe81Eh11BkNJZvSfCSuumk4Rm6JufxVfDpEm9PE5RScmcIVS64CcqE
xduujZSOVi1ctkcm+uwwLAVZXbVcGRJL0gXDNTKgvo2FiyRIZJ3W4SO4JYKDHYtvUJlPu/GpNxt8
2Dhuo6a5oFVHmN6zKVQZiKGVvocfOLNmFYTkdmDPDPVy5gxYryOaRnOcPQ/pN4rRdOymEcD6l6S4
7A5a/Y60CuKkelQ0NdftApje7+Xt+ZHb2ccYtw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X6qvki54ZXSNWTI/126kHAKrBu91d5LRLwsAA3p5L5Dukk44/5KiKI/RqA3Q+a3sZTcOaGbzoeBN
64a/qYJ2dTcxle88uZTonTIoFT7u3N9zXsw5IXrVqS2Gjh0I5/4rzYg65wI6daWWhTZ7zfHQc2ef
MQZCxjsrZgb2U05hFzI=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dN9FunkM/h5rWUWlZNi0gEVPFKgKraOu95zwRkk+NHGLwq5V1eODMD6u1D3kfV/UWBZbAMj+VA3N
/xscztL+Bbyfp7RStXZoETs3yTCjQ+WAJ2CxZeXpHDae4gWTNb4yVAi7FQzqyFThPz1hP9E2L9G6
fw/opfC7ySygJQXiG9OxnG2xj8atx76Agq7Dl90yi+cm5DHMaa7CjuvSZZ6sAHwNXP6Hr/4Ouxv+
zRt4DscnCb5nDfsSOyF2RmoowfB7Q4Iexp7R5sgMfcg60p5YJxamKuAiIUOEmwNOLmA9e9BTGD5O
u8OeHir+gwMk4VlyiPbwKhW3E7DoGyCqzw+lbg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 89616)
`protect data_block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`protect end_protected
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/service/src/boot_backup.vhd
|
1
|
8924
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.all;
entity boot is
port (
CLK50 : in std_logic;
BUTTON1 : in std_logic;
BUTTON2 : in std_logic;
LED1 : out std_logic;
LED2 : out std_logic;
SRAM_A : out std_logic_vector(18 downto 0);
SRAM_D : inout std_logic_vector(15 downto 0);
SRAM_WE : out std_logic;
SRAM_OE : out std_logic;
SRAM_UB : out std_logic;
SRAM_LB : out std_logic;
SRAM_CE0 : out std_logic;
SRAM_CE1 : out std_logic;
COMM_CSA : in std_logic;
COMM_CSD : in std_logic;
COMM_SCK : in std_logic;
COMM_SDI : in std_logic;
COMM_SDO : out std_logic;
COMM_READY : out std_logic;
VGA_R : out std_logic_vector(3 downto 0);
VGA_G : out std_logic_vector(3 downto 0);
VGA_B : out std_logic_vector(3 downto 0);
VGA_VSYNC : out std_logic;
VGA_HSYNC : out std_logic
);
end boot;
architecture rtl of boot is
-- SPI COMMANDS
constant CMD_SET_ATTR : std_logic_vector(6 downto 0) := "0000000";
constant CMD_SET_X : std_logic_vector(6 downto 0) := "0000001";
constant CMD_SET_Y : std_logic_vector(6 downto 0) := "0000010";
constant CMD_WRITE_CHAR : std_logic_vector(6 downto 0) := "0000011";
constant CMD_H_ADDR : std_logic_vector(6 downto 0) := "0000100";
constant CMD_M_ADDR : std_logic_vector(6 downto 0) := "0000101";
constant CMD_L_ADDR : std_logic_vector(6 downto 0) := "0000110";
constant CMD_DATA_WR : std_logic_vector(6 downto 0) := "0000111";
constant CMD_DATA_RD : std_logic_vector(6 downto 0) := "0001000";
signal CLK : std_logic;
signal VGA_CLK : std_logic;
signal RESET : std_logic;
signal LOCKED : std_logic;
signal SRAM_DI : std_logic_vector(15 downto 0);
signal SRAM_DO : std_logic_vector(15 downto 0);
signal VA : std_logic_vector(11 downto 0);
signal VDI : std_logic_vector(7 downto 0);
signal VWR : std_logic;
signal VATTR : std_logic_vector(7 downto 0);
signal COMM_AO : std_logic_vector(7 downto 0);
signal COMM_AI : std_logic_vector(7 downto 0);
signal COMM_A_REQ : std_logic;
signal COMM_A_ACK : std_logic;
signal COMM_DO : std_logic_vector(7 downto 0);
signal COMM_DI : std_logic_vector(7 downto 0);
signal COMM_D_REQ : std_logic;
signal COMM_D_ACK : std_logic;
signal COMM_RG : std_logic_vector(7 downto 0);
signal COMM_MA : std_logic_vector(19 downto 0);
type STATES is (ST_IDLE, ST_READ1, ST_READ2, ST_WRITE1);
signal STATE : STATES;
begin
LED1 <= BUTTON1;
LED2 <= not BUTTON1 and not BUTTON2;
u_CLOCK : entity work.clock
port map(
CLK50 => CLK50,
CLK => CLK,
VGA_CLK => VGA_CLK,
LOCKED => LOCKED );
-- ###########################
RESET <= not LOCKED;
u_VIDEO : entity work.video
port map(
CLK => CLK,
VGA_CLK => VGA_CLK,
RESET => RESET,
VA => VA,
VDI => VDI,
VWR => VWR,
VATTR => VATTR,
VGA_R => VGA_R,
VGA_G => VGA_G,
VGA_B => VGA_B,
VGA_HSYNC => VGA_HSYNC,
VGA_VSYNC => VGA_VSYNC );
u_COMM_SPI : entity work.spi_comm
port map(
CLK => CLK,
RESET => RESET,
SPI_CS_A => COMM_CSA,
SPI_CS_D => COMM_CSD,
SPI_SCK => COMM_SCK,
SPI_DI => COMM_SDI,
SPI_DO => COMM_SDO,
ADDR_O => COMM_AO,
ADDR_I => COMM_AI,
ADDR_REQ => COMM_A_REQ,
ADDR_ACK => COMM_A_ACK,
DATA_O => COMM_DO,
DATA_I => COMM_DI,
DATA_REQ => COMM_D_REQ,
DATA_ACK => COMM_D_ACK );
p_state_machine : process(CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
STATE <= ST_IDLE;
SRAM_DI <= (OTHERS=>'Z');
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
COMM_A_ACK <= '0';
COMM_D_ACK <= '0';
COMM_READY <= '0';
VWR <= '0';
else
COMM_A_ACK <= '0';
COMM_D_ACK <= '0';
VWR <= '0';
case STATE is
when ST_IDLE =>
SRAM_DI <= (OTHERS=>'Z');
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
if COMM_A_REQ = '1' then
COMM_A_ACK <= '1';
COMM_RG <= COMM_AO;
if COMM_AO(7) = '0' then -- ### READ ###
case (COMM_AO(6 downto 0)) is
when CMD_DATA_RD =>
SRAM_A <= '0' & COMM_MA(17 downto 0);
SRAM_OE <= '0';
if COMM_MA(18) = '0' then
SRAM_CE0 <= '0';
else
SRAM_CE1 <= '0';
end if;
if COMM_MA(19) = '0' then
SRAM_LB <= '0';
else
SRAM_UB <= '0';
end if;
COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 1);
STATE <= ST_READ1;
when OTHERS =>
NULL;
end case;
end if;
elsif COMM_D_REQ = '1' then
COMM_D_ACK <= '1';
if COMM_RG(7) = '1' then -- ### WRITE ###
case (COMM_RG(6 downto 0)) is
when CMD_SET_ATTR =>
VATTR <= COMM_DO;
when CMD_SET_X =>
VA <= VA(11 downto 7) & COMM_DO(6 downto 0);
when CMD_SET_Y =>
VA <= COMM_DO(4 downto 0) & VA(6 downto 0);
when CMD_WRITE_CHAR =>
VDI <= COMM_DO;
VWR <= '1';
when CMD_H_ADDR =>
COMM_MA(19 downto 16) <= COMM_DO(3 downto 0);
when CMD_M_ADDR =>
COMM_MA(15 downto 8) <= COMM_DO;
when CMD_L_ADDR =>
COMM_MA(7 downto 0 ) <= COMM_DO;
when CMD_DATA_WR =>
SRAM_A <= '0' & COMM_MA(17 downto 0);
SRAM_DI <= COMM_DO & COMM_DO;
SRAM_WE <= '0';
if COMM_MA(18) = '0' then
SRAM_CE0 <= '0';
else
SRAM_CE1 <= '0';
end if;
if COMM_MA(19) = '0' then
SRAM_LB <= '0';
else
SRAM_UB <= '0';
end if;
COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 1);
STATE <= ST_WRITE1;
when OTHERS =>
NULL;
end case;
end if;
end if;
when ST_READ1 =>
if COMM_MA(19) = '0' then
COMM_DI <= SRAM_DO(7 downto 0);
else
COMM_DI <= SRAM_DO(15 downto 8);
end if;
STATE <= ST_READ2;
when ST_READ2 =>
if COMM_D_REQ = '1' then
COMM_D_ACK <= '1';
STATE <= ST_IDLE;
end if;
when ST_WRITE1 =>
SRAM_WE <= '1';
STATE <= ST_IDLE;
when OTHERS =>
STATE <= ST_IDLE;
end case;
end if;
end if;
end process;
SRAM_D <= SRAM_DI;
SRAM_DO <= SRAM_D;
end rtl;
|
gpl-3.0
|
VHDLTool/VHDL_Handbook_STD
|
Extras/VHDL/STD_03100_good.vhd
|
1
|
2776
|
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-02 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_03100_good.vhd
-- File Creation date : 2015-04-02
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Dead VHDL code: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_03100_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_D : in std_logic; -- D Flip-Flop input signal
o_Q : out std_logic -- D Flip-Flop output signal
);
end STD_03100_good;
--CODE
architecture Behavioral of STD_03100_good is
signal Q : std_logic; -- D Flip-Flop output
begin
-- D FlipFlop process
P_FlipFlop : process(i_Clock, i_Reset_n)
begin
if (i_Reset_n = '0') then
Q <= '0';
elsif (rising_edge(i_Clock)) then
Q <= i_D;
end if;
end process;
o_Q <= Q;
end Behavioral;
--CODE
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/a8core/atari800core_simple_sdram.vhd
|
1
|
11083
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_MISC.all;
use ieee.numeric_std.all;
LIBRARY work;
-- Simple version that:
-- i) needs: CLK(58 or 28MHZ) SDRAM,joystick,keyboard
-- ii) provides: VIDEO,AUDIO
-- iii) passes upstream: DMA port, for attaching ZPU for SDCARD/drive emulation
-- THIS SHOULD DO FOR ALL PLATFORMS EXCEPT THOSE USING GPIO FOR PBI etc
ENTITY atari800core_simple_sdram is
GENERIC
(
-- use CLK of 1.79*cycle_length
-- I've tested 16 and 32 only, but 4 and 8 might work...
cycle_length : integer := 16; -- or 32...
-- how many bits for video
video_bits : integer := 8;
palette : integer :=1; -- 0:gtia colour on VIDEO_B, 1:altirra, 2:laoo
-- For initial port may help to have no
internal_rom : integer := 1; -- if 0 expects it in sdram,is 1:16k os+basic, is 2:... TODO
internal_ram : integer := 16384 -- at start of memory map
);
PORT
(
CLK : IN STD_LOGIC; -- cycle_length*1.79MHz
RESET_N : IN STD_LOGIC;
-- VIDEO OUT - PAL/NTSC, original Atari timings approx (may be higher res)
VIDEO_VS : OUT STD_LOGIC;
VIDEO_HS : OUT STD_LOGIC;
VIDEO_B : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
VIDEO_G : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
VIDEO_R : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
-- These ones are probably only needed for e.g. svideo
VIDEO_BLANK : out std_logic;
VIDEO_BURST : out std_logic;
VIDEO_START_OF_FIELD : out std_logic;
VIDEO_ODD_LINE : out std_logic;
-- AUDIO OUT - Pokey/GTIA 1-bit and Covox all mixed
-- TODO - choose stereo/mono pokey
AUDIO_L : OUT std_logic_vector(15 downto 0);
AUDIO_R : OUT std_logic_vector(15 downto 0);
-- JOYSTICK
JOY1_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
JOY2_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
-- Pokey keyboard matrix
-- Standard component available to connect this to PS2
KEYBOARD_RESPONSE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
KEYBOARD_SCAN : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
-- SIO
SIO_COMMAND : out std_logic;
SIO_RXD : in std_logic;
SIO_TXD : out std_logic;
-- GTIA consol
CONSOL_OPTION : IN STD_LOGIC;
CONSOL_SELECT : IN STD_LOGIC;
CONSOL_START : IN STD_LOGIC;
-----------------------
-- After here all FPGA implementation specific
-- e.g. need to write up RAM/ROM
-- we can dma from memory space
-- etc.
-- External RAM/ROM - adhere to standard memory map
-- TODO - lower/upper memory split defined by generic
-- (TODO SRAM lower ram, SDRAM upper ram - no overlap?)
---- SDRAM memory map (8MB) (lower 512k if USE_SDRAM=1)
---- base 64k RAM - banks 0-3 "000 0000 1111 1111 1111 1111" (TOP)
---- to 512k RAM - banks 4-31 "000 0111 1111 1111 1111 1111" (TOP)
---- to 4MB RAM - banks 32-255 "011 1111 1111 1111 1111 1111" (TOP)
---- +64k - banks 256-259"100 0000 0000 1111 1111 1111" (TOP)
---- SCRATCH - 4MB+64k-5MB
---- CARTS - "101 YYYY YYY0 0000 0000 0000" (BOT) - 2MB! 8kb banks
--SDRAM_CART_ADDR <= "101"&cart_select& "0000000000000";
---- BASIC/OS ROM - "111 XXXX XX00 0000 0000 0000" (BOT) (BASIC IN SLOT 0!), 2nd to last 512K
--SDRAM_BASIC_ROM_ADDR <= "111"&"000000" &"00000000000000";
--SDRAM_OS_ROM_ADDR <= "111"&rom_select &"00000000000000";
---- SYSTEM - "111 1000 0000 0000 0000 0000" (BOT) - LAST 512K
-- TODO - review if we need to pass out so many of these
-- Perhaps we can simplify address decoder and have an external layer?
SDRAM_REQUEST : OUT std_logic;
SDRAM_REQUEST_COMPLETE : IN std_logic;
SDRAM_READ_ENABLE : out STD_LOGIC;
SDRAM_WRITE_ENABLE : out std_logic;
SDRAM_ADDR : out STD_LOGIC_VECTOR(22 DOWNTO 0);
SDRAM_DO : in STD_LOGIC_VECTOR(31 DOWNTO 0);
SDRAM_DI : out STD_LOGIC_VECTOR(31 DOWNTO 0);
SDRAM_32BIT_WRITE_ENABLE : out std_logic;
SDRAM_16BIT_WRITE_ENABLE : out std_logic;
SDRAM_8BIT_WRITE_ENABLE : out std_logic;
SDRAM_REFRESH : out std_logic;
-- DMA memory map differs
-- e.g. some special addresses to read behind hardware registers
-- 0x0000-0xffff: Atari registers + 3 mirrors (bit 16/17)
-- 23 downto 21:
-- 001 : SRAM,512k
-- 010|011 : ROM, 4MB
-- 10xx : SDRAM, 8MB (If you have more, its unmapped for now... Can bank switch! Atari can't access this much anyway...)
DMA_FETCH : in STD_LOGIC; -- we want to read/write
DMA_READ_ENABLE : in std_logic;
DMA_32BIT_WRITE_ENABLE : in std_logic;
DMA_16BIT_WRITE_ENABLE : in std_logic;
DMA_8BIT_WRITE_ENABLE : in std_logic;
DMA_ADDR : in std_logic_vector(23 downto 0);
DMA_WRITE_DATA : in std_logic_vector(31 downto 0);
MEMORY_READY_DMA : out std_logic; -- op complete
DMA_MEMORY_DATA : out std_logic_vector(31 downto 0);
-- Special config params
RAM_SELECT : in std_logic_vector(2 downto 0); -- 64K,128K,320KB Compy, 320KB Rambo, 576K Compy, 576K Rambo, 1088K, 4MB
ROM_SELECT : in std_logic_vector(5 downto 0); -- 16KB ROM Bank - 0 is illegal (slot used for BASIC!)
PAL : in STD_LOGIC;
HALT : in std_logic;
THROTTLE_COUNT_6502 : in std_logic_vector(5 downto 0) -- standard speed is cycle_length-1
);
end atari800core_simple_sdram;
ARCHITECTURE vhdl OF atari800core_simple_sdram IS
-- PIA
SIGNAL CA1_IN : STD_LOGIC;
SIGNAL CB1_IN: STD_LOGIC;
SIGNAL CA2_OUT : STD_LOGIC;
SIGNAL CA2_DIR_OUT: STD_LOGIC;
SIGNAL CB2_OUT : STD_LOGIC;
SIGNAL CB2_DIR_OUT: STD_LOGIC;
SIGNAL CA2_IN: STD_LOGIC;
SIGNAL CB2_IN: STD_LOGIC;
SIGNAL PORTA_IN : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTA_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTA_DIR_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTB_IN : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTB_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
--SIGNAL PORTB_DIR_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
-- GTIA
signal GTIA_TRIG : std_logic_vector(3 downto 0);
-- ANTIC
signal ANTIC_LIGHTPEN : std_logic;
-- CARTRIDGE ACCESS
SIGNAL CART_RD4 : STD_LOGIC;
SIGNAL CART_RD5 : STD_LOGIC;
-- PBI
SIGNAL PBI_WRITE_DATA : std_logic_vector(31 downto 0);
-- INTERNAL ROM/RAM
SIGNAL RAM_ADDR : STD_LOGIC_VECTOR(18 DOWNTO 0);
SIGNAL RAM_DO : STD_LOGIC_VECTOR(15 DOWNTO 0);
SIGNAL RAM_REQUEST : STD_LOGIC;
SIGNAL RAM_REQUEST_COMPLETE : STD_LOGIC;
SIGNAL RAM_WRITE_ENABLE : STD_LOGIC;
SIGNAL ROM_ADDR : STD_LOGIC_VECTOR(21 DOWNTO 0);
SIGNAL ROM_DO : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL ROM_REQUEST : STD_LOGIC;
SIGNAL ROM_REQUEST_COMPLETE : STD_LOGIC;
-- CONFIG
SIGNAL USE_SDRAM : STD_LOGIC;
SIGNAL ROM_IN_RAM : STD_LOGIC;
BEGIN
-- PIA mapping
CA1_IN <= '1';
CB1_IN <= '1';
CA2_IN <= CA2_OUT when CA2_DIR_OUT='1' else '1';
CB2_IN <= CB2_OUT when CB2_DIR_OUT='1' else '1';
SIO_COMMAND <= CB2_OUT;
PORTA_IN <= ((JOY2_n(3)&JOY2_n(2)&JOY2_n(1)&JOY2_n(0)&JOY1_n(3)&JOY1_n(2)&JOY1_n(1)&JOY1_n(0)) and not (porta_dir_out)) or (porta_dir_out and porta_out);
PORTB_IN <= PORTB_OUT;
-- ANTIC lightpen
ANTIC_LIGHTPEN <= JOY2_n(4) and JOY1_n(4);
-- GTIA triggers
GTIA_TRIG <= CART_RD5&"1"&JOY2_n(4)&JOY1_n(4);
-- Cartridge not inserted
CART_RD4 <= '0';
CART_RD5 <= '0';
-- Since we're not exposing PBI, expose a few key parts needed for SDRAM
SDRAM_DI <= PBI_WRITE_DATA;
-- Internal rom/ram
internalromram1 : entity work.internalromram
GENERIC MAP
(
internal_rom => internal_rom,
internal_ram => internal_ram
)
PORT MAP (
clock => CLK,
reset_n => RESET_N,
ROM_ADDR => ROM_ADDR,
ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
ROM_REQUEST => ROM_REQUEST,
ROM_DATA => ROM_DO,
RAM_ADDR => RAM_ADDR,
RAM_WR_ENABLE => RAM_WRITE_ENABLE,
RAM_DATA_IN => PBI_WRITE_DATA(7 downto 0),
RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
RAM_REQUEST => RAM_REQUEST,
RAM_DATA => RAM_DO(7 downto 0)
);
USE_SDRAM <= '1' when internal_ram=0 else '0';
ROM_IN_RAM <= '1' when internal_rom=0 else '0';
atari800xl : entity work.atari800core
GENERIC MAP
(
cycle_length => cycle_length,
video_bits => video_bits,
palette => palette
)
PORT MAP
(
CLK => CLK,
RESET_N => RESET_N,
VIDEO_VS => VIDEO_VS,
VIDEO_HS => VIDEO_HS,
VIDEO_B => VIDEO_B,
VIDEO_G => VIDEO_G,
VIDEO_R => VIDEO_R,
VIDEO_BLANK => VIDEO_BLANK,
VIDEO_BURST => VIDEO_BURST,
VIDEO_START_OF_FIELD => VIDEO_START_OF_FIELD,
VIDEO_ODD_LINE => VIDEO_ODD_LINE,
AUDIO_L => AUDIO_L,
AUDIO_R => AUDIO_R,
CA1_IN => CA1_IN,
CB1_IN => CB1_IN,
CA2_IN => CA2_IN,
CA2_OUT => CA2_OUT,
CA2_DIR_OUT => CA2_DIR_OUT,
CB2_IN => CB2_IN,
CB2_OUT => CB2_OUT,
CB2_DIR_OUT => CB2_DIR_OUT,
PORTA_IN => PORTA_IN,
PORTA_DIR_OUT => PORTA_DIR_OUT,
PORTA_OUT => PORTA_OUT,
PORTB_IN => PORTB_IN,
PORTB_DIR_OUT => open,--PORTB_DIR_OUT,
PORTB_OUT => PORTB_OUT,
KEYBOARD_RESPONSE => KEYBOARD_RESPONSE,
KEYBOARD_SCAN => KEYBOARD_SCAN,
POT_IN => "00000000",
POT_RESET => open,
-- PBI
PBI_ADDR => open,
PBI_WRITE_ENABLE => open,
PBI_SNOOP_DATA => DMA_MEMORY_DATA,
PBI_WRITE_DATA => PBI_WRITE_DATA,
PBI_WIDTH_8bit_ACCESS => SDRAM_8BIT_WRITE_ENABLE,
PBI_WIDTH_16bit_ACCESS => SDRAM_16BIT_WRITE_ENABLE,
PBI_WIDTH_32bit_ACCESS => SDRAM_32BIT_WRITE_ENABLE,
PBI_ROM_DO => "11111111",
PBI_REQUEST => open,
PBI_REQUEST_COMPLETE => '1',
CART_RD4 => CART_RD4,
CART_RD5 => CART_RD5,
CART_S4_n => open,
CART_S5_N => open,
CART_CCTL_N => open,
SIO_RXD => SIO_RXD,
SIO_TXD => SIO_TXD,
CONSOL_OPTION => CONSOL_OPTION,
CONSOL_SELECT => CONSOL_SELECT,
CONSOL_START=> CONSOL_START,
GTIA_TRIG => GTIA_TRIG,
ANTIC_LIGHTPEN => ANTIC_LIGHTPEN,
ANTIC_REFRESH => SDRAM_REFRESH,
SDRAM_REQUEST => SDRAM_REQUEST,
SDRAM_REQUEST_COMPLETE => SDRAM_REQUEST_COMPLETE,
SDRAM_READ_ENABLE => SDRAM_READ_ENABLE,
SDRAM_WRITE_ENABLE => SDRAM_WRITE_ENABLE,
SDRAM_ADDR => SDRAM_ADDR,
SDRAM_DO => SDRAM_DO,
RAM_ADDR => RAM_ADDR,
RAM_DO => RAM_DO,
RAM_REQUEST => RAM_REQUEST,
RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
RAM_WRITE_ENABLE => RAM_WRITE_ENABLE,
ROM_ADDR => ROM_ADDR,
ROM_DO => ROM_DO,
ROM_REQUEST => ROM_REQUEST,
ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
DMA_FETCH => DMA_FETCH,
DMA_READ_ENABLE => DMA_READ_ENABLE,
DMA_32BIT_WRITE_ENABLE => DMA_32BIT_WRITE_ENABLE,
DMA_16BIT_WRITE_ENABLE => DMA_16BIT_WRITE_ENABLE,
DMA_8BIT_WRITE_ENABLE => DMA_8BIT_WRITE_ENABLE,
DMA_ADDR => DMA_ADDR,
DMA_WRITE_DATA => DMA_WRITE_DATA,
MEMORY_READY_DMA => MEMORY_READY_DMA,
RAM_SELECT => RAM_SELECT,
ROM_SELECT => ROM_SELECT,
CART_EMULATION_SELECT => "0000000",
CART_EMULATION_ACTIVATE => '0',
PAL => PAL,
USE_SDRAM => USE_SDRAM,
ROM_IN_RAM => ROM_IN_RAM,
THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502,
HALT => HALT
);
end vhdl;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/korvet/src/keyboard/keyboard.vhd
|
1
|
12133
|
-- ###################################################################################
--
-- #### #### #####
-- ## ## ##### ## ## ##### ## ###### ##### ##### ##### ## ##
-- ## ## ## ## ## ## ## ## ##### ## ## ## ## ## ## ## ##
-- ## ## ## ## ## ## ###### ## ###### ###### ## ## ######
-- ## ## ## ## ### ## ## ## ## ## ## ##
-- #### ######## ##### # ##### ##### ## ##### ##### ##### #####
--
-- ###################################################################################
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity keyboard is
generic (FilterSize : positive := 10);
port(
clk : in std_logic;
reset : in std_logic;
o_reset : out std_logic;
PS2_Clk : in std_logic;
PS2_Data : in std_logic;
Key_Addr : in std_logic_vector(8 downto 0);
Key_Data : out std_logic_vector(7 downto 0) );
end keyboard;
architecture Behavioral of keyboard is
signal PS2_Datr : std_logic;
signal DoRead : std_logic; -- From outside when reading the scan code
signal Scan_Err : std_logic; -- To outside : Parity or Overflow error
signal Scan_Code : std_logic_vector(7 downto 0); -- Eight bits Data Out
signal Filter : std_logic_vector(FilterSize-1 downto 0);
signal Filter_t0 : std_logic_vector(FilterSize-1 downto 0);
signal Filter_t1 : std_logic_vector(FilterSize-1 downto 0);
signal Fall_Clk : std_logic;
signal Bit_Cnt : unsigned (3 downto 0);
signal Parity : std_logic;
signal S_Reg : std_logic_vector(8 downto 0);
signal PS2_Clk_f : std_logic; signal Code_Readed : std_logic;
signal Key_Released : std_logic;
signal Extend_Key : std_logic;
signal Key_Data_0 : std_logic_vector(7 downto 0);
signal Key_Data_1 : std_logic_vector(7 downto 0);
type Matrix_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal Matrix_0 : Matrix_Image(0 to 7);
signal Matrix_1 : Matrix_Image(0 to 7);
Type State_t is (Idle, Shifting);
signal State : State_t;
begin
Filter_t0 <= (others=>'0');
Filter_t1 <= (others=>'1');
process (Clk,Reset)
begin
if Reset='1' then
PS2_Datr <= '0';
PS2_Clk_f <= '0';
Filter <= (others=>'0');
Fall_Clk <= '0';
elsif rising_edge (Clk) then
PS2_Datr <= PS2_Data and PS2_Data; -- also turns 'H' into '1'
Fall_Clk <= '0';
Filter <= (PS2_Clk and PS2_CLK) & Filter(Filter'high downto 1);
if Filter = Filter_t1 then
PS2_Clk_f <= '1';
elsif Filter = Filter_t0 then
PS2_Clk_f <= '0';
if PS2_Clk_f = '1' then
Fall_Clk <= '1';
end if;
end if;
end if;
end process;
process(Clk,Reset)
begin
if Reset='1' then
State <= Idle;
Bit_Cnt <= (others => '0');
S_Reg <= (others => '0');
Scan_Code <= (others => '0');
Parity <= '0';
Scan_Err <= '0';
Code_Readed <= '0';
elsif rising_edge (Clk) then
Code_Readed <= '0';
case State is
when Idle =>
Parity <= '0';
Bit_Cnt <= (others => '0');
-- note that we dont need to clear the Shift Register
if Fall_Clk='1' and PS2_Datr='0' then -- Start bit
Scan_Err <= '0';
State <= Shifting;
end if;
when Shifting =>
if Bit_Cnt >= 9 then
if Fall_Clk='1' then -- Stop Bit
-- Error is (wrong Parity) or (Stop='0') or Overflow
Scan_Err <= (not Parity) or (not PS2_Datr);
Scan_Code <= S_Reg(7 downto 0);
Code_Readed <= '1';
State <= Idle;
end if;
elsif Fall_Clk='1' then
Bit_Cnt <= Bit_Cnt + 1;
S_Reg <= PS2_Datr & S_Reg (S_Reg'high downto 1); -- Shift right
Parity <= Parity xor PS2_Datr;
end if;
when others => -- never reached
State <= Idle;
end case;
--Scan_Err <= '0'; -- to create an on-purpose error on Scan_Err !
end if;
end process;
process(Clk,Reset)
variable aaa : std_logic_vector(10 downto 0);
variable bbb : std_logic_vector(10 downto 0);
begin
if Reset='1' then
Matrix_0 <= (others => (others => '0'));
Matrix_1 <= (others => (others => '0'));
Key_Released <= '0';
Extend_Key <= '0';
elsif rising_edge (Clk) then
o_reset <= '0';
if Code_Readed = '1' then -- ScanCode is Readed
if Scan_Code = x"F0" then -- Key is Released
Key_Released <= '1';
elsif Scan_Code = x"E0" then -- Extended Key Pressed
Extend_Key <= '1';
else -- Analyse
aaa := (others=>'0');
bbb := (others=>'0');
case Scan_Code is
------------------------------------
when x"52" => aaa := "00000000001"; -- @
when x"1C" => aaa := "00000000010"; -- A
when x"32" => aaa := "00000000100"; -- B
when x"21" => aaa := "00000001000"; -- C
when x"23" => aaa := "00000010000"; -- D
when x"24" => aaa := "00000100000"; -- E
when x"2B" => aaa := "00001000000"; -- F
when x"34" => aaa := "00010000000"; -- G
------------------------------------
when x"33" => aaa := "00100000001"; -- H
when x"43" => aaa := "00100000010"; -- I
when x"3B" => aaa := "00100000100"; -- J
when x"42" => aaa := "00100001000"; -- K
when x"4B" => aaa := "00100010000"; -- L
when x"3A" => aaa := "00100100000"; -- M
when x"31" => aaa := "00101000000"; -- N
when x"44" => aaa := "00110000000"; -- O
------------------------------------
when x"4D" => aaa := "01000000001"; -- P
when x"15" => aaa := "01000000010"; -- Q
when x"2D" => aaa := "01000000100"; -- R
when x"1B" => aaa := "01000001000"; -- S
when x"2C" => aaa := "01000010000"; -- T
when x"3C" => aaa := "01000100000"; -- U
when x"2A" => aaa := "01001000000"; -- V
when x"1D" => aaa := "01010000000"; -- W
------------------------------------
when x"22" => aaa := "01100000001"; -- X
when x"1A" => aaa := "01100000010"; -- Y
when x"35" => aaa := "01100000100"; -- Z
when x"54" => aaa := "01100001000"; -- [
when x"0E" => aaa := "01100010000"; -- ?
when x"5B" => aaa := "01100100000"; -- ]
when x"61" => aaa := "01101000000"; -- ?
when x"4C" => aaa := "01110000000"; -- ?
------------------------------------
when x"45" => aaa := "10000000001"; -- 0
when x"16" => aaa := "10000000010"; -- 1
when x"1E" => aaa := "10000000100"; -- 2
when x"26" => aaa := "10000001000"; -- 3
when x"25" => aaa := "10000010000"; -- 4
when x"2E" => aaa := "10000100000"; -- 5
when x"36" => aaa := "10001000000"; -- 6
when x"3D" => aaa := "10010000000"; -- 7
------------------------------------
when x"3E" => aaa := "10100000001"; -- 8
when x"46" => aaa := "10100000010"; -- 9
when x"5D" => aaa := "10100000100"; -- *
when x"55" => aaa := "10100001000"; -- +
when x"41" => aaa := "10100010000"; -- <
when x"4A" => aaa := "10100100000"; -- =
when x"49" => aaa := "10101000000"; -- >
when x"4E" => aaa := "10110000000"; -- ?
------------------------------------
when x"5A" => aaa := "11000000001"; -- ENTER
when x"7B" => aaa := "11000000010"; -- ????
when x"07" => aaa := "11000000100"; -- ????
when x"77" => aaa := "11000001000"; -- ??
when x"7C" => aaa := "11000010000"; -- ??
when x"66" => aaa := "11000100000"; -- BACKSPACE
when x"0D" => aaa := "11001000000"; -- TAB
when x"29" => aaa := "11010000000"; -- SPACE
------------------------------------
when x"12" => aaa := "11100000001"; -- ?? ???.
when x"11" =>
case Extend_Key is
when '0' => aaa := "11100000010"; -- ???
when others => aaa := "11100000100"; -- ????
end case;
when x"76" => aaa := "11100001000"; -- ???
when x"14" =>
case Extend_Key is
when '0' => aaa := "11101000000"; -- o
when others => aaa := "11100010000"; -- ???
end case;
when x"58" => aaa := "11100100000"; -- ???
when x"59" => aaa := "11110000000"; -- ?? ????.
------------------------------------
when x"70" => bbb := "00000000001"; -- 0
when x"69" => bbb := "00000000010"; -- 1
when x"72" => bbb := "00000000100"; -- 2
when x"7A" => bbb := "00000001000"; -- 3
when x"6B" => bbb := "00000010000"; -- 4
when x"73" => bbb := "00000100000"; -- 5
when x"74" => bbb := "00001000000"; -- 6
when x"6C" => bbb := "00010000000"; -- 7
------------------------------------
when x"75" => bbb := "00100000001"; -- 8
when x"7D" => bbb := "00100000010"; -- 9
when x"71" => bbb := "00101000000"; -- .
------------------------------------
when x"05" => bbb := "01000000001"; -- P
when x"06" => bbb := "01000000010"; -- Q
when x"04" => bbb := "01000000100"; -- R
when x"0C" => bbb := "01000001000"; -- S
when x"03" => bbb := "01000010000"; -- T
------------------------------------
when x"7E" => o_reset <= '1';
when others => null;
end case;
if Key_Released = '0' then
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) <=
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) or
std_logic_vector(unsigned(aaa(7 downto 0)));
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) <=
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) or
std_logic_vector(unsigned(bbb(7 downto 0)));
else
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) <=
Matrix_0(to_integer(unsigned(aaa(10 downto 8)))) and
std_logic_vector(not unsigned(aaa(7 downto 0)));
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) <=
Matrix_1(to_integer(unsigned(bbb(10 downto 8)))) and
std_logic_vector(not unsigned(bbb(7 downto 0)));
end if;
Key_Released <= '0';
Extend_Key <= '0';
end if;
end if;
end if;
end process;
-- if RX_ShiftReg = x"aa" and RX_Received = '1' then
-- Matrix <= (others => (others => '0'));
-- end if;
g_out1 : for i in 0 to 7 generate
Key_Data_0(i) <= (Matrix_0(0)(i) and Key_Addr(0)) or
(Matrix_0(1)(i) and Key_Addr(1)) or
(Matrix_0(2)(i) and Key_Addr(2)) or
(Matrix_0(3)(i) and Key_Addr(3)) or
(Matrix_0(4)(i) and Key_Addr(4)) or
(Matrix_0(5)(i) and Key_Addr(5)) or
(Matrix_0(6)(i) and Key_Addr(6)) or
(Matrix_0(7)(i) and Key_Addr(7));
end generate;
g_out2 : for i in 0 to 7 generate
Key_Data_1(i) <= (Matrix_1(0)(i) and Key_Addr(0)) or
(Matrix_1(1)(i) and Key_Addr(1)) or
(Matrix_1(2)(i) and Key_Addr(2)) or
(Matrix_1(3)(i) and Key_Addr(3)) or
(Matrix_1(4)(i) and Key_Addr(4)) or
(Matrix_1(5)(i) and Key_Addr(5)) or
(Matrix_1(6)(i) and Key_Addr(6)) or
(Matrix_1(7)(i) and Key_Addr(7));
end generate;
Key_Data <= Key_Data_0 when Key_Addr(8) = '0' else Key_Data_1;
end Behavioral;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/a8core/pokey.vhdl
|
1
|
37114
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Problem - UART on the DE1 does not have all pins connected. Need to use...
ENTITY pokey IS
PORT
(
CLK : IN STD_LOGIC;
ENABLE_179 :in std_logic;
ADDR : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
WR_EN : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
-- keyboard interface
-- KBCODE : IN STD_LOGIC_VECTOR(7 downto 0);
-- KEY_HELD : IN STD_LOGIC;
-- SHIFT_PRESSED : IN STD_LOGIC;
-- BREAK_PRESSED : IN STD_LOGIC;
-- KEY_INTERRUPT : IN STD_LOGIC;
keyboard_scan : out std_logic_vector(5 downto 0);
keyboard_response : in std_logic_vector(1 downto 0);
-- pots - go high as capacitor charges
POT_IN : in std_logic_vector(7 downto 0);
-- sio interface
SIO_IN1 : IN std_logic;
SIO_IN2 : IN std_logic;
SIO_IN3 : IN std_logic;
DATA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CHANNEL_0_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
CHANNEL_1_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
CHANNEL_2_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
CHANNEL_3_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
IRQ_N_OUT : OUT std_logic;
SIO_OUT1 : OUT std_logic;
SIO_OUT2 : OUT std_logic;
SIO_OUT3 : OUT std_logic;
SIO_CLOCK : INOUT std_logic; -- TODO, should not use internally
POT_RESET : out std_logic
);
END pokey;
ARCHITECTURE vhdl OF pokey IS
component synchronizer IS
PORT
(
CLK : IN STD_LOGIC;
RAW : IN STD_LOGIC;
SYNC : OUT STD_LOGIC
);
END component;
component syncreset_enable_divider IS
generic(COUNT : natural := 1; RESETCOUNT : natural := 0);
PORT
(
CLK : IN STD_LOGIC;
syncreset : in std_logic;
reset_n : in std_logic;
ENABLE_IN : IN STD_LOGIC;
ENABLE_OUT : OUT STD_LOGIC
);
END component;
component pokey_poly_17_9 IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
SELECT_9_17 : IN STD_LOGIC; -- 9 high, 17 low
INIT : IN STD_LOGIC;
BIT_OUT : OUT STD_LOGIC;
RAND_OUT : OUT std_logic_vector(7 downto 0)
);
END component;
component pokey_poly_5 IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
INIT : IN STD_LOGIC;
BIT_OUT : OUT STD_LOGIC
);
END component;
component pokey_poly_4 IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
INIT : IN STD_LOGIC;
BIT_OUT : OUT STD_LOGIC
);
END component;
component pokey_countdown_timer IS
generic(UNDERFLOW_DELAY : natural := 3);
PORT
(
CLK : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
ENABLE_UNDERFLOW : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
WR_EN : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(7 downto 0);
DATA_OUT : OUT STD_LOGIC
);
END component;
component pokey_noise_filter IS
PORT
(
NOISE_SELECT : IN STD_LOGIC_VECTOR(2 downto 0);
PULSE_IN : IN STD_LOGIC;
NOISE_4 : IN STD_LOGIC;
NOISE_5 : IN STD_LOGIC;
NOISE_LARGE : IN STD_LOGIC;
PULSE_OUT : OUT STD_LOGIC
);
END component;
COMPONENT complete_address_decoder IS
generic (width : natural := 1);
PORT
(
addr_in : in std_logic_vector(width-1 downto 0);
addr_decoded : out std_logic_vector((2**width)-1 downto 0)
);
END component;
component delay_line IS
generic(COUNT : natural := 1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC
);
END component;
component pokey_keyboard_scanner is
port
(
clk : in std_logic;
reset_n : in std_logic;
enable : in std_logic; -- typically hsync or equiv timing
keyboard_response : in std_logic_vector(1 downto 0);
debounce_disable : in std_logic;
scan_enable : in std_logic;
keyboard_scan : out std_logic_vector(5 downto 0);
shift_pressed : out std_logic;
control_pressed : out std_logic;
break_pressed : out std_logic;
key_held : out std_logic;
keycode : out std_logic_vector(5 downto 0);
other_key_irq : out std_logic
);
end component;
--signal enable_179 : std_logic;
signal enable_64 : std_logic;
signal enable_15 : std_logic;
signal audf0_reg : std_logic_vector(7 downto 0);
signal audc0_reg : std_logic_vector(7 downto 0);
signal audf1_reg : std_logic_vector(7 downto 0);
signal audc1_reg : std_logic_vector(7 downto 0);
signal audf2_reg : std_logic_vector(7 downto 0);
signal audc2_reg : std_logic_vector(7 downto 0);
signal audf3_reg : std_logic_vector(7 downto 0);
signal audc3_reg : std_logic_vector(7 downto 0);
signal audctl_reg : std_logic_vector(7 downto 0);
signal audf0_next : std_logic_vector(7 downto 0);
signal audc0_next : std_logic_vector(7 downto 0);
signal audf1_next : std_logic_vector(7 downto 0);
signal audc1_next : std_logic_vector(7 downto 0);
signal audf2_next : std_logic_vector(7 downto 0);
signal audc2_next : std_logic_vector(7 downto 0);
signal audf3_next : std_logic_vector(7 downto 0);
signal audc3_next : std_logic_vector(7 downto 0);
signal audctl_next : std_logic_vector(7 downto 0);
signal audf0_pulse : std_logic;
signal audf1_pulse : std_logic;
signal audf2_pulse : std_logic;
signal audf3_pulse : std_logic;
signal audf0_reload : std_logic;
signal audf1_reload : std_logic;
signal audf2_reload : std_logic;
signal audf3_reload : std_logic;
signal stimer_write : std_logic;
signal stimer_write_delayed : std_logic;
signal audf0_pulse_noise : std_logic;
signal audf1_pulse_noise : std_logic;
signal audf2_pulse_noise : std_logic;
signal audf3_pulse_noise : std_logic;
signal audf0_enable : std_logic;
signal audf1_enable : std_logic;
signal audf2_enable : std_logic;
signal audf3_enable : std_logic;
signal chan0_output_next : std_logic;
signal chan1_output_next : std_logic;
signal chan2_output_next : std_logic;
signal chan3_output_next : std_logic;
signal chan0_output_reg : std_logic;
signal chan1_output_reg : std_logic;
signal chan2_output_reg : std_logic;
signal chan3_output_reg : std_logic;
signal highpass0_next : std_logic;
signal highpass1_next : std_logic;
signal highpass0_reg : std_logic;
signal highpass1_reg : std_logic;
signal volume_channel_0_next : std_logic_vector(3 downto 0);
signal volume_channel_1_next : std_logic_vector(3 downto 0);
signal volume_channel_2_next : std_logic_vector(3 downto 0);
signal volume_channel_3_next : std_logic_vector(3 downto 0);
signal volume_channel_0_reg : std_logic_vector(3 downto 0);
signal volume_channel_1_reg : std_logic_vector(3 downto 0);
signal volume_channel_2_reg : std_logic_vector(3 downto 0);
signal volume_channel_3_reg : std_logic_vector(3 downto 0);
signal addr_decoded : std_logic_vector(15 downto 0);
signal noise_4 : std_logic;
signal noise_5 : std_logic;
signal noise_large : std_logic;
signal rand_out : std_logic_vector(7 downto 0); -- snoop part of the shift reg
signal initmode : std_logic;
signal irqen_next : std_logic_vector(7 downto 0);
signal irqen_reg : std_logic_vector(7 downto 0);
signal irqst_next : std_logic_vector(7 downto 0);
signal irqst_reg : std_logic_vector(7 downto 0);
signal irq_n_next : std_logic;
signal irq_n_reg : std_logic; -- for output
-- serial ports!
signal serial_ip_ready_interrupt : std_logic;
signal serial_ip_framing_next : std_logic;
signal serial_ip_framing_reg : std_logic;
signal serial_ip_overrun_next : std_logic;
signal serial_ip_overrun_reg : std_logic;
signal serial_op_needed_interrupt : std_logic;
signal skctl_next : std_logic_vector(7 downto 0);
signal skctl_reg : std_logic_vector(7 downto 0);
signal serin_shift_next : std_logic_vector(9 downto 0);
signal serin_shift_reg : std_logic_vector(9 downto 0);
signal serin_next : std_logic_vector(7 downto 0);
signal serin_reg : std_logic_vector(7 downto 0);
signal serin_bitcount_next : std_logic_vector(3 downto 0);
signal serin_bitcount_reg : std_logic_vector(3 downto 0);
signal sio_in1_reg : std_logic;
signal sio_in2_reg : std_logic;
signal sio_in3_reg : std_logic;
signal sio_in_next : std_logic;
signal sio_in_reg : std_logic;
signal sio_out_next : std_logic;
signal sio_out_reg : std_logic;
signal serial_out_next : std_logic;
signal serial_out_reg : std_logic;
signal serout_shift_next : std_logic_vector(9 downto 0);
signal serout_shift_reg : std_logic_vector(9 downto 0);
signal serout_holding_full_next : std_logic;
signal serout_holding_full_reg : std_logic;
signal serout_holding_next : std_logic_vector(7 downto 0);
signal serout_holding_reg : std_logic_vector(7 downto 0);
signal serout_holding_load : std_logic;
signal serout_bitcount_next : std_logic_vector(3 downto 0);
signal serout_bitcount_reg : std_logic_vector(3 downto 0);
signal serout_active_next : std_logic;
signal serout_active_reg : std_logic;
signal serial_reset : std_logic;
signal serout_sync_reset : std_logic;
signal skrest_write : std_logic;
signal serout_enable : std_logic;
signal serout_enable_delayed : std_logic;
signal serin_enable : std_logic;
signal async_serial_reset : std_logic;
signal waiting_for_start_bit : std_logic;
signal serin_clock_next : std_logic;
signal serin_clock_reg : std_logic;
signal serin_clock_last_next : std_logic;
signal serin_clock_last_reg : std_logic;
signal serout_clock_next : std_logic;
signal serout_clock_reg : std_logic;
signal serout_clock_last_next : std_logic;
signal serout_clock_last_reg : std_logic;
signal twotone_reset : std_logic;
signal twotone_next : std_logic;
signal twotone_reg : std_logic;
signal clock_next : std_logic;
signal clock_reg : std_logic;
signal clock_sync_next : std_logic;
signal clock_sync_reg : std_logic;
signal clock_input : std_logic;
-- keyboard
signal keyboard_overrun_next : std_logic;
signal keyboard_overrun_reg : std_logic;
signal shift_pressed : std_logic;
signal control_pressed : std_logic;
signal break_pressed : std_logic;
signal key_held : std_logic;
signal other_key_irq : std_logic;
signal kbcode : std_logic_vector(5 downto 0);
-- pots
signal pot0_next : std_logic_vector(7 downto 0);
signal pot0_reg : std_logic_vector(7 downto 0);
signal pot1_next : std_logic_vector(7 downto 0);
signal pot1_reg : std_logic_vector(7 downto 0);
signal pot2_next : std_logic_vector(7 downto 0);
signal pot2_reg : std_logic_vector(7 downto 0);
signal pot3_next : std_logic_vector(7 downto 0);
signal pot3_reg : std_logic_vector(7 downto 0);
signal pot4_next : std_logic_vector(7 downto 0);
signal pot4_reg : std_logic_vector(7 downto 0);
signal pot5_next : std_logic_vector(7 downto 0);
signal pot5_reg : std_logic_vector(7 downto 0);
signal pot6_next : std_logic_vector(7 downto 0);
signal pot6_reg : std_logic_vector(7 downto 0);
signal pot7_next : std_logic_vector(7 downto 0);
signal pot7_reg : std_logic_vector(7 downto 0);
signal pot_counter_next : std_logic_vector(7 downto 0);
signal pot_counter_reg : std_logic_vector(7 downto 0);
signal potgo_write : std_logic;
signal pot_reset_next : std_logic;
signal pot_reset_reg : std_logic;
BEGIN
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
-- FIXME - Pokey does not have RESET - instead this is caused by 'init' sequence
audf0_reg <= X"00";
audc0_reg <= X"00";
audf1_reg <= X"00";
audc1_reg <= X"00";
audf2_reg <= X"00";
audc2_reg <= X"00";
audf3_reg <= X"00";
audc3_reg <= X"00";
audctl_reg <= X"00";
irqen_reg <= X"00";
irqst_reg <= X"FF";
irq_n_reg <= '1';
skctl_reg <= X"00";
highpass0_reg <= '0';
highpass1_reg <= '0';
chan0_output_reg <= '0';
chan1_output_reg <= '0';
chan2_output_reg <= '0';
chan3_output_reg <= '0';
volume_channel_0_reg <= (others=>'0');
volume_channel_1_reg <= (others=>'0');
volume_channel_2_reg <= (others=>'0');
volume_channel_3_reg <= (others=>'0');
serin_reg <= (others=>'0');
serin_shift_reg <= (others=>'0');
serin_bitcount_reg <= (others=>'0');
serout_shift_reg <= (others=>'0');
serout_holding_reg <= (others=>'0');
serout_holding_full_reg <= '0';
serout_active_reg <= '0';
sio_out_reg <= '1';
serial_out_reg <= '1';
serial_ip_framing_reg <= '0';
serial_ip_overrun_reg <= '0';
clock_reg <= '0';
clock_sync_reg <= '0';
keyboard_overrun_reg <= '0';
serin_clock_reg <= '0';
serin_clock_last_reg <= '0';
serout_clock_reg <= '0';
serout_clock_last_reg <= '0';
twotone_reg <= '0';
sio_in_reg <= '0';
pot0_reg <= (others=>'0');
pot1_reg <= (others=>'0');
pot2_reg <= (others=>'0');
pot3_reg <= (others=>'0');
pot4_reg <= (others=>'0');
pot5_reg <= (others=>'0');
pot6_reg <= (others=>'0');
pot7_reg <= (others=>'0');
pot_counter_reg <= (others=>'0');
pot_reset_reg <= '1';
elsif (clk'event and clk='1') then
audf0_reg <= audf0_next;
audc0_reg <= audc0_next;
audf1_reg <= audf1_next;
audc1_reg <= audc1_next;
audf2_reg <= audf2_next;
audc2_reg <= audc2_next;
audf3_reg <= audf3_next;
audc3_reg <= audc3_next;
audctl_reg <= audctl_next;
irqen_reg <= irqen_next;
irqst_reg <= irqst_next;
irq_n_reg <= irq_n_next;
skctl_reg <= skctl_next;
highpass0_reg <= highpass0_next;
highpass1_reg <= highpass1_next;
chan0_output_reg <= chan0_output_next;
chan1_output_reg <= chan1_output_next;
chan2_output_reg <= chan2_output_next;
chan3_output_reg <= chan3_output_next;
volume_channel_0_reg<= volume_channel_0_next;
volume_channel_1_reg<= volume_channel_1_next;
volume_channel_2_reg<= volume_channel_2_next;
volume_channel_3_reg<= volume_channel_3_next;
serin_reg <= serin_next;
serin_shift_reg <= serin_shift_next;
serin_bitcount_reg <= serin_bitcount_next;
serout_shift_reg <= serout_shift_next;
serout_bitcount_reg <= serout_bitcount_next;
serout_holding_reg<=serout_holding_next;
serout_holding_full_reg<=serout_holding_full_next;
serout_active_reg <= serout_active_next;
sio_out_reg <= sio_out_next;
serial_out_reg <= serial_out_next;
serial_ip_framing_reg <= serial_ip_framing_next;
serial_ip_overrun_reg <= serial_ip_overrun_next;
clock_reg <= clock_next;
clock_sync_reg <= clock_sync_next;
keyboard_overrun_reg <= keyboard_overrun_next;
serin_clock_reg <= serin_clock_next;
serin_clock_last_reg <= serin_clock_last_next;
serout_clock_reg <= serout_clock_next;
serout_clock_last_reg <= serout_clock_last_next;
twotone_reg <= twotone_next;
sio_in_reg <= sio_in_next;
pot0_reg <= pot0_next;
pot1_reg <= pot1_next;
pot2_reg <= pot2_next;
pot3_reg <= pot3_next;
pot4_reg <= pot4_next;
pot5_reg <= pot5_next;
pot6_reg <= pot6_next;
pot7_reg <= pot7_next;
pot_counter_reg <= pot_counter_next;
pot_reset_reg <= pot_reset_next;
end if;
end process;
-- decode address
decode_addr1 : complete_address_decoder
generic map(width=>4)
port map (addr_in=>addr, addr_decoded=>addr_decoded);
-- clock selection
process(enable_64,enable_15,enable_179,audctl_reg,audf0_pulse,audf2_pulse)
begin
audf0_enable <= enable_64;
audf1_enable <= enable_64;
audf2_enable <= enable_64;
audf3_enable <= enable_64;
if (audctl_reg(0) = '1') then
audf0_enable <= enable_15;
audf1_enable <= enable_15;
audf2_enable <= enable_15;
audf3_enable <= enable_15;
end if;
if (audctl_reg(6) = '1') then
audf0_enable <= enable_179;
end if;
if (audctl_reg(5) = '1') then
audf2_enable <= enable_179;
end if;
if(audctl_reg(4) = '1') then
audf1_enable <= audf0_pulse;
end if;
if(audctl_reg(3) = '1') then
audf3_enable <= audf2_pulse;
end if;
end process;
-- Instantiate timers
timer0 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf0_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf0_reload,data_in=>audf0_next,DATA_OUT=>audf0_pulse);
timer1 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf1_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf1_reload,data_in=>audf1_next,DATA_OUT=>audf1_pulse);
timer2 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf2_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf2_reload,data_in=>audf2_next,DATA_OUT=>audf2_pulse);
timer3 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf3_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf3_reload,data_in=>audf3_next,DATA_OUT=>audf3_pulse);
-- Timer reloading
process (audctl_reg, audf0_pulse, audf1_pulse, audf2_pulse, audf3_pulse, stimer_write_delayed, async_serial_reset, twotone_reset)
begin
audf0_reload <= ((not(audctl_reg(4)) and audf0_pulse)) or (audctl_reg(4) and audf1_pulse) or stimer_write_delayed or twotone_reset;
audf1_reload <= audf1_pulse or stimer_write_delayed or twotone_reset;
audf2_reload <= ((not(audctl_reg(3)) and audf2_pulse)) or (audctl_reg(3) and audf3_pulse) or stimer_write_delayed or async_serial_reset;
audf3_reload <= audf3_pulse or stimer_write_delayed or async_serial_reset;
end process;
-- Writes to registers
process(data_in,wr_en,addr_decoded,audf0_reg,audc0_reg,audf1_reg,audc1_reg,audf2_reg,audc2_reg,audf3_reg,audc3_reg,audf0_enable,audf1_enable,audf2_enable,audf3_enable,audctl_reg, irqen_reg, skctl_reg, serout_holding_reg)
begin
audf0_next <= audf0_reg;
audc0_next <= audc0_reg;
audf1_next <= audf1_reg;
audc1_next <= audc1_reg;
audf2_next <= audf2_reg;
audc2_next <= audc2_reg;
audf3_next <= audf3_reg;
audc3_next <= audc3_reg;
audctl_next <= audctl_reg;
irqen_next <= irqen_reg;
skctl_next <= skctl_reg;
stimer_write <= '0';
serout_holding_load <= '0';
serout_holding_next <= serout_holding_reg;
serial_reset <= '0';
skrest_write <= '0';
potgo_write <= '0';
if (wr_en = '1') then
if(addr_decoded(0) = '1') then
audf0_next <= data_in;
end if;
if(addr_decoded(1) = '1') then
audc0_next <= data_in;
end if;
if(addr_decoded(2) = '1') then
audf1_next <= data_in;
end if;
if(addr_decoded(3) = '1') then
audc1_next <= data_in;
end if;
if(addr_decoded(4) = '1') then
audf2_next <= data_in;
end if;
if(addr_decoded(5) = '1') then
audc2_next <= data_in;
end if;
if(addr_decoded(6) = '1') then
audf3_next <= data_in;
end if;
if(addr_decoded(7) = '1') then
audc3_next <= data_in;
end if;
if(addr_decoded(8) = '1') then
audctl_next <= data_in;
end if;
if (addr_decoded(9) = '1') then --STIMER
stimer_write <= '1';
end if;
if (addr_decoded(10) = '1') then -- skrest - resets the serial input problems - overflow etc
skrest_write <= '1';
end if;
if (addr_decoded(11) = '1') then -- POTGO - start POT scan
potgo_write <= '1';
end if;
if (addr_decoded(13) = '1') then --SEROUT
serout_holding_next <= data_in;
serout_holding_load <= '1';
end if;
if (addr_decoded(14) = '1') then --IRQEN
irqen_next <= data_in;
end if;
if (addr_decoded(15) = '1') then --SKCTL
skctl_next <= data_in;
if (data_in(6 downto 4)="000") then
serial_reset <= '1';
end if;
end if;
end if;
end process;
-- Read from registers
process(addr_decoded,kbcode,control_pressed,RAND_OUT,IRQST_REG,KEY_HELD,SHIFT_PRESSED,sio_in_reg,serin_reg,keyboard_overrun_reg, serial_ip_framing_reg, serial_ip_overrun_reg, waiting_for_start_bit, pot_in, pot0_reg, pot1_reg, pot2_reg, pot3_reg, pot4_reg, pot5_reg, pot6_reg, pot7_reg)
begin
data_out <= X"FF";
if(addr_decoded(0) = '1') then --POT0
data_out <= pot0_reg;
end if;
if(addr_decoded(1) = '1') then --POT1
data_out <= pot1_reg;
end if;
if(addr_decoded(2) = '1') then --POT2
data_out <= pot2_reg;
end if;
if(addr_decoded(3) = '1') then --POT3
data_out <= pot3_reg;
end if;
if(addr_decoded(4) = '1') then --POT4
data_out <= pot4_reg;
end if;
if(addr_decoded(5) = '1') then --POT5
data_out <= pot5_reg;
end if;
if(addr_decoded(6) = '1') then --POT6
data_out <= pot6_reg;
end if;
if(addr_decoded(7) = '1') then --POT7
data_out <= pot7_reg;
end if;
if(addr_decoded(8) = '1') then --ALLPOT
data_out <= not(pot_in);
end if;
if(addr_decoded(9) = '1') then --KBCODE
data_out <= control_pressed&shift_pressed&kbcode;
end if;
if(addr_decoded(10) = '1') then -- RANDOM
data_out <= RAND_OUT;
end if;
if (addr_decoded(13) = '1') then --SERIN
data_out <= serin_reg;
end if;
if (addr_decoded(14) = '1') then --IRQST - bits set to low when irq
data_out <= IRQST_REG;
--break_irq_n & other_key_irq_n & serial_ip_irq_n & serial_op_irq_n & serial_trans_irq_n & timer3_irq_n & timer_1_irq_n & timer_0_irq_n
end if;
if (addr_decoded(15) = '1') then --SKSTAT
data_out <= not(serial_ip_framing_reg)¬(keyboard_overrun_reg)¬(serial_ip_overrun_reg)&sio_in_reg¬(SHIFT_PRESSED)¬(KEY_HELD)&waiting_for_start_bit&"1";
end if;
end process;
-- Fire interrupts
process (irqen_reg, irqst_reg, audf0_pulse, audf1_pulse, audf3_pulse, other_key_irq, serial_ip_ready_interrupt, serout_active_reg, serial_op_needed_interrupt, break_pressed)
begin
-- clear interrupts
irqst_next <= irqst_reg or not(irqen_reg);
irq_n_next <= '0';
if ((irqst_reg or "0000"¬(irqen_reg(3))&"000") = X"FF") then
irq_n_next <= '1';
end if;
-- set interrupts
if (audf0_pulse = '1') then
irqst_next(0) <= not(irqen_reg(0));
end if;
if (audf1_pulse = '1') then
irqst_next(1) <= not(irqen_reg(1));
end if;
if (audf3_pulse = '1') then
irqst_next(2) <= not(irqen_reg(2));
end if;
if (other_key_irq = '1') then
irqst_next(6) <= not(irqen_reg(6));
end if;
if (break_pressed = '1') then
irqst_next(7) <= not(irqen_reg(7));
end if;
if (serial_ip_ready_interrupt = '1') then
irqst_next(5) <= not(irqen_reg(5));
end if;
irqst_next(3) <= serout_active_reg;
if (serial_op_needed_interrupt = '1') then
irqst_next(4) <= not(irqen_reg(4));
end if;
end process;
-- Instantiate delay for stimer reload_request
stimer_delay : delay_line
generic map (count=>3)
port map (clk=>clk, sync_reset=>'0',data_in=>stimer_write, enable=>enable_179, reset_n=>reset_n, data_out=>stimer_write_delayed);
--stimer_write_delayed <= stimer_write;
-- Instantiate audio noise filters
pokey_noise_filter0 : pokey_noise_filter
port map(noise_select=>audc0_reg(7 downto 5),pulse_in=>audf0_pulse,pulse_out=>audf0_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
pokey_noise_filter1 : pokey_noise_filter
port map(noise_select=>audc1_reg(7 downto 5),pulse_in=>audf1_pulse,pulse_out=>audf1_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
pokey_noise_filter2 : pokey_noise_filter
port map(noise_select=>audc2_reg(7 downto 5),pulse_in=>audf2_pulse,pulse_out=>audf2_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
pokey_noise_filter3 : pokey_noise_filter
port map(noise_select=>audc3_reg(7 downto 5),pulse_in=>audf3_pulse,pulse_out=>audf3_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
-- Audio output stage
process(audf0_pulse_noise, audf1_pulse_noise, audf2_pulse_noise, audf3_pulse_noise, chan0_output_reg, chan1_output_reg, chan2_output_reg, chan3_output_reg)
begin
chan0_output_next <= chan0_output_reg;
chan1_output_next <= chan1_output_reg;
chan2_output_next <= chan2_output_reg;
chan3_output_next <= chan3_output_reg;
if (audf0_pulse_noise = '1') then
chan0_output_next <= not(chan0_output_reg);
end if;
if (audf1_pulse_noise = '1') then
chan1_output_next <= not(chan1_output_reg);
end if;
if (audf2_pulse_noise = '1') then
chan2_output_next <= not(chan2_output_reg);
end if;
if (audf3_pulse_noise = '1') then
chan3_output_next <= not(chan3_output_reg);
end if;
end process;
-- High pass filters
process(audctl_reg,audf2_pulse,audf3_pulse,chan0_output_reg, chan1_output_reg, chan2_output_reg, chan3_output_reg, highpass0_reg, highpass1_reg)
begin
highpass0_next <= highpass0_reg;
highpass1_next <= highpass1_reg;
if (audctl_reg(2) = '1') then
if (audf2_pulse = '1') then
highpass0_next <= chan0_output_reg;
end if;
else
highpass0_next <= '1';
end if;
if (audctl_reg(1) = '1') then
if (audf3_pulse = '1') then
highpass1_next <= chan1_output_reg;
end if;
else
highpass1_next <= '1';
end if;
end process;
-- Instantiate key pokey clocks
-- ~1.79MHz - from 25MHz/14
-- ~64KHz - from 1.79MHz/28
-- ~15KHz - from 1.79MHz/114
--enable_179_div : enable_divider
-- generic map (COUNT=>14)
-- port map(clk=>clk,reset_n=>reset_n,enable_in=>'1',enable_out=>enable_179);
-- resetcount 6/33
enable_64_div : syncreset_enable_divider
generic map (COUNT=>28,RESETCOUNT=>6) -- 28-22
port map(clk=>clk,syncreset=>initmode,reset_n=>reset_n,enable_in=>enable_179,enable_out=>enable_64);
enable_15_div : syncreset_enable_divider
generic map (COUNT=>114,RESETCOUNT=>33) -- 114-81
port map(clk=>clk,syncreset=>initmode,reset_n=>reset_n,enable_in=>enable_179,enable_out=>enable_15);
-- Instantiate pokey noise circuits (lfsr)
initmode <= skctl_next(1) nor skctl_next(0);
poly_17_19_lfsr : pokey_poly_17_9
port map(clk=>clk,reset_n=>reset_n,init=>initmode,enable=>enable_179,select_9_17=>audctl_reg(7),bit_out=>noise_large,rand_out=>rand_out);
poly_5_lfsr : pokey_poly_5
port map(clk=>clk,reset_n=>reset_n,init=>initmode,enable=>enable_179,bit_out=>noise_5);
poly_4_lfsr : pokey_poly_4
port map(clk=>clk,reset_n=>reset_n,init=>initmode,enable=>enable_179,bit_out=>noise_4);
--AUDIO_LEFT <= "000"&count_reg(15 downto 3);
process(chan0_output_reg, chan1_output_reg, chan2_output_reg, chan3_output_reg, audc0_reg, audc1_reg, audc2_reg, audc3_reg, highpass0_reg, highpass1_reg)
begin
volume_channel_0_next <= "0000";
volume_channel_1_next <= "0000";
volume_channel_2_next <= "0000";
volume_channel_3_next <= "0000";
if (((chan0_output_reg xor highpass0_reg) or audc0_reg(4)) = '1') then
volume_channel_0_next <= audc0_reg(3 downto 0);
end if;
if (((chan1_output_reg xor highpass1_reg) or audc1_reg(4)) = '1') then
volume_channel_1_next <= audc1_reg(3 downto 0);
end if;
if ((chan2_output_reg or audc2_reg(4)) = '1') then
volume_channel_2_next <= audc2_reg(3 downto 0);
end if;
if ((chan3_output_reg or audc3_reg(4)) = '1') then
volume_channel_3_next <= audc3_reg(3 downto 0);
end if;
end process;
-- serial port output
-- urghhh
serout_sync_reset <= serial_reset or stimer_write_delayed;
serout_clock_delay : delay_line
generic map (count=>2)
port map (clk=>clk, sync_reset=>serout_sync_reset,data_in=>serout_enable, enable=>enable_179, reset_n=>reset_n, data_out=>serout_enable_delayed);
process(serout_enable_delayed, skctl_reg, serout_active_reg, serout_clock_last_reg,serout_clock_reg, serout_holding_load, serout_holding_reg, serout_holding_full_reg, serout_shift_reg, serout_bitcount_reg, serial_out_reg, twotone_reg, audf0_pulse, audf1_pulse, serial_reset)
begin
serout_clock_next <= serout_clock_reg;
serout_clock_last_next <= serout_clock_reg;
serout_shift_next <= serout_shift_reg;
serout_bitcount_next <= serout_bitcount_reg;
serout_holding_full_next <= serout_holding_full_reg;
serout_active_next <= serout_active_reg;
serial_out_next <= serial_out_reg; -- output from shift reg (if unchanged)
sio_out_next <= serial_out_reg;
-- two tone output
twotone_next <= twotone_reg;
twotone_reset <= '0';
if ((audf1_pulse or (audf0_pulse and serial_out_reg)) = '1') then
twotone_next <= not(twotone_reg);
twotone_reset <= skctl_reg(3);
end if;
if (skctl_reg(3) = '1') then
sio_out_next <= twotone_reg;
end if;
-- force break
if (skctl_reg(7) = '1') then
sio_out_next <= '0';
end if;
serial_op_needed_interrupt <= '0';
-- generate clock from enable signals
if (serout_enable_delayed = '1') then
serout_clock_next <= not(serout_clock_reg);
end if;
-- output bits over sio
if (serout_clock_last_reg = '0' and serout_clock_reg = '1') then
serout_shift_next <= '0'&serout_shift_reg(9 downto 1); -- next
serial_out_next <= serout_shift_reg(1) or not(serout_active_reg); -- i.e. next serout_shift_reg(0)
-- reload
if (serout_bitcount_reg = X"0") then
if (serout_holding_full_reg='1') then -- unless, more to send in holding reg?
serout_bitcount_next <= X"9"; -- 10 bits to send, 9 more after this
serout_shift_next <= '1'&serout_holding_reg&'0';
serial_out_next <= '0'; -- start bit (serout_shift_reg(0) after this cycle)
serout_holding_full_next <= '0';
serial_op_needed_interrupt <= '1'; -- more data please!
serout_active_next <= '1';
else
serout_active_next <= '0';
serial_out_next <= '1'; -- remove blip!
end if;
else
serout_bitcount_next <= std_logic_vector(unsigned(serout_bitcount_reg)-1);
end if;
end if;
-- register to load has been written too, update our state to reflect that it is full
if (serout_holding_load = '1') then
serout_holding_full_next <= '1';
end if;
if (serial_reset = '1') then
twotone_next <= '0';
serout_bitcount_next <= (others=>'0');
serout_shift_next <= (others=>'0');
serout_holding_full_next <= '0';
serout_clock_next <= '0';
serout_clock_last_next <= '0';
serout_active_next <= '0';
end if;
end process;
-- serial port input
sio_in1_synchronizer : synchronizer
port map (clk=>clk, raw=>sio_in1, sync=>sio_in1_reg);
sio_in2_synchronizer : synchronizer
port map (clk=>clk, raw=>sio_in2, sync=>sio_in2_reg);
sio_in3_synchronizer : synchronizer
port map (clk=>clk, raw=>sio_in3, sync=>sio_in3_reg);
sio_in_next <= sio_in1_reg and sio_in2_reg and sio_in3_reg;
waiting_for_start_bit <= '1' when serin_bitcount_reg = X"9" else '0';
process(serin_enable,serin_clock_last_reg,serin_clock_reg, sio_in_reg, serin_reg,serin_shift_reg, serin_bitcount_reg, serial_ip_overrun_reg, serial_ip_framing_reg, skrest_write, irqst_reg, skctl_reg, waiting_for_start_bit, serial_reset)
begin
serin_clock_next <= serin_clock_reg;
serin_clock_last_next <= serin_clock_reg;
serin_shift_next <= serin_shift_reg;
serin_bitcount_next <= serin_bitcount_reg;
serin_next <= serin_reg;
serial_ip_overrun_next <= serial_ip_overrun_reg;
serial_ip_framing_next <= serial_ip_framing_reg;
serial_ip_ready_interrupt <= '0';
async_serial_reset <= '0';
-- generate clock from enable signals
if (serin_enable = '1') then
serin_clock_next <= not(serin_clock_reg);
end if;
-- resync clock on receipt of start bit
if ((skctl_reg(4) and sio_in_reg and waiting_for_start_bit)= '1') then
async_serial_reset <= '1';
serin_clock_next <= '1';
end if;
-- receive bits into shift reg
if (serin_clock_last_reg='1' and serin_clock_reg='0') then -- failing edge
if (((waiting_for_start_bit and not(sio_in_reg)) or not(waiting_for_start_bit))='1') then
serin_shift_next <= sio_in_reg&serin_shift_reg(9 downto 1);
if (serin_bitcount_reg = X"0") then -- full byte
serin_next <= serin_shift_reg(9 downto 2); -- not shifted yet
serin_bitcount_next <= X"9"; -- next... no disable for serial input, always happening.
-- irq to alert new data avilable
serial_ip_ready_interrupt <= '1';
-- flag up overrun
if (irqst_reg(5) = '0') then -- if interrupt bit not cleared yet...
serial_ip_overrun_next <= '1';
end if;
-- flag up framing problem (stop bit is 1 - pull from sio since reg not yet shifted)
if (sio_in_reg='0') then
serial_ip_framing_next <= '1';
end if;
else
serin_bitcount_next <= std_logic_vector(unsigned(serin_bitcount_reg)-1);
end if;
end if;
end if;
if (skrest_write = '1') then
serial_ip_overrun_next <= '0';
serial_ip_framing_next <= '0';
end if;
if (serial_reset = '1') then
serin_clock_next <= '0';
serin_bitcount_next <= X"9"; -- i.e. waiting for start bit
serin_shift_next <= (others=>'0');
end if;
end process;
-- serial clocks
process(sio_clock,skctl_reg,clock_reg,clock_sync_reg,audf1_pulse,audf2_pulse,audf3_pulse)
begin
clock_next <= sio_clock;
clock_sync_next <= clock_reg;
serout_enable <= '0';
serin_enable <= '0';
clock_input <= '1'; -- when output, outputs channel 4
case skctl_reg(6 downto 4) is
when "000" =>
serin_enable <= not(clock_sync_reg) and clock_reg;
serout_enable <= not(clock_sync_reg) and clock_reg;
when "001" =>
serin_enable <= audf3_pulse;
serout_enable <= not(clock_sync_reg) and clock_reg;
when "010" =>
serin_enable <= audf3_pulse;
serout_enable <= audf3_pulse;
clock_input <= '0';
when "011" =>
serin_enable <= audf3_pulse;
serout_enable <= audf3_pulse;
when "100" =>
serin_enable <= not(clock_sync_reg) and clock_reg;
serout_enable <= audf3_pulse;
when "101" =>
serin_enable <= audf3_pulse;
serout_enable <= audf3_pulse;
when "110" =>
serin_enable <= audf3_pulse;
serout_enable <= audf1_pulse;
clock_input <= '0';
when "111" =>
serin_enable <= audf3_pulse;
serout_enable <= audf1_pulse;
when others =>
-- nop
end case;
end process;
-- keyboard overrun (i.e. second key pressed before interrupt cleared)
process(other_key_irq,keyboard_overrun_reg,skrest_write,irqst_reg)
begin
keyboard_overrun_next <= keyboard_overrun_reg;
if (other_key_irq='1' and irqst_reg(6)='0') then
keyboard_overrun_next <= '1';
end if;
if (skrest_write = '1') then
keyboard_overrun_next <= '0';
end if;
end process;
-- keyboard scan
pokey_keyboard_scanner1 : pokey_keyboard_scanner
port map (clk=>clk, reset_n=>reset_n, enable=>enable_15, keyboard_response=>keyboard_response, debounce_disable=>not(skctl_reg(0)), scan_enable=>skctl_reg(1), keyboard_scan=>keyboard_scan, shift_pressed=>shift_pressed, control_pressed=>control_pressed, break_pressed=>break_pressed, key_held=>key_held, keycode=>kbcode, other_key_irq=>other_key_irq);
-- POT scan
process(potgo_write, pot_reset_reg, pot_counter_reg, pot_in, enable_15, enable_179, skctl_reg, pot0_reg, pot1_reg, pot2_reg, pot3_reg, pot4_reg, pot5_reg, pot6_reg, pot7_reg)
begin
pot0_next <= pot0_reg;
pot1_next <= pot1_reg;
pot2_next <= pot2_reg;
pot3_next <= pot3_reg;
pot4_next <= pot4_reg;
pot5_next <= pot5_reg;
pot6_next <= pot6_reg;
pot7_next <= pot7_reg;
pot_reset_next <= pot_reset_reg;
pot_counter_next <= pot_counter_reg;
if (((enable_15 and not(skctl_reg(2))) or (enable_179 and skctl_reg(2))) = '1') then
pot_counter_next <= std_logic_vector(unsigned(pot_counter_reg) + 1);
if (pot_counter_reg = X"E4") then
pot_reset_next <= '1'; -- turn on pot dump transistors
end if;
if (pot_reset_reg = '0') then
if (pot_in(0) = '0') then -- pot now high, latch
pot0_next <= pot_counter_reg;
end if;
if (pot_in(1) = '0') then -- pot now high, latch
pot1_next <= pot_counter_reg;
end if;
if (pot_in(2) = '0') then -- pot now high, latch
pot2_next <= pot_counter_reg;
end if;
if (pot_in(3) = '0') then -- pot now high, latch
pot3_next <= pot_counter_reg;
end if;
if (pot_in(4) = '0') then -- pot now high, latch
pot4_next <= pot_counter_reg;
end if;
if (pot_in(5) = '0') then -- pot now high, latch
pot5_next <= pot_counter_reg;
end if;
if (pot_in(6) = '0') then -- pot now high, latch
pot6_next <= pot_counter_reg;
end if;
if (pot_in(7) = '0') then -- pot now high, latch
pot7_next <= pot_counter_reg;
end if;
end if;
end if;
if (potgo_write = '1') then
pot_counter_next <= (others=>'0');
pot_reset_next <= '0'; -- turn off pot dump transistors, so they start to get charged
end if;
end process;
-- Outputs
irq_n_out <= irq_n_reg;
CHANNEL_0_OUT <= volume_channel_0_reg;
CHANNEL_1_OUT <= volume_channel_1_reg;
CHANNEL_2_OUT <= volume_channel_2_reg;
CHANNEL_3_OUT <= volume_channel_3_reg;
sio_out1 <= sio_out_reg;
sio_out2 <= sio_out_reg;
sio_out3 <= sio_out_reg;
sio_clock <= audf3_pulse when clock_input='0' else 'Z';
pot_reset <= pot_reset_reg;
END vhdl;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/a8core/antic_counter.vhdl
|
1
|
1698
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Counter where only some bits are incremented - done in antic to save using larger adders I guess
ENTITY antic_counter IS
generic
(
STORE_WIDTH : natural := 1;
COUNT_WIDTH : natural := 1
);
PORT
(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
increment : in std_logic;
load : IN STD_LOGIC;
load_value : in std_logic_vector(STORE_WIDTH-1 downto 0);
current_value : out std_logic_vector(STORE_WIDTH-1 downto 0)
);
END antic_counter;
ARCHITECTURE vhdl OF antic_counter IS
signal value_next : std_logic_vector(STORE_WIDTH-1 downto 0);
signal value_reg : std_logic_vector(STORE_WIDTH-1 downto 0);
BEGIN
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
value_reg <= (others=>'0');
elsif (clk'event and clk='1') then
value_reg <= value_next;
end if;
end process;
-- next state
process(increment, value_reg, load, load_value)
begin
value_next <= value_reg;
if (increment = '1') then
value_next <= value_reg(STORE_WIDTH-1 downto COUNT_WIDTH)&std_logic_vector(unsigned(value_reg(COUNT_WIDTH-1 downto 0)) + 1);
end if;
if (load = '1') then
value_next <= load_value;
end if;
end process;
-- output
current_value <= value_reg;
END vhdl;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/components/synchronizer.vhdl
|
1
|
946
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY synchronizer IS
PORT
(
CLK : IN STD_LOGIC;
RAW : IN STD_LOGIC;
SYNC : OUT STD_LOGIC
);
END synchronizer;
ARCHITECTURE vhdl OF synchronizer IS
signal ff_next : std_logic_vector(2 downto 0);
signal ff_reg : std_logic_vector(2 downto 0);
begin
-- register
process(clk)
begin
if (clk'event and clk='1') then
ff_reg <= ff_next;
end if;
end process;
ff_next <= RAW&ff_reg(2 downto 1);
SYNC <= ff_reg(0);
end vhdl;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/lvov-pk02-mips/src/cham_rom/cham_rom/simulation/random.vhd
|
101
|
4108
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Random Number Generator
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: random.vhd
--
-- Description:
-- Random Generator
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY RANDOM IS
GENERIC ( WIDTH : INTEGER := 32;
SEED : INTEGER :=2
);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
EN : IN STD_LOGIC;
RANDOM_NUM : OUT STD_LOGIC_VECTOR (WIDTH-1 DOWNTO 0) --OUTPUT VECTOR
);
END RANDOM;
ARCHITECTURE BEHAVIORAL OF RANDOM IS
BEGIN
PROCESS(CLK)
VARIABLE RAND_TEMP : STD_LOGIC_VECTOR(WIDTH-1 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(SEED,WIDTH);
VARIABLE TEMP : STD_LOGIC := '0';
BEGIN
IF(RISING_EDGE(CLK)) THEN
IF(RST='1') THEN
RAND_TEMP := CONV_STD_LOGIC_VECTOR(SEED,WIDTH);
ELSE
IF(EN = '1') THEN
TEMP := RAND_TEMP(WIDTH-1) XOR RAND_TEMP(WIDTH-2);
RAND_TEMP(WIDTH-1 DOWNTO 1) := RAND_TEMP(WIDTH-2 DOWNTO 0);
RAND_TEMP(0) := TEMP;
END IF;
END IF;
END IF;
RANDOM_NUM <= RAND_TEMP;
END PROCESS;
END ARCHITECTURE;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/zpu/zpu_glue.vhdl
|
1
|
14150
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.ALL;
use ieee.numeric_std.ALL;
use IEEE.STD_LOGIC_MISC.all;
library work;
use work.zpupkg.all;
ENTITY zpu_glue IS
PORT
(
CLK : in std_logic;
RESET : in std_logic;
PAUSE : in std_logic;
ZPU_DI : in std_logic_vector(31 downto 0); -- response from general memory - for areas that only support 8/16 bit set top bits to 0
ZPU_ROM_DI : in std_logic_vector(31 downto 0); -- response from own program memory
ZPU_RAM_DI : in std_logic_vector(31 downto 0); -- response from own stack
ZPU_CONFIG_DI : in std_logic_vector(31 downto 0); -- response from config registers
ZPU_DO : out std_logic_vector(31 downto 0);
ZPU_ADDR_ROM_RAM : out std_logic_vector(15 downto 0); -- direct from zpu, for short paths
ZPU_ADDR_FETCH : out std_logic_vector(23 downto 0); -- clk->q, for longer paths
-- request
MEMORY_FETCH : out std_logic;
ZPU_READ_ENABLE : out std_logic;
ZPU_32BIT_WRITE_ENABLE : out std_logic; -- common case
ZPU_16BIT_WRITE_ENABLE : out std_logic; -- for sram (never happens yet!)
ZPU_8BIT_WRITE_ENABLE : out std_logic; -- for hardware regs
-- config
ZPU_CONFIG_WRITE : out std_logic;
-- stack request
ZPU_STACK_WRITE : out std_logic_vector(3 downto 0);
-- write to ROM!!
ZPU_ROM_WREN : out std_logic;
-- response
MEMORY_READY : in std_logic
);
END zpu_glue;
architecture sticky of zpu_glue is
component ZPUMediumCore is
generic(
WORD_SIZE : integer:=32; -- 16/32 (2**wordPower)
ADDR_W : integer:=24; -- Total address space width (incl. I/O)
MEM_W : integer:=16; -- Memory (prog+data+stack) width - stack at end of memory - so end of sdram. 32K ROM, 32K RAM (MAX)
D_CARE_VAL : std_logic:='X'; -- Value used to fill the unsused bits
MULT_PIPE : boolean:=false; -- Pipeline multiplication
BINOP_PIPE : integer range 0 to 2:=0; -- Pipeline binary operations (-, =, < and <=)
ENA_LEVEL0 : boolean:=true; -- eq, loadb, neqbranch and pushspadd
ENA_LEVEL1 : boolean:=true; -- lessthan, ulessthan, mult, storeb, callpcrel and sub
ENA_LEVEL2 : boolean:=true; -- lessthanorequal, ulessthanorequal, call and poppcrel
ENA_LSHR : boolean:=true; -- lshiftright
ENA_IDLE : boolean:=false; -- Enable the enable_i input
FAST_FETCH : boolean:=true); -- Merge the st_fetch with the st_execute states
port(
clk_i : in std_logic; -- CPU Clock
reset_i : in std_logic; -- Sync Reset
enable_i : in std_logic; -- Hold the CPU (after reset)
break_o : out std_logic; -- Break instruction executed
dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log)
-- Memory interface
mem_busy_i : in std_logic; -- Memory is busy
data_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from mem
data_o : out unsigned(WORD_SIZE-1 downto 0); -- Data to mem
addr_o : out unsigned(ADDR_W-1 downto 0); -- Memory address
write_en_o : out std_logic; -- Memory write enable (32-bit)
read_en_o : out std_logic; -- Memory read enable (32-bit)
byte_read_o : out std_logic;
byte_write_o : out std_logic;
short_write_o: out std_logic); -- never happens
end component;
signal zpu_addr_unsigned : unsigned(23 downto 0);
signal zpu_do_unsigned : unsigned(31 downto 0);
signal ZPU_DI_unsigned : unsigned(31 downto 0);
signal zpu_break : std_logic;
signal zpu_debug : zpu_dbgo_t;
signal zpu_mem_busy : std_logic;
signal zpu_memory_fetch_pending_next : std_logic;
signal zpu_memory_fetch_pending_reg : std_logic;
signal ZPU_32bit_READ_ENABLE_temp : std_logic;
signal ZPU_8bit_READ_ENABLE_temp : std_logic;
signal ZPU_READ_temp : std_logic;
signal ZPU_32BIT_WRITE_ENABLE_temp : std_logic;
signal ZPU_16BIT_WRITE_ENABLE_temp : std_logic;
signal ZPU_8BIT_WRITE_ENABLE_temp : std_logic;
signal ZPU_WRITE_temp : std_logic;
signal ZPU_32BIT_WRITE_ENABLE_next : std_logic;
signal ZPU_16BIT_WRITE_ENABLE_next : std_logic;
signal ZPU_8BIT_WRITE_ENABLE_next : std_logic;
signal ZPU_READ_next : std_logic;
signal ZPU_32BIT_WRITE_ENABLE_reg : std_logic;
signal ZPU_16BIT_WRITE_ENABLE_reg : std_logic;
signal ZPU_8BIT_WRITE_ENABLE_reg : std_logic;
signal ZPU_READ_reg : std_logic;
signal block_mem : std_logic;
signal config_mem : std_logic;
signal special_mem : std_logic;
signal result_next : std_logic_vector(4 downto 0);
signal result_reg : std_logic_vector(4 downto 0);
constant result_external : std_logic_vector(4 downto 0) := "00000";
constant result_ram : std_logic_vector(4 downto 0) := "00001";
constant result_ram_8bit_0 : std_logic_vector(4 downto 0) := "00010";
constant result_ram_8bit_1 : std_logic_vector(4 downto 0) := "00011";
constant result_ram_8bit_2 : std_logic_vector(4 downto 0) := "00100";
constant result_ram_8bit_3 : std_logic_vector(4 downto 0) := "00101";
constant result_rom : std_logic_vector(4 downto 0) := "00110";
constant result_rom_8bit_0 : std_logic_vector(4 downto 0) := "00111";
constant result_rom_8bit_1 : std_logic_vector(4 downto 0) := "01000";
constant result_rom_8bit_2 : std_logic_vector(4 downto 0) := "01001";
constant result_rom_8bit_3 : std_logic_vector(4 downto 0) := "01010";
constant result_config : std_logic_vector(4 downto 0) := "01011";
constant result_external_special : std_logic_vector(4 downto 0) := "01100";
signal request_type : std_logic_vector(4 downto 0);
signal zpu_di_use : std_logic_vector(31 downto 0);
signal memORY_ACCESS : std_logic;
-- 1 cycle delay on memory read - needed to allow running at higher clock
signal zpu_di_next : std_logic_vector(31 downto 0);
signal zpu_di_reg : std_logic_vector(31 downto 0);
signal memory_ready_next : std_logic;
signal memory_ready_reg : std_logic;
signal zpu_enable : std_logic;
signal zpu_addr_next : std_logic_vector(23 downto 0);
signal zpu_addr_reg : std_logic_vector(23 downto 0);
signal ZPU_DO_next : std_logic_vector(31 downto 0);
signal ZPU_DO_reg : std_logic_vector(31 downto 0);
begin
-- register
process(clk,reset)
begin
if (reset='1') then
zpu_memory_fetch_pending_reg <= '0';
result_reg <= result_rom;
zpu_di_reg <= (others=>'0');
zpu_do_reg <= (others=>'0');
memory_ready_reg <= '0';
zpu_addr_reg <= (others=>'0');
ZPU_32BIT_WRITE_ENABLE_reg <= '0';
ZPU_16BIT_WRITE_ENABLE_reg <= '0';
ZPU_8BIT_WRITE_ENABLE_reg <= '0';
ZPU_READ_reg <= '0';
elsif (clk'event and clk='1') then
zpu_memory_fetch_pending_reg <= zpu_memory_fetch_pending_next;
result_reg <= result_next;
zpu_di_reg <= zpu_di_next;
zpu_do_reg <= zpu_do_next;
memory_ready_reg <= memORY_READY_next;
zpu_addr_reg <=zpu_addr_next;
ZPU_32BIT_WRITE_ENABLE_reg <= ZPU_32BIT_WRITE_ENABLE_next;
ZPU_16BIT_WRITE_ENABLE_reg <= ZPU_16BIT_WRITE_ENABLE_next;
ZPU_8BIT_WRITE_ENABLE_reg <= ZPU_8BIT_WRITE_ENABLE_next;
ZPU_READ_reg <= ZPU_READ_next;
end if;
end process;
-- a little glue
process(zpu_ADDR_unsigned)
begin
block_mem <= '0';
config_mem <= '0';
special_mem <= '0';
-- $00000-$0FFFF = Own ROM/RAM
-- $10000-$1FFFF = Atari
-- $20000-$2FFFF = Atari - savestate (gtia/antic/pokey have memory behind them)
-- $40000-$4FFFF = Config area
if (or_reduce(std_logic_vector(zpu_ADDR_unsigned(23 downto 21))) = '0') then -- special area
block_mem <= not(zpu_addr_unsigned(18) or zpu_addr_unsigned(17) or zpu_addr_unsigned(16));
config_mem <= zpu_addr_unsigned(18);
special_mem <= zpu_addr_unsigned(17);
end if;
end process;
ZPU_READ_TEMP <= zpu_32bit_read_enable_temp or zpu_8BIT_read_enable_temp;
ZPU_WRITE_TEMP<= zpu_32BIT_WRITE_ENABLE_temp or zpu_16BIT_WRITE_ENABLE_temp or zpu_8BIT_WRITE_ENABLE_temp;
process(zpu_addr_reg,pause,memory_ready,zpu_memory_fetch_pending_next,request_type, zpu_memory_fetch_pending_reg, memory_ready_reg, zpu_ADDR_unsigned, zpu_8bit_read_enable_temp, zpu_write_temp, result_reg, block_mem, config_mem, special_mem, memORY_ACCESS,
zpu_read_reg,zpu_8BIT_WRITE_ENABLE_reg, zpu_16BIT_WRITE_ENABLE_reg, zpu_32BIT_WRITE_ENABLE_reg,
zpu_read_temp,zpu_8BIT_WRITE_ENABLE_temp, zpu_16BIT_WRITE_ENABLE_temp, zpu_32BIT_WRITE_ENABLE_temp,
zpu_do_unsigned, zpu_do_reg
)
begin
zpu_memory_fetch_pending_next <= zpu_memory_fetch_pending_reg;
result_next <= result_reg;
memory_ready_next <= memory_ready;
zpu_stACK_WRITE <= (others=>'0');
ZPU_ROM_WREN <= '0';
ZPU_config_write <= '0';
zpu_addr_next <= zpu_addr_reg;
zpu_do_next <= zpu_do_reg;
ZPU_MEM_BUSY <= pause;
MEMORY_ACCESS <= zpu_READ_temp or ZPU_WRITE_temp;
if (memory_access = '1') then
zpu_do_next <= std_logic_vector(zpu_do_unsigned);
end if;
memory_fetch <= zpu_memory_fetch_pending_reg;
zpu_read_next <= zpu_read_reg;
zpu_8bit_write_enable_next <= zpu_8bit_write_enable_reg;
zpu_16bit_write_enable_next <= zpu_16bit_write_enable_reg;
zpu_32bit_write_enable_next <= zpu_32bit_write_enable_reg;
request_type <= config_mem&block_mem&zpu_addr_unsigned(15)&memORY_ACCESS&zpu_memory_fetch_pending_reg;
case request_type is
when "00010"|"00110" =>
zpu_memory_fetch_pending_next <= '1';
if (special_mem='0') then
result_next <= result_external;
else
result_next <= result_external_special;
end if;
ZPU_MEM_BUSY <= '1';
zpu_addr_next <= std_logic_vector(zpu_addr_unsigned);
zpu_read_next <= zpu_read_temp;
zpu_8bit_write_enable_next <= zpu_8bit_write_enable_temp;
zpu_16bit_write_enable_next <= zpu_16bit_write_enable_temp;
zpu_32bit_write_enable_next <= zpu_32bit_write_enable_temp;
when "01010" =>
if (zpu_8bit_read_enable_temp='1') then
case (zpu_addr_unsigned(1 downto 0)) is
when "00" =>
result_next <= result_rom_8bit_3;
when "01" =>
result_next <= result_rom_8bit_2;
when "10" =>
result_next <= result_rom_8bit_1;
when "11" =>
result_next <= result_rom_8bit_0;
when others =>
--nop
end case;
else
result_next <= result_rom;
end if;
ZPU_ROM_WREN <= ZPU_WRITE_TEMP;
ZPU_MEM_BUSY <= '1';
zpu_addr_next <= std_logic_vector(zpu_addr_unsigned);
when "01110" =>
if (zpu_8bit_read_enable_temp='1' or zpu_8BIT_WRITE_ENABLE_temp='1') then
case (zpu_addr_unsigned(1 downto 0)) is
when "00" =>
result_next <= result_ram_8bit_3;
ZPU_STACK_WRITE(3) <= zpu_8BIT_write_enable_temp;
when "01" =>
result_next <= result_ram_8bit_2;
ZPU_STACK_WRITE(2) <= zpu_8BIT_write_enable_temp;
when "10" =>
result_next <= result_ram_8bit_1;
ZPU_STACK_WRITE(1) <= zpu_8BIT_write_enable_temp;
when "11" =>
result_next <= result_ram_8bit_0;
ZPU_STACK_WRITE(0) <= zpu_8BIT_write_enable_temp;
when others =>
--nop
end case;
else
result_next <= result_ram;
ZPU_STACK_WRITE <= (others=>zpu_write_temp);
end if;
ZPU_MEM_BUSY <= '1';
zpu_addr_next <= std_logic_vector(zpu_addr_unsigned);
when "10110"|"10010" =>
result_next <= result_config;
ZPU_MEM_BUSY <= '1';
ZPU_config_write <= ZPU_WRITE_temp;
zpu_addr_next <= std_logic_vector(zpu_addr_unsigned);
when "00001"|"00011"|"00101"|"00111"|"01001"|"01011"|"01101"|"01111"|
"10001"|"10011"|"10101"|"10111"|"11001"|"11011"|"11101"|"11111"|"00X01" =>
ZPU_MEM_BUSY <= not(memORY_READY_reg) or pause;
zpu_memory_fetch_pending_next <= not(memORY_READY);
when others =>
-- nop
end case;
end process;
zpu_di_next <= zpu_di;
process(result_reg, zpu_di_reg, zpu_rom_di, zpu_ram_di, zpu_config_di)
begin
zpu_di_use <= (others=>'0');
case result_reg is
when result_external =>
zpu_di_use <= zpu_di_reg;
when result_external_special =>
zpu_di_use(7 downto 0) <= zpu_di_reg(15 downto 8);
when result_rom =>
zpu_di_use <= zpu_rom_DI;
when result_rom_8bit_0 =>
zpu_di_use(7 downto 0) <= zpu_rom_DI(7 downto 0);
when result_rom_8bit_1 =>
zpu_di_use(7 downto 0) <= zpu_rom_DI(15 downto 8);
when result_rom_8bit_2 =>
zpu_di_use(7 downto 0) <= zpu_rom_DI(23 downto 16);
when result_rom_8bit_3 =>
zpu_di_use(7 downto 0) <= zpu_rom_DI(31 downto 24);
when result_ram =>
zpu_di_use <= zpu_ram_DI;
when result_ram_8bit_0 =>
zpu_di_use(7 downto 0) <= zpu_ram_DI(7 downto 0);
when result_ram_8bit_1 =>
zpu_di_use(7 downto 0) <= zpu_ram_DI(15 downto 8);
when result_ram_8bit_2 =>
zpu_di_use(7 downto 0) <= zpu_ram_DI(23 downto 16);
when result_ram_8bit_3 =>
zpu_di_use(7 downto 0) <= zpu_ram_DI(31 downto 24);
when result_config =>
zpu_di_use <= zpu_config_di;
when others =>
-- nothing
end case;
end process;
-- zpu itself
--zpu_enable <= enable and not(pause);
zpu_enable <= '1'; -- does nothing useful...
myzpu: ZPUMediumCore
port map (clk_i=>clk, reset_i=>reset,enable_i=>zpu_enable,break_o=>zpu_break,dbg_o=>zpu_debug,mem_busy_i=>ZPU_MEM_BUSY,
data_i=>zpu_di_unsigned,data_o=>zpu_do_unsigned,addr_o=>zpu_addr_unsigned,write_en_o=>zpu_32bit_write_enable_temp,read_en_o=>zpu_32bit_read_enable_temp,
byte_read_o=>zpu_8bit_read_enable_temp, byte_write_o=>zpu_8bit_write_enable_temp,short_write_o=>zpu_16bit_write_enable_temp);
zpu_di_unsigned <= unsigned(zpu_di_use);
zpu_do <= zpu_do_next;
ZPU_ADDR_ROM_RAM <= zpu_addr_next(15 downto 0);
ZPU_ADDR_FETCH <= zpu_addr_reg;
zpu_read_enable <= zpu_read_reg;
zpu_8bit_write_enable <= zpu_8bit_write_enable_reg;
zpu_16bit_write_enable <= zpu_16bit_write_enable_reg;
zpu_32bit_write_enable <= zpu_32bit_write_enable_reg;
end sticky;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/components/generic_ram_infer.vhdl
|
1
|
1827
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
ENTITY generic_ram_infer IS
generic
(
ADDRESS_WIDTH : natural := 9;
SPACE : natural := 512;
DATA_WIDTH : natural := 8
);
PORT
(
clock: IN std_logic;
data: IN std_logic_vector (data_width-1 DOWNTO 0);
address: IN std_logic_vector(address_width-1 downto 0);
we: IN std_logic;
q: OUT std_logic_vector (data_width-1 DOWNTO 0)
);
END generic_ram_infer;
ARCHITECTURE rtl OF generic_ram_infer IS
TYPE mem IS ARRAY(0 TO space-1) OF std_logic_vector(data_width-1 DOWNTO 0);
SIGNAL ram_block : mem;
SIGNAL q_ram : std_logic_vector(data_width-1 downto 0);
SIGNAL we_ram : std_logic;
signal address2 : std_logic_vector(address_width-1 downto 0);
BEGIN
PROCESS (clock)
BEGIN
IF (clock'event AND clock = '1') THEN
IF (we_ram = '1') THEN
ram_block(to_integer(to_01(unsigned(address2), '0'))) <= data;
q_ram <= data;
ELSE
q_ram <= ram_block(to_integer(to_01(unsigned(address2), '0')));
END IF;
END IF;
END PROCESS;
PROCESS(address, we, q_ram)
begin
q <= (others=>'1');
we_ram <= '0';
address2 <= (others=>'0');
IF (to_integer(to_01(unsigned(address))) < space) THEN
q <= q_ram;
we_ram <= we;
address2 <= address;
end if;
end process;
END rtl;
|
gpl-3.0
|
VHDLTool/VHDL_Handbook_STD
|
Extras/VHDL/STD_05700_good.vhd
|
1
|
3495
|
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-08 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_05700_good.vhd
-- File Creation date : 2015-04-08
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Unsuitability of gated clocks: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.pkg_HBK.all;
--CODE
entity STD_05700_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_Enable : in std_logic; -- Enable signal
i_Data : in std_logic; -- Input data
o_Data : out std_logic; -- Output data
o_Gated_Clock : out std_logic -- Gated clock
);
end STD_05700_good;
architecture Behavioral of STD_05700_good is
signal Enable_r : std_logic;
signal Data_r : std_logic; -- Data signal registered
signal Data_r2 : std_logic; -- Data signal registered twice
begin
DFF_En : DFlipFlop
port map (
i_Clock => i_Clock,
i_Reset_n => i_Reset_n,
i_D => i_Enable,
o_Q => Enable_r,
o_Q_n => open
);
-- Make the Flip-Flop work when Enable signal is at 1
-- Enable signal on D Flip-flop
P_Sync_Data : process(i_Reset_n, i_Clock)
begin
if (i_Reset_n = '0') then
Data_r <= '0';
Data_r2 <= '0';
elsif (rising_edge(i_Clock)) then
if (Enable_r = '1') then
Data_r <= i_Data;
Data_r2 <= Data_r;
end if;
end if;
end process;
o_Data <= Data_r2;
end Behavioral;
--CODE
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/lvov-pk02-mips/src/t80-latest/T80_Reg.vhd
|
1
|
3906
|
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- T80 Registers, technology independent
--
-- Version : 0244
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t51/
--
-- Limitations :
--
-- File history :
--
-- 0242 : Initial release
--
-- 0244 : Changed to single register file
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity T80_Reg is
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0)
);
end T80_Reg;
architecture rtl of T80_Reg is
type Register_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal RegsH : Register_Image(0 to 7);
signal RegsL : Register_Image(0 to 7);
begin
process (Clk)
begin
if Clk'event and Clk = '1' then
if CEN = '1' then
if WEH = '1' then
RegsH(to_integer(unsigned(AddrA))) <= DIH;
end if;
if WEL = '1' then
RegsL(to_integer(unsigned(AddrA))) <= DIL;
end if;
end if;
end if;
end process;
DOAH <= RegsH(to_integer(unsigned(AddrA)));
DOAL <= RegsL(to_integer(unsigned(AddrA)));
DOBH <= RegsH(to_integer(unsigned(AddrB)));
DOBL <= RegsL(to_integer(unsigned(AddrB)));
DOCH <= RegsH(to_integer(unsigned(AddrC)));
DOCL <= RegsL(to_integer(unsigned(AddrC)));
end;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/speccy/src/cpu/T80_RegX.vhd
|
8
|
5101
|
-- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- T80 Registers for Xilinx Select RAM
--
-- Version : 0244
--
-- Copyright (c) 2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t51/
--
-- Limitations :
--
-- File history :
--
-- 0242 : Initial release
--
-- 0244 : Removed UNISIM library and added componet declaration
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity T80_Reg is
port(
Clk : in std_logic;
CEN : in std_logic;
WEH : in std_logic;
WEL : in std_logic;
AddrA : in std_logic_vector(2 downto 0);
AddrB : in std_logic_vector(2 downto 0);
AddrC : in std_logic_vector(2 downto 0);
DIH : in std_logic_vector(7 downto 0);
DIL : in std_logic_vector(7 downto 0);
DOAH : out std_logic_vector(7 downto 0);
DOAL : out std_logic_vector(7 downto 0);
DOBH : out std_logic_vector(7 downto 0);
DOBL : out std_logic_vector(7 downto 0);
DOCH : out std_logic_vector(7 downto 0);
DOCL : out std_logic_vector(7 downto 0)
);
end T80_Reg;
architecture rtl of T80_Reg is
component RAM16X1D
port(
DPO : out std_ulogic;
SPO : out std_ulogic;
A0 : in std_ulogic;
A1 : in std_ulogic;
A2 : in std_ulogic;
A3 : in std_ulogic;
D : in std_ulogic;
DPRA0 : in std_ulogic;
DPRA1 : in std_ulogic;
DPRA2 : in std_ulogic;
DPRA3 : in std_ulogic;
WCLK : in std_ulogic;
WE : in std_ulogic);
end component;
signal ENH : std_logic;
signal ENL : std_logic;
begin
ENH <= CEN and WEH;
ENL <= CEN and WEL;
bG1: for I in 0 to 7 generate
begin
Reg1H : RAM16X1D
port map(
DPO => DOBH(i),
SPO => DOAH(i),
A0 => AddrA(0),
A1 => AddrA(1),
A2 => AddrA(2),
A3 => '0',
D => DIH(i),
DPRA0 => AddrB(0),
DPRA1 => AddrB(1),
DPRA2 => AddrB(2),
DPRA3 => '0',
WCLK => Clk,
WE => ENH);
Reg1L : RAM16X1D
port map(
DPO => DOBL(i),
SPO => DOAL(i),
A0 => AddrA(0),
A1 => AddrA(1),
A2 => AddrA(2),
A3 => '0',
D => DIL(i),
DPRA0 => AddrB(0),
DPRA1 => AddrB(1),
DPRA2 => AddrB(2),
DPRA3 => '0',
WCLK => Clk,
WE => ENL);
Reg2H : RAM16X1D
port map(
DPO => DOCH(i),
SPO => open,
A0 => AddrA(0),
A1 => AddrA(1),
A2 => AddrA(2),
A3 => '0',
D => DIH(i),
DPRA0 => AddrC(0),
DPRA1 => AddrC(1),
DPRA2 => AddrC(2),
DPRA3 => '0',
WCLK => Clk,
WE => ENH);
Reg2L : RAM16X1D
port map(
DPO => DOCL(i),
SPO => open,
A0 => AddrA(0),
A1 => AddrA(1),
A2 => AddrA(2),
A3 => '0',
D => DIL(i),
DPRA0 => AddrC(0),
DPRA1 => AddrC(1),
DPRA2 => AddrC(2),
DPRA3 => '0',
WCLK => Clk,
WE => ENL);
end generate;
end;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/a8core/covox.vhd
|
1
|
2829
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY covox IS
PORT
(
CLK : IN STD_LOGIC;
ADDR : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
WR_EN : IN STD_LOGIC;
covox_channel0 : out std_logic_vector(7 downto 0);
covox_channel1 : out std_logic_vector(7 downto 0);
covox_channel2 : out std_logic_vector(7 downto 0);
covox_channel3 : out std_logic_vector(7 downto 0)
);
END covox;
ARCHITECTURE vhdl OF covox IS
component complete_address_decoder IS
generic (width : natural := 1);
PORT
(
addr_in : in std_logic_vector(width-1 downto 0);
addr_decoded : out std_logic_vector((2**width)-1 downto 0)
);
END component;
signal channel0_next : std_logic_vector(7 downto 0);
signal channel1_next : std_logic_vector(7 downto 0);
signal channel2_next : std_logic_vector(7 downto 0);
signal channel3_next : std_logic_vector(7 downto 0);
signal channel0_reg : std_logic_vector(7 downto 0);
signal channel1_reg : std_logic_vector(7 downto 0);
signal channel2_reg : std_logic_vector(7 downto 0);
signal channel3_reg : std_logic_vector(7 downto 0);
signal addr_decoded : std_logic_vector(3 downto 0);
BEGIN
complete_address_decoder1 : complete_address_decoder
generic map (width => 2)
port map (addr_in => addr, addr_decoded => addr_decoded);
-- next state logic
process(channel0_reg,channel1_reg,channel2_reg,channel3_reg,addr_decoded,data_in,WR_EN)
begin
channel0_next <= channel0_reg;
channel1_next <= channel1_reg;
channel2_next <= channel2_reg;
channel3_next <= channel3_reg;
if (WR_EN = '1') then
if (addr_decoded(0) = '1') then
channel0_next <= data_in;
end if;
if (addr_decoded(1) = '1') then
channel1_next <= data_in;
end if;
if (addr_decoded(2) = '1') then
channel2_next <= data_in;
end if;
if (addr_decoded(3) = '1') then
channel3_next <= data_in;
end if;
end if;
end process;
-- register
process(clk)
begin
if (clk'event and clk='1') then
channel0_reg <= channel0_next;
channel1_reg <= channel1_next;
channel2_reg <= channel2_next;
channel3_reg <= channel3_next;
end if;
end process;
-- output
covox_channel0 <= channel0_reg;
covox_channel1 <= channel1_reg;
covox_channel2 <= channel2_reg;
covox_channel3 <= channel3_reg;
END vhdl;
|
gpl-3.0
|
VHDLTool/VHDL_Handbook_STD
|
Extras/VHDL/STD_03200_good.vhd
|
1
|
2741
|
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-03 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_03200_good.vhd
-- File Creation date : 2015-04-03
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Unused output ports components management: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library work;
use work.pkg_HBK.all;
entity STD_03200_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_D : in std_logic; -- D Flip-Flop input signal
o_Q : out std_logic -- D Flip-Flop output signal
);
end STD_03200_good;
--CODE
architecture Behavioral of STD_03200_good is
begin
FlipFlop : DFlipFlop
port map (
i_Clock => i_Clock,
i_Reset_n => i_Reset_n,
i_D => i_D,
o_Q => o_Q,
o_Q_n => open
);
end Behavioral;
--CODE
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/a8core/shared_enable.vhdl
|
1
|
4664
|
---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
USE ieee.math_real.ceil;
USE ieee.math_real.log2;
-- TODO - review this whole scheme
-- Massively overcomplex and turbo doesn't even work with it right now!
ENTITY shared_enable IS
GENERIC
(
cycle_length : integer := 16 -- or 32...
);
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ANTIC_REFRESH : IN STD_LOGIC;
MEMORY_READY_CPU : IN STD_LOGIC; -- during memory wait states keep CPU awake
MEMORY_READY_ANTIC : IN STD_LOGIC; -- during memory wait states keep CPU awake
PAUSE_6502 : in std_logic;
THROTTLE_COUNT_6502 : in std_logic_vector(5 downto 0);
ANTIC_ENABLE_179 : OUT STD_LOGIC; -- always about 1.79MHz to keep sound the same - 1 cycle early
oldcpu_enable : OUT STD_LOGIC; -- always about 1.79MHz to keep sound the same - 1 cycle only, when memory is ready...
CPU_ENABLE_OUT : OUT STD_LOGIC -- for compatibility run at 1.79MHz, for speed run as fast as we can
-- antic DMA runs 1 cycle after 'enable', so ANTIC_ENABLE is delayed by cycle_length-1 cycles vs CPU_ENABLE (when in 1.79MHz mode)
);
END shared_enable;
ARCHITECTURE vhdl OF shared_enable IS
component enable_divider IS
generic(COUNT : natural := 1);
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE_IN : IN STD_LOGIC;
ENABLE_OUT : OUT STD_LOGIC
);
END component;
component delay_line IS
generic(COUNT : natural := 1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC
);
END component;
signal enable_179 : std_logic;
signal enable_179_early : std_logic;
signal cpu_enable : std_logic;
signal cpu_extra_enable_next : std_logic;
signal cpu_extra_enable_reg : std_logic;
signal speed_shift_next : std_logic_vector(cycle_length-1 downto 0);
signal speed_shift_reg : std_logic_vector(cycle_length-1 downto 0);
-- TODO - clean up
signal oldcpu_pending_next : std_logic;
signal oldcpu_pending_reg : std_logic;
signal oldcpu_go : std_logic;
signal memory_ready : std_logic;
constant cycle_length_bits: integer := integer(ceil(log2(real(cycle_length))));
begin
-- instantiate some clock calcs
enable_179_clock_div : enable_divider
generic map (COUNT=>cycle_length)
port map(clk=>clk,reset_n=>reset_n,enable_in=>'1',enable_out=>enable_179);
process(THROTTLE_COUNT_6502, speed_shift_reg, enable_179)
variable speed_shift : std_logic;
variable speed_shift_temp : std_logic_vector(cycle_length-1 downto 0);
begin
if (enable_179 = '1') then -- synchronize
speed_shift_temp(cycle_length-1 downto 1) := (others=>'0');
speed_shift_temp(0) := '1';
else
speed_shift_temp := speed_shift_reg;
end if;
speed_shift_next(cycle_length-1 downto 1) <= speed_shift_temp(cycle_length-2 downto 0);
speed_shift := '0';
for i in 0 to cycle_length_bits loop
speed_shift := speed_shift or (speed_shift_temp(cycle_length/(2**i)-1) and throttle_count_6502(i));
end loop;
speed_shift_next(0) <= speed_shift;
end process;
delay_line_phase : delay_line
generic map (COUNT=>cycle_length-1)
port map(clk=>clk,sync_reset=>'0',reset_n=>reset_n,data_in=>enable_179, enable=>'1', data_out=>enable_179_early);
-- registers
process(clk,reset_n)
begin
if (reset_n = '0') then
cpu_extra_enable_reg <= '0';
oldcpu_pending_reg <= '0';
speed_shift_reg <= (others=>'0');
elsif (clk'event and clk='1') then
cpu_extra_enable_reg <= cpu_extra_enable_next;
oldcpu_pending_reg <= oldcpu_pending_next;
speed_shift_reg <= speed_shift_next;
end if;
end process;
-- next state
memory_ready <= memORY_READY_CPU or memORY_READY_ANTIC;
cpu_enable <= (speed_shift_reg(0) or cpu_extra_enable_reg or enable_179) and not(pause_6502 or antic_refresh);
cpu_extra_enable_next <= cpu_enable and not(memory_ready);
oldcpu_pending_next <= (oldcpu_pending_reg or enable_179) and not(memory_ready or antic_refresh);
oldcpu_go <= (oldcpu_pending_reg or enable_179) and (memory_ready or antic_refresh);
-- output
oldcpu_enable <= oldcpu_go;
ANTIC_ENABLE_179 <= enable_179_early;
CPU_ENABLE_OUT <= cpu_enable; -- run at 25MHz
end vhdl;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/atari_bak.vhd
|
1
|
11292
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity ATARI is
port(
CLK_50 : in std_logic;
KB_CLK : in std_logic;
KB_DAT : in std_logic;
JOY_CLK : out std_logic;
JOY_LOAD : out std_logic;
JOY_DATA0 : in std_logic;
JOY_DATA1 : in std_logic;
SD_MOSI : out std_logic;
SD_MISO : in std_logic;
SD_SCK : out std_logic;
SD_CS : out std_logic;
SOUND_L : out std_logic;
SOUND_R : out std_logic;
VGA_R : out std_logic_vector(3 downto 0);
VGA_G : out std_logic_vector(3 downto 0);
VGA_B : out std_logic_vector(3 downto 0);
VGA_HSYNC : out std_logic;
VGA_VSYNC : out std_logic );
end ATARI;
architecture RTL of ATARI is
-- System
signal CLK : std_logic;
signal PLL_LOCKED : std_logic;
signal RESET_N : std_logic;
-- Video
signal HSYNC : std_logic;
signal VSYNC : std_logic;
-- Audio
signal AUDIO_L_PCM : std_logic_vector(15 downto 0);
signal AUDIO_R_PCM : std_logic_vector(15 downto 0);
-- Gamepads
signal GAMEPAD0 : std_logic_vector(7 downto 0);
signal GAMEPAD1 : std_logic_vector(7 downto 0);
signal JOY1_n : std_logic_vector(7 downto 0);
signal JOY2_n : std_logic_vector(7 downto 0);
-- Keyboard
signal KEYBOARD_SCAN : std_logic_vector(5 downto 0);
signal KEYBOARD_RESPONSE : std_logic_vector(1 downto 0);
signal CONSOL_START : std_logic;
signal CONSOL_SELECT : std_logic;
signal CONSOL_OPTION : std_logic;
signal FKEYS : std_logic_vector(11 downto 0);
-- PIA
signal CA2_OUT : std_logic;
signal CA2_DIR_OUT : std_logic;
signal CB2_OUT : std_logic;
signal CB2_DIR_OUT : std_logic;
signal CA2_IN : std_logic;
signal CB2_IN : std_logic;
signal PORTA_IN : std_logic_vector(7 downto 0);
signal PORTA_OUT : std_logic_vector(7 downto 0);
signal PORTA_DIR_OUT : std_logic_vector(7 downto 0);
signal PORTB_IN : std_logic_vector(7 downto 0);
signal PORTB_OUT : std_logic_vector(7 downto 0);
-- PBI
signal PBI_WRITE_DATA : std_logic_vector(31 downto 0);
signal PBI_WIDTH_32BIT_ACCESS : std_logic;
signal PBI_WIDTH_16BIT_ACCESS : std_logic;
signal PBI_WIDTH_8BIT_ACCESS : std_logic;
signal GTIA_TRIG : std_logic_vector(3 downto 0);
signal ANTIC_LIGHTPEN : std_logic;
-- INTERNAL ROM/RAM
signal RAM_ADDR : std_logic_vector(18 downto 0);
signal RAM_DO : std_logic_vector(15 downto 0);
signal RAM_REQUEST : std_logic;
signal RAM_REQUEST_COMPLETE : std_logic;
signal RAM_WRITE_ENABLE : std_logic;
signal ROM_ADDR : std_logic_vector(21 downto 0);
signal ROM_DO : std_logic_vector(7 downto 0);
signal ROM_REQUEST : std_logic;
signal ROM_REQUEST_COMPLETE : std_logic;
-- DMA/Virtual drive
signal DMA_ADDR_FETCH : std_logic_vector(23 downto 0);
signal DMA_WRITE_DATA : std_logic_vector(31 downto 0);
signal DMA_FETCH : std_logic;
signal DMA_32BIT_WRITE_ENABLE : std_logic;
signal DMA_16BIT_WRITE_ENABLE : std_logic;
signal DMA_8BIT_WRITE_ENABLE : std_logic;
signal DMA_READ_ENABLE : std_logic;
signal DMA_MEMORY_READY : std_logic;
signal DMA_MEMORY_DATA : std_logic_vector(31 downto 0);
signal ZPU_ADDR_ROM : std_logic_vector(15 downto 0);
signal ZPU_ROM_DATA : std_logic_vector(31 downto 0);
signal ZPU_OUT1 : std_logic_vector(31 downto 0);
signal ZPU_OUT2 : std_logic_vector(31 downto 0);
signal ZPU_OUT3 : std_logic_vector(31 downto 0);
signal ZPU_OUT4 : std_logic_vector(31 downto 0);
signal ZPU_POKEY_ENABLE : std_logic;
signal ZPU_SIO_TXD : std_logic;
signal ZPU_SIO_RXD : std_logic;
signal ZPU_SIO_COMMAND : std_logic;
-- System control from ZPU
signal RAM_SELECT : std_logic_vector(2 downto 0);
signal ROM_SELECT : std_logic_vector(5 downto 0);
signal RESET_ATARI : std_logic;
signal PAUSE_ATARI : std_logic;
signal SPEED_6502 : std_logic_vector(5 downto 0);
begin
u_PLL : entity work.PLL
port map (
CLKIN => CLK_50,
CLKOUT => CLK,
LOCKED => PLL_LOCKED );
u_DAC_L : entity work.dac
port map (
clk_i => CLK,
res_n_i => RESET_N,
dac_i => AUDIO_L_PCM,
dac_o => SOUND_L );
u_DAC_R : entity work.dac
port map (
clk_i => CLK,
res_n_i => RESET_N,
dac_i => AUDIO_R_PCM,
dac_o => SOUND_R );
u_KEYBOARD : entity work.ps2_to_atari800
port map (
CLK => CLK,
RESET_N => RESET_N,
PS2_CLK => KB_CLK,
PS2_DAT => KB_DAT,
KEYBOARD_SCAN => KEYBOARD_SCAN,
KEYBOARD_RESPONSE => KEYBOARD_RESPONSE,
CONSOL_START => CONSOL_START,
CONSOL_SELECT => CONSOL_SELECT,
CONSOL_OPTION => CONSOL_OPTION,
FKEYS => FKEYS );
u_JOYSTICKS : entity work.nes_gamepad
port map(
CLK => CLK,
RESET => not RESET_N,
JOY_CLK => JOY_CLK,
JOY_LOAD => JOY_LOAD,
JOY_DATA0 => JOY_DATA0,
JOY_DATA1 => JOY_DATA1,
JOY0_BUTTONS => GAMEPAD0,
JOY1_BUTTONS => GAMEPAD1,
JOY0_CONNECTED => OPEN,
JOY1_CONNECTED => OPEN );
u_INTROMRAM : entity work.internalromram
generic map (
internal_rom => 1,
internal_ram => 16384 )
port map (
clock => CLK,
reset_n => RESET_N,
ROM_ADDR => ROM_ADDR,
ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
ROM_REQUEST => ROM_REQUEST,
ROM_DATA => ROM_DO,
RAM_ADDR => RAM_ADDR,
RAM_WR_ENABLE => RAM_WRITE_ENABLE,
RAM_DATA_IN => PBI_WRITE_DATA(7 downto 0),
RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
RAM_REQUEST => RAM_REQUEST,
RAM_DATA => RAM_DO(7 downto 0) );
u_ATARI800 : entity work.atari800core
generic map (
cycle_length => 16,
video_bits => 4 )
port map (
CLK => CLK,
RESET_N => RESET_N,
VIDEO_VS => VSYNC,
VIDEO_HS => HSYNC,
VIDEO_B => VGA_B,
VIDEO_G => VGA_G,
VIDEO_R => VGA_R,
AUDIO_L => AUDIO_L_PCM,
AUDIO_R => AUDIO_R_PCM,
CA1_IN => '1',
CB1_IN => '1',
CA2_IN => CA2_IN,
CA2_OUT => CA2_OUT,
CA2_DIR_OUT => CA2_DIR_OUT,
CB2_IN => CB2_IN,
CB2_OUT => CB2_OUT,
CB2_DIR_OUT => CB2_DIR_OUT,
PORTA_IN => PORTA_IN,
PORTA_DIR_OUT => PORTA_DIR_OUT,
PORTA_OUT => PORTA_OUT,
PORTB_IN => PORTB_IN,
PORTB_DIR_OUT => OPEN,
PORTB_OUT => PORTB_OUT,
KEYBOARD_RESPONSE => KEYBOARD_RESPONSE,
KEYBOARD_SCAN => KEYBOARD_SCAN,
POT_IN => "00000000",
POT_RESET => OPEN,
PBI_ADDR => OPEN,
PBI_WRITE_ENABLE => OPEN,
PBI_SNOOP_DATA => OPEN,
PBI_WRITE_DATA => PBI_WRITE_DATA,
PBI_WIDTH_8bit_ACCESS => PBI_WIDTH_8bit_ACCESS,
PBI_WIDTH_16bit_ACCESS => PBI_WIDTH_16bit_ACCESS,
PBI_WIDTH_32bit_ACCESS => PBI_WIDTH_32bit_ACCESS,
PBI_ROM_DO => "11111111",
PBI_REQUEST => OPEN,
PBI_REQUEST_COMPLETE => '1',
CART_RD4 => '0',
CART_RD5 => '0',
CART_S4_n => OPEN,
CART_S5_N => OPEN,
CART_CCTL_N => OPEN,
SIO_RXD => '0',
SIO_TXD => OPEN,
CONSOL_OPTION => CONSOL_OPTION,
CONSOL_SELECT => CONSOL_SELECT,
CONSOL_START => CONSOL_START,
GTIA_TRIG => GTIA_TRIG,
ANTIC_LIGHTPEN => ANTIC_LIGHTPEN,
SDRAM_REQUEST => OPEN,
SDRAM_REQUEST_COMPLETE => '1',
SDRAM_READ_ENABLE => OPEN,
SDRAM_WRITE_ENABLE => OPEN,
SDRAM_ADDR => OPEN,
SDRAM_DO => (others=>'1'),
ANTIC_REFRESH => OPEN,
RAM_ADDR => RAM_ADDR,
RAM_DO => RAM_DO,
RAM_REQUEST => RAM_REQUEST,
RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
RAM_WRITE_ENABLE => RAM_WRITE_ENABLE,
ROM_ADDR => ROM_ADDR,
ROM_DO => ROM_DO,
ROM_REQUEST => ROM_REQUEST,
ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
DMA_FETCH => '0',
DMA_READ_ENABLE => '0',
DMA_32BIT_WRITE_ENABLE => '0',
DMA_16BIT_WRITE_ENABLE => '0',
DMA_8BIT_WRITE_ENABLE => '0',
DMA_ADDR => (others=>'1'),
DMA_WRITE_DATA => (others=>'1'),
MEMORY_READY_DMA => OPEN,
PBI_SNOOP_DATA => OPEN,
RAM_SELECT => "000",
ROM_SELECT => "000001",
CART_EMULATION_SELECT => "0000000",
CART_EMULATION_ACTIVATE => '0',
PAL => '1',
USE_SDRAM => '0',
ROM_IN_RAM => '0',
THROTTLE_COUNT_6502 => "000001",
HALT => '0' );
u_ZPU : entity work.zpucore
generic map (
platform => 1,
spi_clock_div => 1 ) -- 28MHz/2. Max for SD cards is 25MHz...
port map (
CLK => CLK,
RESET_N => RESET_N,
ZPU_ADDR_FETCH => dma_addr_fetch,
ZPU_DATA_OUT => dma_write_data,
ZPU_FETCH => dma_fetch,
ZPU_32BIT_WRITE_ENABLE => dma_32bit_write_enable,
ZPU_16BIT_WRITE_ENABLE => dma_16bit_write_enable,
ZPU_8BIT_WRITE_ENABLE => dma_8bit_write_enable,
ZPU_READ_ENABLE => dma_read_enable,
ZPU_MEMORY_READY => dma_memory_ready,
ZPU_MEMORY_DATA => dma_memory_data,
ZPU_ADDR_ROM => zpu_addr_rom,
ZPU_ROM_DATA => zpu_rom_data,
ZPU_SD_DAT0 => SD_MISO,
ZPU_SD_CLK => SD_SCK,
ZPU_SD_CMD => SD_MOSI,
ZPU_SD_DAT3 => SD_CS,
ZPU_POKEY_ENABLE => zpu_pokey_enable,
ZPU_SIO_TXD => zpu_sio_txd,
ZPU_SIO_RXD => zpu_sio_rxd,
ZPU_SIO_COMMAND => zpu_sio_command,
ZPU_IN1 => X"00000"& FKEYS,
ZPU_IN2 => X"00000000",
ZPU_IN3 => X"00000000",
ZPU_IN4 => X"00000000",
ZPU_OUT1 => ZPU_OUT1,
ZPU_OUT2 => ZPU_OUT2,
ZPU_OUT3 => ZPU_OUT3,
ZPU_OUT4 => ZPU_OUT4 );
u_ZPUROM : entity work.zpu_rom
port map (
clock => clk,
address => zpu_addr_rom(13 downto 2),
q => zpu_rom_data );
u_ZPU_POKEY : entity work.enable_divider
generic map (
COUNT => 16)
port map(
clk => clk,
reset_n => reset_n,
enable_in => '1',
enable_out => zpu_pokey_enable );
RESET_N <= PLL_LOCKED;
VGA_HSYNC <= not(HSYNC or VSYNC);
VGA_VSYNC <= not(HSYNC or VSYNC);
CA2_IN <= CA2_OUT when CA2_DIR_OUT='1' else '1';
CB2_IN <= CB2_OUT when CB2_DIR_OUT='1' else '1';
PORTB_IN <= PORTB_OUT;
PORTA_IN <= ((JOY2_n(0)&JOY2_n(1)&JOY2_n(2)&JOY2_n(3)&JOY1_n(0)&JOY1_n(1)&JOY1_n(2)&JOY1_n(3)) and not (porta_dir_out)) or (porta_dir_out and porta_out);
ANTIC_LIGHTPEN <= JOY2_n(7) and JOY1_n(7);
GTIA_TRIG <= "01"&JOY2_n(7)&JOY1_n(7);
JOY1_n <= not GAMEPAD0; -- FRLDU
JOY2_n <= not GAMEPAD1; -- FRLDU
PAUSE_ATARI <= ZPU_OUT1(0);
RESET_ATARI <= ZPU_OUT1(1);
SPEED_6502 <= ZPU_OUT1(7 downto 2);
RAM_SELECT <= ZPU_OUT1(10 downto 8);
ROM_SELECT <= ZPU_OUT1(16 downto 11);
end RTL;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/lvov-pk02-mips/src/host/VGA Console/fontrom/fontrom/example_design/bmg_wrapper.vhd
|
1
|
9881
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v6.3 Core - Top-level wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
-- Filename: bmg_wrapper.vhd
--
-- Description:
-- This is the top-level BMG wrapper (over BMG core).
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
-- Configured Core Parameter Values:
-- (Refer to the SIM Parameters table in the datasheet for more information on
-- the these parameters.)
-- C_FAMILY : spartan6
-- C_XDEVICEFAMILY : spartan6
-- C_INTERFACE_TYPE : 0
-- C_ENABLE_32BIT_ADDRESS : 0
-- C_AXI_TYPE : 1
-- C_AXI_SLAVE_TYPE : 0
-- C_AXI_ID_WIDTH : 4
-- C_MEM_TYPE : 3
-- C_BYTE_SIZE : 9
-- C_ALGORITHM : 1
-- C_PRIM_TYPE : 1
-- C_LOAD_INIT_FILE : 1
-- C_INIT_FILE_NAME : fontrom.mif
-- C_USE_DEFAULT_DATA : 0
-- C_DEFAULT_DATA : 0
-- C_RST_TYPE : SYNC
-- C_HAS_RSTA : 0
-- C_RST_PRIORITY_A : CE
-- C_RSTRAM_A : 0
-- C_INITA_VAL : 0
-- C_HAS_ENA : 0
-- C_HAS_REGCEA : 0
-- C_USE_BYTE_WEA : 0
-- C_WEA_WIDTH : 1
-- C_WRITE_MODE_A : WRITE_FIRST
-- C_WRITE_WIDTH_A : 8
-- C_READ_WIDTH_A : 8
-- C_WRITE_DEPTH_A : 4096
-- C_READ_DEPTH_A : 4096
-- C_ADDRA_WIDTH : 12
-- C_HAS_RSTB : 0
-- C_RST_PRIORITY_B : CE
-- C_RSTRAM_B : 0
-- C_INITB_VAL : 0
-- C_HAS_ENB : 0
-- C_HAS_REGCEB : 0
-- C_USE_BYTE_WEB : 0
-- C_WEB_WIDTH : 1
-- C_WRITE_MODE_B : WRITE_FIRST
-- C_WRITE_WIDTH_B : 8
-- C_READ_WIDTH_B : 8
-- C_WRITE_DEPTH_B : 4096
-- C_READ_DEPTH_B : 4096
-- C_ADDRB_WIDTH : 12
-- C_HAS_MEM_OUTPUT_REGS_A : 0
-- C_HAS_MEM_OUTPUT_REGS_B : 0
-- C_HAS_MUX_OUTPUT_REGS_A : 0
-- C_HAS_MUX_OUTPUT_REGS_B : 0
-- C_HAS_SOFTECC_INPUT_REGS_A : 0
-- C_HAS_SOFTECC_OUTPUT_REGS_B : 0
-- C_MUX_PIPELINE_STAGES : 0
-- C_USE_ECC : 0
-- C_USE_SOFTECC : 0
-- C_HAS_INJECTERR : 0
-- C_SIM_COLLISION_CHECK : ALL
-- C_COMMON_CLK : 0
-- C_DISABLE_WARN_BHV_COLL : 0
-- C_DISABLE_WARN_BHV_RANGE : 0
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY bmg_wrapper IS
PORT (
--Port A
CLKA : IN STD_LOGIC;
RSTA : IN STD_LOGIC; --opt port
ENA : IN STD_LOGIC; --optional port
REGCEA : IN STD_LOGIC; --optional port
WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--Port B
CLKB : IN STD_LOGIC;
RSTB : IN STD_LOGIC; --opt port
ENB : IN STD_LOGIC; --optional port
REGCEB : IN STD_LOGIC; --optional port
WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DINB : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
DOUTB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
--ECC
INJECTSBITERR : IN STD_LOGIC; --optional port
INJECTDBITERR : IN STD_LOGIC; --optional port
SBITERR : OUT STD_LOGIC; --optional port
DBITERR : OUT STD_LOGIC; --optional port
RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0); --optional port
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_ACLK : IN STD_LOGIC;
S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_AWVALID : IN STD_LOGIC;
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
S_AXI_WLAST : IN STD_LOGIC;
S_AXI_WVALID : IN STD_LOGIC;
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC;
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_ARVALID : IN STD_LOGIC;
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC;
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC;
S_AXI_INJECTDBITERR : IN STD_LOGIC;
S_AXI_SBITERR : OUT STD_LOGIC;
S_AXI_DBITERR : OUT STD_LOGIC;
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
S_ARESETN : IN STD_LOGIC
);
END bmg_wrapper;
ARCHITECTURE xilinx OF bmg_wrapper IS
COMPONENT fontrom_top IS
PORT (
--Port A
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
BEGIN
bmg0 : fontrom_top
PORT MAP (
--Port A
ADDRA => ADDRA,
DOUTA => DOUTA,
CLKA => CLKA
);
END xilinx;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/alf/src/clock/clock.vhd
|
1
|
6674
|
-- file: clock.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1____56.000______0.000______50.0______557.143____150.000
-- CLK_OUT2____25.000______0.000______50.0______300.000____150.000
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary______________50____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clock is
port
(-- Clock in ports
CLK50 : in std_logic;
-- Clock out ports
CLK : out std_logic;
VGA_CLK : out std_logic;
-- Status and control signals
LOCKED : out std_logic
);
end clock;
architecture xilinx of clock is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clock,clk_wiz_v3_6,{component_name=clock,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=2,clkin1_period=20.0,clkin2_period=20.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering
signal clkfb : std_logic;
signal clk0 : std_logic;
signal clkfx : std_logic;
signal clkdv : std_logic;
signal clkfbout : std_logic;
signal locked_internal : std_logic;
signal status_internal : std_logic_vector(7 downto 0);
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFG
port map
(O => clkin1,
I => CLK50);
-- Clocking primitive
--------------------------------------
-- Instantiation of the DCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
dcm_sp_inst: DCM_SP
generic map
(CLKDV_DIVIDE => 2.000,
CLKFX_DIVIDE => 25,
CLKFX_MULTIPLY => 28,
CLKIN_DIVIDE_BY_2 => FALSE,
CLKIN_PERIOD => 20.0,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "1X",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
PHASE_SHIFT => 0,
STARTUP_WAIT => FALSE)
port map
-- Input clock
(CLKIN => clkin1,
CLKFB => clkfb,
-- Output clocks
CLK0 => clk0,
CLK90 => open,
CLK180 => open,
CLK270 => open,
CLK2X => open,
CLK2X180 => open,
CLKFX => clkfx,
CLKFX180 => open,
CLKDV => clkdv,
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => locked_internal,
STATUS => status_internal,
RST => '0',
-- Unused pin, tie low
DSSEN => '0');
LOCKED <= locked_internal;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfb,
I => clk0);
clkout1_buf : BUFG
port map
(O => CLK,
I => clkfx);
clkout2_buf : BUFG
port map
(O => VGA_CLK,
I => clkdv);
end xilinx;
|
gpl-3.0
|
VHDLTool/VHDL_Handbook_STD
|
Extras/VHDL/STD_03000_good.vhd
|
1
|
2944
|
-------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-02 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_03000_good.vhd
-- File Creation date : 2015-04-02
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description: Handbook example: Comments for objects declaration statements: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_03000_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_Enable : in std_logic; -- Enable signal
i_D : in std_logic; -- D Flip-Flop input signal
o_Q : out std_logic -- D Flip-Flop output signal
);
end STD_03000_good;
--CODE
architecture Behavioral of STD_03000_good is
signal Q : std_logic; -- D Flip-Flop output
begin
-- D FlipFlop process
P_FlipFlop : process(i_Clock, i_Reset_n)
begin
if (i_Reset_n = '0') then
Q <= '0';
elsif (rising_edge(i_Clock)) then
if (i_Enable = '1') then -- D Flip-Flop enabled
Q <= i_D;
end if;
end if;
end process;
o_Q <= Q;
end Behavioral;
--CODE
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/atari800xl/src/a8core/basic.vhdl
|
1
|
58074
|
--
--ROMsUsingBlockRAMResources.
--VHDLcodeforaROMwithregisteredoutput(template2)
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity basic is
port(
clock:in std_logic;
address:in std_logic_vector(12 downto 0);
q:out std_logic_vector(7 downto 0)
);
end basic;
architecture syn of basic is
type rom_type is array(0 to 8191) of std_logic_vector(7 downto 0);
signal ROM:rom_type:=
(
X"a5",
X"ca",
X"d0",
X"04",
X"a5",
X"08",
X"d0",
X"45",
X"a2",
X"ff",
X"9a",
X"d8",
X"ae",
X"e7",
X"02",
X"ac",
X"e8",
X"02",
X"86",
X"80",
X"84",
X"81",
X"a9",
X"00",
X"85",
X"92",
X"85",
X"ca",
X"c8",
X"8a",
X"a2",
X"82",
X"95",
X"00",
X"e8",
X"94",
X"00",
X"e8",
X"e0",
X"92",
X"90",
X"f6",
X"a2",
X"86",
X"a0",
X"01",
X"20",
X"7a",
X"a8",
X"a2",
X"8c",
X"a0",
X"03",
X"20",
X"7a",
X"a8",
X"a9",
X"00",
X"a8",
X"91",
X"84",
X"91",
X"8a",
X"c8",
X"a9",
X"80",
X"91",
X"8a",
X"c8",
X"a9",
X"03",
X"91",
X"8a",
X"a9",
X"0a",
X"85",
X"c9",
X"20",
X"f1",
X"b8",
X"20",
X"45",
X"bd",
X"20",
X"5b",
X"bd",
X"a5",
X"92",
X"f0",
X"03",
X"20",
X"9d",
X"bd",
X"20",
X"62",
X"bd",
X"a5",
X"ca",
X"d0",
X"9c",
X"a2",
X"ff",
X"9a",
X"20",
X"51",
X"da",
X"a9",
X"5d",
X"85",
X"c2",
X"20",
X"ed",
X"bd",
X"20",
X"f2",
X"a9",
X"f0",
X"ea",
X"a9",
X"00",
X"85",
X"f2",
X"85",
X"9f",
X"85",
X"94",
X"85",
X"a6",
X"85",
X"b3",
X"85",
X"b0",
X"85",
X"b1",
X"a5",
X"84",
X"85",
X"ad",
X"a5",
X"85",
X"85",
X"ae",
X"20",
X"a1",
X"db",
X"20",
X"9a",
X"a1",
X"20",
X"c4",
X"a2",
X"a5",
X"d5",
X"10",
X"02",
X"85",
X"a6",
X"20",
X"a1",
X"db",
X"a4",
X"f2",
X"84",
X"a8",
X"b1",
X"f3",
X"c9",
X"9b",
X"d0",
X"07",
X"24",
X"a6",
X"30",
X"b2",
X"4c",
X"86",
X"a1",
X"a5",
X"94",
X"85",
X"a7",
X"20",
X"c4",
X"a2",
X"20",
X"a1",
X"db",
X"a9",
X"a4",
X"a0",
X"9f",
X"a2",
X"02",
X"20",
X"54",
X"a4",
X"86",
X"f2",
X"a5",
X"af",
X"20",
X"c4",
X"a2",
X"20",
X"a1",
X"db",
X"20",
X"be",
X"a1",
X"90",
X"35",
X"a4",
X"9f",
X"b1",
X"f3",
X"c9",
X"9b",
X"d0",
X"06",
X"c8",
X"91",
X"f3",
X"88",
X"a9",
X"20",
X"09",
X"80",
X"91",
X"f3",
X"a9",
X"40",
X"05",
X"a6",
X"85",
X"a6",
X"a4",
X"a8",
X"84",
X"f2",
X"a2",
X"03",
X"86",
X"a7",
X"e8",
X"86",
X"94",
X"a9",
X"37",
X"20",
X"c4",
X"a2",
X"a4",
X"f2",
X"b1",
X"f3",
X"e6",
X"f2",
X"c9",
X"9b",
X"d0",
X"f3",
X"20",
X"c4",
X"a2",
X"a5",
X"94",
X"a4",
X"a7",
X"91",
X"80",
X"a4",
X"f2",
X"88",
X"b1",
X"f3",
X"c9",
X"9b",
X"d0",
X"9a",
X"a0",
X"02",
X"a5",
X"94",
X"91",
X"80",
X"20",
X"a2",
X"a9",
X"a9",
X"00",
X"b0",
X"03",
X"20",
X"dc",
X"a9",
X"38",
X"e5",
X"94",
X"f0",
X"1e",
X"b0",
X"13",
X"49",
X"ff",
X"a8",
X"c8",
X"a2",
X"8a",
X"20",
X"7a",
X"a8",
X"a5",
X"97",
X"85",
X"8a",
X"a5",
X"98",
X"85",
X"8b",
X"d0",
X"09",
X"a8",
X"20",
X"d0",
X"a9",
X"a2",
X"8a",
X"20",
X"f8",
X"a8",
X"a4",
X"94",
X"88",
X"b1",
X"80",
X"91",
X"8a",
X"98",
X"d0",
X"f8",
X"24",
X"a6",
X"50",
X"29",
X"a5",
X"b1",
X"0a",
X"0a",
X"0a",
X"a2",
X"88",
X"20",
X"f7",
X"a8",
X"38",
X"a5",
X"84",
X"e5",
X"ad",
X"a8",
X"a5",
X"85",
X"e5",
X"ae",
X"a2",
X"84",
X"20",
X"fa",
X"a8",
X"24",
X"a6",
X"10",
X"06",
X"20",
X"aa",
X"b5",
X"4c",
X"60",
X"a0",
X"20",
X"8e",
X"b5",
X"4c",
X"60",
X"a0",
X"10",
X"fb",
X"4c",
X"5e",
X"a9",
X"20",
X"a2",
X"a9",
X"b0",
X"f3",
X"20",
X"dc",
X"a9",
X"a8",
X"20",
X"d0",
X"a9",
X"a2",
X"8a",
X"20",
X"f8",
X"a8",
X"4c",
X"60",
X"a0",
X"20",
X"00",
X"d8",
X"90",
X"08",
X"a9",
X"00",
X"85",
X"f2",
X"a0",
X"80",
X"30",
X"09",
X"20",
X"41",
X"ad",
X"a4",
X"d5",
X"30",
X"f1",
X"a5",
X"d4",
X"84",
X"a1",
X"85",
X"a0",
X"20",
X"c4",
X"a2",
X"a5",
X"a1",
X"85",
X"d5",
X"4c",
X"c4",
X"a2",
X"a0",
X"01",
X"b1",
X"95",
X"85",
X"9e",
X"8d",
X"83",
X"04",
X"88",
X"b1",
X"95",
X"85",
X"9d",
X"8d",
X"82",
X"04",
X"84",
X"a9",
X"a5",
X"94",
X"8d",
X"81",
X"04",
X"a5",
X"f2",
X"8d",
X"80",
X"04",
X"20",
X"93",
X"a2",
X"30",
X"16",
X"c9",
X"01",
X"90",
X"24",
X"d0",
X"06",
X"20",
X"08",
X"a2",
X"4c",
X"59",
X"a2",
X"c9",
X"05",
X"90",
X"55",
X"20",
X"9b",
X"a2",
X"4c",
X"59",
X"a2",
X"38",
X"e9",
X"c1",
X"b0",
X"02",
X"a2",
X"ff",
X"18",
X"65",
X"9d",
X"48",
X"8a",
X"65",
X"9e",
X"48",
X"4c",
X"1b",
X"a2",
X"20",
X"93",
X"a2",
X"48",
X"20",
X"93",
X"a2",
X"48",
X"90",
X"09",
X"68",
X"a8",
X"68",
X"aa",
X"98",
X"48",
X"8a",
X"48",
X"60",
X"a6",
X"a9",
X"e8",
X"e8",
X"e8",
X"e8",
X"f0",
X"1f",
X"86",
X"a9",
X"a5",
X"f2",
X"9d",
X"80",
X"04",
X"a5",
X"94",
X"9d",
X"81",
X"04",
X"a5",
X"9d",
X"9d",
X"82",
X"04",
X"a5",
X"9e",
X"9d",
X"83",
X"04",
X"68",
X"85",
X"9e",
X"68",
X"85",
X"9d",
X"4c",
X"db",
X"a1",
X"4c",
X"18",
X"b9",
X"a6",
X"a9",
X"f0",
X"d1",
X"bd",
X"82",
X"04",
X"85",
X"9d",
X"bd",
X"83",
X"04",
X"85",
X"9e",
X"ca",
X"ca",
X"ca",
X"ca",
X"86",
X"a9",
X"b0",
X"03",
X"4c",
X"db",
X"a1",
X"20",
X"93",
X"a2",
X"30",
X"fb",
X"c9",
X"02",
X"b0",
X"08",
X"20",
X"8c",
X"a2",
X"20",
X"8c",
X"a2",
X"d0",
X"ef",
X"c9",
X"03",
X"f0",
X"d2",
X"b0",
X"e9",
X"a5",
X"f2",
X"c5",
X"9f",
X"90",
X"02",
X"85",
X"9f",
X"a6",
X"a9",
X"bd",
X"80",
X"04",
X"85",
X"f2",
X"bd",
X"81",
X"04",
X"85",
X"94",
X"4c",
X"db",
X"a1",
X"e6",
X"9d",
X"d0",
X"02",
X"e6",
X"9e",
X"60",
X"20",
X"8c",
X"a2",
X"a2",
X"00",
X"a1",
X"9d",
X"60",
X"c9",
X"0f",
X"f0",
X"17",
X"b0",
X"40",
X"c9",
X"0d",
X"d0",
X"06",
X"20",
X"8c",
X"a2",
X"4c",
X"e4",
X"a2",
X"68",
X"68",
X"a9",
X"04",
X"48",
X"a9",
X"a6",
X"48",
X"4c",
X"1b",
X"a2",
X"20",
X"8c",
X"a2",
X"a0",
X"00",
X"b1",
X"9d",
X"a4",
X"94",
X"88",
X"91",
X"80",
X"18",
X"60",
X"a4",
X"94",
X"91",
X"80",
X"e6",
X"94",
X"d0",
X"f7",
X"4c",
X"18",
X"b9",
X"a2",
X"ff",
X"9a",
X"a5",
X"94",
X"a4",
X"a7",
X"91",
X"80",
X"4c",
X"b1",
X"a0",
X"a2",
X"ff",
X"9a",
X"4c",
X"fb",
X"a0",
X"20",
X"a1",
X"db",
X"a5",
X"f2",
X"c5",
X"b3",
X"f0",
X"15",
X"85",
X"b3",
X"a9",
X"a7",
X"a0",
X"de",
X"a2",
X"00",
X"20",
X"54",
X"a4",
X"b0",
X"23",
X"86",
X"b2",
X"a5",
X"af",
X"69",
X"10",
X"85",
X"b0",
X"a0",
X"00",
X"b1",
X"9d",
X"c5",
X"b0",
X"f0",
X"0a",
X"c9",
X"44",
X"d0",
X"13",
X"a5",
X"b0",
X"c9",
X"44",
X"90",
X"0d",
X"20",
X"c4",
X"a2",
X"a6",
X"b2",
X"86",
X"f2",
X"18",
X"60",
X"a9",
X"00",
X"85",
X"b0",
X"38",
X"60",
X"a9",
X"00",
X"f0",
X"02",
X"a9",
X"80",
X"85",
X"d2",
X"20",
X"a1",
X"db",
X"a5",
X"f2",
X"85",
X"ac",
X"20",
X"e8",
X"a3",
X"b0",
X"25",
X"20",
X"e1",
X"a2",
X"a5",
X"b0",
X"f0",
X"08",
X"a4",
X"b2",
X"b1",
X"f3",
X"c9",
X"30",
X"90",
X"16",
X"e6",
X"f2",
X"20",
X"e8",
X"a3",
X"90",
X"f9",
X"20",
X"af",
X"db",
X"90",
X"f4",
X"b1",
X"f3",
X"c9",
X"24",
X"f0",
X"06",
X"24",
X"d2",
X"10",
X"09",
X"38",
X"60",
X"24",
X"d2",
X"10",
X"fa",
X"c8",
X"d0",
X"0d",
X"b1",
X"f3",
X"c9",
X"28",
X"d0",
X"07",
X"c8",
X"a9",
X"40",
X"05",
X"d2",
X"85",
X"d2",
X"a5",
X"ac",
X"85",
X"f2",
X"84",
X"ac",
X"a5",
X"83",
X"a4",
X"82",
X"a2",
X"00",
X"20",
X"54",
X"a4",
X"b0",
X"0a",
X"e4",
X"ac",
X"f0",
X"4d",
X"20",
X"82",
X"a4",
X"4c",
X"7e",
X"a3",
X"38",
X"a5",
X"ac",
X"e5",
X"f2",
X"85",
X"f2",
X"a8",
X"a2",
X"84",
X"20",
X"7a",
X"a8",
X"a5",
X"af",
X"85",
X"d3",
X"a4",
X"f2",
X"88",
X"a6",
X"ac",
X"ca",
X"bd",
X"80",
X"05",
X"91",
X"97",
X"ca",
X"88",
X"10",
X"f7",
X"a4",
X"f2",
X"88",
X"b1",
X"97",
X"09",
X"80",
X"91",
X"97",
X"a0",
X"08",
X"a2",
X"88",
X"20",
X"7a",
X"a8",
X"e6",
X"b1",
X"a0",
X"02",
X"a9",
X"00",
X"99",
X"d2",
X"00",
X"c8",
X"c0",
X"08",
X"90",
X"f8",
X"88",
X"b9",
X"d2",
X"00",
X"91",
X"97",
X"88",
X"10",
X"f8",
X"24",
X"d2",
X"50",
X"02",
X"c6",
X"ac",
X"a5",
X"ac",
X"85",
X"f2",
X"a5",
X"af",
X"30",
X"06",
X"09",
X"80",
X"18",
X"4c",
X"c4",
X"a2",
X"4c",
X"2c",
X"b9",
X"a4",
X"f2",
X"b1",
X"f3",
X"c9",
X"41",
X"90",
X"03",
X"c9",
X"5b",
X"60",
X"38",
X"60",
X"20",
X"a1",
X"db",
X"a5",
X"f2",
X"85",
X"ac",
X"20",
X"00",
X"d8",
X"90",
X"05",
X"a5",
X"ac",
X"85",
X"f2",
X"60",
X"a9",
X"0e",
X"20",
X"c4",
X"a2",
X"c8",
X"a2",
X"00",
X"b5",
X"d4",
X"91",
X"80",
X"c8",
X"e8",
X"e0",
X"06",
X"90",
X"f6",
X"84",
X"94",
X"18",
X"60",
X"20",
X"a1",
X"db",
X"a4",
X"f2",
X"b1",
X"f3",
X"c9",
X"22",
X"d0",
X"cc",
X"a9",
X"0f",
X"20",
X"c4",
X"a2",
X"a5",
X"94",
X"85",
X"ab",
X"20",
X"c4",
X"a2",
X"e6",
X"f2",
X"a4",
X"f2",
X"b1",
X"f3",
X"c9",
X"9b",
X"f0",
X"0c",
X"c9",
X"22",
X"f0",
X"06",
X"20",
X"c4",
X"a2",
X"4c",
X"33",
X"a4",
X"e6",
X"f2",
X"18",
X"a5",
X"94",
X"e5",
X"ab",
X"a4",
X"ab",
X"91",
X"80",
X"18",
X"60",
X"86",
X"aa",
X"a2",
X"ff",
X"86",
X"af",
X"85",
X"96",
X"84",
X"95",
X"e6",
X"af",
X"a6",
X"f2",
X"a4",
X"aa",
X"b1",
X"95",
X"f0",
X"25",
X"a9",
X"00",
X"08",
X"bd",
X"80",
X"05",
X"29",
X"7f",
X"c9",
X"2e",
X"f0",
X"1b",
X"51",
X"95",
X"0a",
X"f0",
X"02",
X"68",
X"08",
X"c8",
X"e8",
X"90",
X"ec",
X"28",
X"f0",
X"d0",
X"18",
X"98",
X"65",
X"95",
X"a8",
X"a5",
X"96",
X"69",
X"00",
X"d0",
X"cd",
X"38",
X"60",
X"a9",
X"02",
X"c5",
X"aa",
X"d0",
X"df",
X"b1",
X"95",
X"30",
X"03",
X"c8",
X"d0",
X"f9",
X"38",
X"b0",
X"dc",
X"c2",
X"a7",
X"52",
X"45",
X"cd",
X"c5",
X"a7",
X"44",
X"41",
X"54",
X"c1",
X"ee",
X"a6",
X"49",
X"4e",
X"50",
X"55",
X"d4",
X"b7",
X"a6",
X"43",
X"4f",
X"4c",
X"4f",
X"d2",
X"2c",
X"a7",
X"4c",
X"49",
X"53",
X"d4",
X"1d",
X"a7",
X"45",
X"4e",
X"54",
X"45",
X"d2",
X"ba",
X"a6",
X"4c",
X"45",
X"d4",
X"8e",
X"a7",
X"49",
X"c6",
X"cc",
X"a6",
X"46",
X"4f",
X"d2",
X"e4",
X"a6",
X"4e",
X"45",
X"58",
X"d4",
X"b7",
X"a6",
X"47",
X"4f",
X"54",
X"cf",
X"b7",
X"a6",
X"47",
X"4f",
X"20",
X"54",
X"cf",
X"b7",
X"a6",
X"47",
X"4f",
X"53",
X"55",
X"c2",
X"b7",
X"a6",
X"54",
X"52",
X"41",
X"d0",
X"b8",
X"a6",
X"42",
X"59",
X"c5",
X"b8",
X"a6",
X"43",
X"4f",
X"4e",
X"d4",
X"59",
X"a7",
X"43",
X"4f",
X"cd",
X"1a",
X"a7",
X"43",
X"4c",
X"4f",
X"53",
X"c5",
X"b8",
X"a6",
X"43",
X"4c",
X"d2",
X"b8",
X"a6",
X"44",
X"45",
X"c7",
X"59",
X"a7",
X"44",
X"49",
X"cd",
X"b8",
X"a6",
X"45",
X"4e",
X"c4",
X"b8",
X"a6",
X"4e",
X"45",
X"d7",
X"13",
X"a7",
X"4f",
X"50",
X"45",
X"ce",
X"1d",
X"a7",
X"4c",
X"4f",
X"41",
X"c4",
X"1d",
X"a7",
X"53",
X"41",
X"56",
X"c5",
X"3a",
X"a7",
X"53",
X"54",
X"41",
X"54",
X"55",
X"d3",
X"43",
X"a7",
X"4e",
X"4f",
X"54",
X"c5",
X"43",
X"a7",
X"50",
X"4f",
X"49",
X"4e",
X"d4",
X"11",
X"a7",
X"58",
X"49",
X"cf",
X"5c",
X"a7",
X"4f",
X"ce",
X"56",
X"a7",
X"50",
X"4f",
X"4b",
X"c5",
X"f6",
X"a6",
X"50",
X"52",
X"49",
X"4e",
X"d4",
X"b8",
X"a6",
X"52",
X"41",
X"c4",
X"ef",
X"a6",
X"52",
X"45",
X"41",
X"c4",
X"e9",
X"a6",
X"52",
X"45",
X"53",
X"54",
X"4f",
X"52",
X"c5",
X"b8",
X"a6",
X"52",
X"45",
X"54",
X"55",
X"52",
X"ce",
X"20",
X"a7",
X"52",
X"55",
X"ce",
X"b8",
X"a6",
X"53",
X"54",
X"4f",
X"d0",
X"b8",
X"a6",
X"50",
X"4f",
X"d0",
X"f6",
X"a6",
X"bf",
X"e2",
X"a6",
X"47",
X"45",
X"d4",
X"b4",
X"a6",
X"50",
X"55",
X"d4",
X"b7",
X"a6",
X"47",
X"52",
X"41",
X"50",
X"48",
X"49",
X"43",
X"d3",
X"56",
X"a7",
X"50",
X"4c",
X"4f",
X"d4",
X"56",
X"a7",
X"50",
X"4f",
X"53",
X"49",
X"54",
X"49",
X"4f",
X"ce",
X"b8",
X"a6",
X"44",
X"4f",
X"d3",
X"56",
X"a7",
X"44",
X"52",
X"41",
X"57",
X"54",
X"cf",
X"54",
X"a7",
X"53",
X"45",
X"54",
X"43",
X"4f",
X"4c",
X"4f",
X"d2",
X"dc",
X"a6",
X"4c",
X"4f",
X"43",
X"41",
X"54",
X"c5",
X"52",
X"a7",
X"53",
X"4f",
X"55",
X"4e",
X"c4",
X"fa",
X"a6",
X"4c",
X"50",
X"52",
X"49",
X"4e",
X"d4",
X"b8",
X"a6",
X"43",
X"53",
X"41",
X"56",
X"c5",
X"b8",
X"a6",
X"43",
X"4c",
X"4f",
X"41",
X"c4",
X"ba",
X"a6",
X"00",
X"80",
X"00",
X"2a",
X"45",
X"52",
X"52",
X"4f",
X"52",
X"2d",
X"20",
X"a0",
X"53",
X"54",
X"4f",
X"50",
X"50",
X"45",
X"44",
X"a0",
X"cd",
X"c4",
X"02",
X"c2",
X"03",
X"2b",
X"ba",
X"2c",
X"db",
X"02",
X"cd",
X"d8",
X"03",
X"25",
X"0f",
X"35",
X"02",
X"26",
X"0f",
X"36",
X"02",
X"28",
X"03",
X"fe",
X"02",
X"e8",
X"02",
X"01",
X"f4",
X"a3",
X"02",
X"00",
X"78",
X"a6",
X"03",
X"c4",
X"9c",
X"02",
X"03",
X"23",
X"02",
X"25",
X"02",
X"26",
X"02",
X"24",
X"02",
X"27",
X"02",
X"1d",
X"02",
X"1f",
X"02",
X"1e",
X"02",
X"20",
X"02",
X"21",
X"02",
X"22",
X"02",
X"2a",
X"02",
X"29",
X"03",
X"01",
X"1f",
X"a3",
X"c2",
X"03",
X"0d",
X"2b",
X"0f",
X"38",
X"0e",
X"c4",
X"2c",
X"02",
X"03",
X"12",
X"0f",
X"3c",
X"0e",
X"02",
X"03",
X"44",
X"d2",
X"02",
X"00",
X"c8",
X"a7",
X"d3",
X"02",
X"c2",
X"03",
X"3f",
X"2b",
X"0f",
X"3a",
X"00",
X"d4",
X"a7",
X"2c",
X"03",
X"2b",
X"0f",
X"3a",
X"0e",
X"2c",
X"03",
X"2b",
X"0f",
X"3a",
X"c7",
X"2c",
X"03",
X"c4",
X"e3",
X"c2",
X"03",
X"c8",
X"02",
X"cb",
X"02",
X"01",
X"1b",
X"a4",
X"03",
X"00",
X"d0",
X"a7",
X"a5",
X"03",
X"01",
X"23",
X"a3",
X"c2",
X"03",
X"2b",
X"0f",
X"37",
X"0e",
X"c4",
X"2c",
X"02",
X"03",
X"12",
X"0f",
X"3c",
X"0e",
X"02",
X"03",
X"1d",
X"0f",
X"2f",
X"02",
X"1e",
X"0f",
X"30",
X"02",
X"1f",
X"0f",
X"31",
X"02",
X"20",
X"0f",
X"32",
X"02",
X"21",
X"0f",
X"33",
X"02",
X"22",
X"0f",
X"34",
X"03",
X"1c",
X"0e",
X"12",
X"0e",
X"fa",
X"03",
X"00",
X"45",
X"a6",
X"22",
X"0f",
X"2d",
X"0e",
X"f1",
X"02",
X"86",
X"22",
X"0f",
X"2e",
X"00",
X"7c",
X"a6",
X"e8",
X"03",
X"01",
X"1f",
X"a3",
X"22",
X"0f",
X"2d",
X"0e",
X"19",
X"0e",
X"c3",
X"dc",
X"03",
X"1a",
X"0e",
X"02",
X"03",
X"0e",
X"12",
X"0e",
X"12",
X"c4",
X"03",
X"dd",
X"12",
X"01",
X"1f",
X"a3",
X"cb",
X"03",
X"0e",
X"c8",
X"02",
X"c6",
X"03",
X"f7",
X"db",
X"c2",
X"03",
X"14",
X"02",
X"16",
X"03",
X"c9",
X"bb",
X"02",
X"ec",
X"00",
X"9a",
X"a7",
X"b5",
X"03",
X"1c",
X"0e",
X"03",
X"01",
X"1f",
X"a3",
X"02",
X"01",
X"23",
X"a3",
X"03",
X"b8",
X"c2",
X"03",
X"12",
X"bc",
X"02",
X"03",
X"0e",
X"12",
X"ac",
X"12",
X"f9",
X"12",
X"f3",
X"9a",
X"03",
X"a5",
X"97",
X"03",
X"ed",
X"94",
X"03",
X"ea",
X"91",
X"02",
X"8f",
X"03",
X"9a",
X"12",
X"02",
X"97",
X"15",
X"02",
X"03",
X"de",
X"85",
X"02",
X"db",
X"12",
X"c4",
X"02",
X"c2",
X"03",
X"00",
X"ba",
X"a7",
X"f4",
X"03",
X"c3",
X"f1",
X"03",
X"82",
X"12",
X"00",
X"45",
X"a6",
X"03",
X"ba",
X"12",
X"00",
X"45",
X"a6",
X"e4",
X"03",
X"00",
X"7c",
X"a6",
X"03",
X"0e",
X"12",
X"0e",
X"03",
X"0e",
X"12",
X"0e",
X"12",
X"b8",
X"d5",
X"03",
X"ed",
X"d2",
X"03",
X"0e",
X"c4",
X"c7",
X"cd",
X"03",
X"17",
X"02",
X"18",
X"03",
X"0e",
X"c2",
X"03",
X"12",
X"bc",
X"02",
X"03",
X"14",
X"02",
X"16",
X"03",
X"01",
X"1f",
X"a3",
X"0d",
X"2b",
X"0f",
X"39",
X"0e",
X"00",
X"53",
X"a6",
X"2c",
X"02",
X"01",
X"23",
X"a3",
X"2b",
X"0f",
X"3b",
X"0e",
X"2c",
X"03",
X"aa",
X"c3",
X"02",
X"03",
X"12",
X"bb",
X"02",
X"03",
X"0e",
X"1b",
X"c3",
X"9b",
X"03",
X"01",
X"f4",
X"a3",
X"02",
X"01",
X"ce",
X"a2",
X"c9",
X"02",
X"d4",
X"c3",
X"02",
X"03",
X"c3",
X"02",
X"03",
X"c3",
X"c8",
X"03",
X"0e",
X"02",
X"00",
X"7c",
X"a6",
X"03",
X"c4",
X"b3",
X"02",
X"03",
X"c6",
X"c2",
X"03",
X"bd",
X"02",
X"03",
X"12",
X"02",
X"15",
X"03",
X"0e",
X"c3",
X"02",
X"03",
X"12",
X"0e",
X"02",
X"03",
X"01",
X"da",
X"a2",
X"01",
X"da",
X"a2",
X"40",
X"02",
X"41",
X"02",
X"43",
X"02",
X"42",
X"03",
X"3d",
X"02",
X"3e",
X"03",
X"0e",
X"c2",
X"03",
X"12",
X"0f",
X"3c",
X"ba",
X"02",
X"03",
X"82",
X"80",
X"ac",
X"a4",
X"ba",
X"bb",
X"9b",
X"47",
X"4f",
X"54",
X"cf",
X"47",
X"4f",
X"53",
X"55",
X"c2",
X"54",
X"cf",
X"53",
X"54",
X"45",
X"d0",
X"54",
X"48",
X"45",
X"ce",
X"a3",
X"3c",
X"bd",
X"3c",
X"be",
X"3e",
X"bd",
X"bc",
X"be",
X"bd",
X"de",
X"aa",
X"ab",
X"ad",
X"af",
X"4e",
X"4f",
X"d4",
X"4f",
X"d2",
X"41",
X"4e",
X"c4",
X"a8",
X"a9",
X"bd",
X"bd",
X"3c",
X"bd",
X"3c",
X"be",
X"3e",
X"bd",
X"bc",
X"be",
X"bd",
X"ab",
X"ad",
X"a8",
X"80",
X"80",
X"a8",
X"a8",
X"ac",
X"53",
X"54",
X"52",
X"a4",
X"43",
X"48",
X"52",
X"a4",
X"55",
X"53",
X"d2",
X"41",
X"53",
X"c3",
X"56",
X"41",
X"cc",
X"4c",
X"45",
X"ce",
X"41",
X"44",
X"d2",
X"41",
X"54",
X"ce",
X"43",
X"4f",
X"d3",
X"50",
X"45",
X"45",
X"cb",
X"53",
X"49",
X"ce",
X"52",
X"4e",
X"c4",
X"46",
X"52",
X"c5",
X"45",
X"58",
X"d0",
X"4c",
X"4f",
X"c7",
X"43",
X"4c",
X"4f",
X"c7",
X"53",
X"51",
X"d2",
X"53",
X"47",
X"ce",
X"41",
X"42",
X"d3",
X"49",
X"4e",
X"d4",
X"50",
X"41",
X"44",
X"44",
X"4c",
X"c5",
X"53",
X"54",
X"49",
X"43",
X"cb",
X"50",
X"54",
X"52",
X"49",
X"c7",
X"53",
X"54",
X"52",
X"49",
X"c7",
X"00",
X"a9",
X"00",
X"84",
X"a4",
X"85",
X"a5",
X"98",
X"38",
X"65",
X"90",
X"a8",
X"a5",
X"91",
X"65",
X"a5",
X"cd",
X"e6",
X"02",
X"90",
X"0c",
X"d0",
X"07",
X"cc",
X"e5",
X"02",
X"90",
X"05",
X"f0",
X"03",
X"4c",
X"30",
X"b9",
X"38",
X"a5",
X"90",
X"f5",
X"00",
X"85",
X"a2",
X"a5",
X"91",
X"f5",
X"01",
X"85",
X"a3",
X"18",
X"75",
X"01",
X"85",
X"9a",
X"b5",
X"00",
X"85",
X"99",
X"85",
X"97",
X"65",
X"a4",
X"85",
X"9b",
X"b5",
X"01",
X"85",
X"98",
X"65",
X"a5",
X"65",
X"a3",
X"85",
X"9c",
X"b5",
X"00",
X"65",
X"a4",
X"95",
X"00",
X"b5",
X"01",
X"65",
X"a5",
X"95",
X"01",
X"e8",
X"e8",
X"e0",
X"92",
X"90",
X"ee",
X"85",
X"0f",
X"a5",
X"90",
X"85",
X"0e",
X"a6",
X"a3",
X"e8",
X"a4",
X"a2",
X"d0",
X"0d",
X"ea",
X"f0",
X"11",
X"ea",
X"88",
X"c6",
X"9a",
X"c6",
X"9c",
X"b1",
X"99",
X"91",
X"9b",
X"88",
X"d0",
X"f9",
X"b1",
X"99",
X"91",
X"9b",
X"ca",
X"d0",
X"ed",
X"60",
X"a8",
X"a9",
X"00",
X"84",
X"a4",
X"85",
X"a5",
X"38",
X"a5",
X"90",
X"f5",
X"00",
X"49",
X"ff",
X"a8",
X"c8",
X"84",
X"a2",
X"a5",
X"91",
X"f5",
X"01",
X"85",
X"a3",
X"b5",
X"00",
X"e5",
X"a2",
X"85",
X"99",
X"b5",
X"01",
X"e9",
X"00",
X"85",
X"9a",
X"86",
X"9b",
X"38",
X"b5",
X"00",
X"e5",
X"a4",
X"95",
X"00",
X"b5",
X"01",
X"e5",
X"a5",
X"95",
X"01",
X"e8",
X"e8",
X"e0",
X"92",
X"90",
X"ed",
X"85",
X"0f",
X"a5",
X"90",
X"85",
X"0e",
X"a6",
X"9b",
X"b5",
X"00",
X"e5",
X"a2",
X"85",
X"9b",
X"b5",
X"01",
X"e9",
X"00",
X"85",
X"9c",
X"a6",
X"a3",
X"e8",
X"a4",
X"a2",
X"d0",
X"08",
X"ca",
X"d0",
X"05",
X"60",
X"e6",
X"9a",
X"e6",
X"9c",
X"b1",
X"99",
X"91",
X"9b",
X"c8",
X"d0",
X"f9",
X"ca",
X"d0",
X"f2",
X"60",
X"20",
X"19",
X"b8",
X"20",
X"f2",
X"a9",
X"f0",
X"36",
X"a4",
X"a7",
X"c4",
X"9f",
X"b0",
X"1d",
X"b1",
X"8a",
X"85",
X"a7",
X"98",
X"c8",
X"b1",
X"8a",
X"c8",
X"84",
X"a8",
X"20",
X"7e",
X"a9",
X"ea",
X"4c",
X"61",
X"a9",
X"0a",
X"aa",
X"bd",
X"fa",
X"a9",
X"48",
X"bd",
X"fb",
X"a9",
X"48",
X"60",
X"a0",
X"01",
X"b1",
X"8a",
X"30",
X"10",
X"a5",
X"9f",
X"20",
X"d0",
X"a9",
X"20",
X"e1",
X"a9",
X"10",
X"c5",
X"4c",
X"8c",
X"b7",
X"4c",
X"92",
X"b7",
X"4c",
X"5d",
X"a0",
X"a5",
X"8a",
X"85",
X"be",
X"a5",
X"8b",
X"85",
X"bf",
X"a5",
X"89",
X"a4",
X"88",
X"85",
X"8b",
X"84",
X"8a",
X"a0",
X"01",
X"b1",
X"8a",
X"c5",
X"a1",
X"90",
X"0d",
X"d0",
X"0a",
X"88",
X"b1",
X"8a",
X"c5",
X"a0",
X"90",
X"04",
X"d0",
X"01",
X"18",
X"60",
X"20",
X"dc",
X"a9",
X"20",
X"d0",
X"a9",
X"4c",
X"b2",
X"a9",
X"18",
X"65",
X"8a",
X"85",
X"8a",
X"a5",
X"8b",
X"69",
X"00",
X"85",
X"8b",
X"60",
X"a0",
X"02",
X"b1",
X"8a",
X"60",
X"a0",
X"01",
X"b1",
X"8a",
X"60",
X"20",
X"45",
X"bd",
X"4c",
X"71",
X"e4",
X"20",
X"45",
X"bd",
X"6c",
X"0a",
X"00",
X"a4",
X"11",
X"d0",
X"03",
X"c6",
X"11",
X"98",
X"60",
X"a9",
X"e4",
X"a9",
X"e4",
X"b3",
X"3d",
X"ba",
X"1e",
X"b4",
X"b4",
X"ba",
X"c4",
X"aa",
X"d9",
X"b7",
X"77",
X"b6",
X"7c",
X"b6",
X"ff",
X"b6",
X"d4",
X"b6",
X"d4",
X"b6",
X"d1",
X"b7",
X"d7",
X"a9",
X"e5",
X"b7",
X"b4",
X"b2",
X"05",
X"bc",
X"21",
X"b7",
X"65",
X"b2",
X"8c",
X"b2",
X"05",
X"b7",
X"8b",
X"a0",
X"0b",
X"bb",
X"f1",
X"ba",
X"fa",
X"bb",
X"6c",
X"bc",
X"2e",
X"bc",
X"3c",
X"bc",
X"53",
X"bb",
X"eb",
X"b7",
X"e3",
X"b2",
X"77",
X"b3",
X"d9",
X"b2",
X"90",
X"b2",
X"ad",
X"b2",
X"95",
X"bd",
X"a7",
X"b7",
X"4b",
X"b7",
X"91",
X"b8",
X"3d",
X"b3",
X"d9",
X"bc",
X"84",
X"bc",
X"77",
X"ba",
X"45",
X"ba",
X"6b",
X"ba",
X"0b",
X"a9",
X"eb",
X"ba",
X"26",
X"b9",
X"ac",
X"bc",
X"9d",
X"b9",
X"d2",
X"b4",
X"95",
X"bb",
X"d0",
X"bb",
X"63",
X"aa",
X"d9",
X"b9",
X"11",
X"ac",
X"a2",
X"ac",
X"ab",
X"ac",
X"c1",
X"ac",
X"b1",
X"ac",
X"b8",
X"ac",
X"c8",
X"b1",
X"5d",
X"ac",
X"82",
X"bd",
X"f9",
X"ac",
X"79",
X"ac",
X"8b",
X"ac",
X"e3",
X"ac",
X"d8",
X"ac",
X"ce",
X"ab",
X"34",
X"ad",
X"65",
X"ad",
X"49",
X"ae",
X"8d",
X"ac",
X"a2",
X"ac",
X"ab",
X"ac",
X"c1",
X"ac",
X"b1",
X"ac",
X"b8",
X"ac",
X"c8",
X"ab",
X"34",
X"ac",
X"94",
X"ae",
X"10",
X"ad",
X"70",
X"ad",
X"6c",
X"ad",
X"65",
X"ad",
X"6c",
X"ad",
X"63",
X"b0",
X"33",
X"b0",
X"51",
X"b0",
X"a4",
X"af",
X"fc",
X"af",
X"ea",
X"af",
X"b4",
X"b0",
X"06",
X"b1",
X"17",
X"b1",
X"0e",
X"af",
X"cb",
X"b1",
X"05",
X"b0",
X"75",
X"af",
X"d5",
X"b1",
X"49",
X"b1",
X"20",
X"b1",
X"3c",
X"b1",
X"52",
X"ad",
X"03",
X"b0",
X"98",
X"b0",
X"c7",
X"b0",
X"0c",
X"b0",
X"10",
X"b0",
X"14",
X"b0",
X"18",
X"20",
X"26",
X"ab",
X"20",
X"36",
X"ab",
X"b0",
X"05",
X"20",
X"b2",
X"ab",
X"30",
X"f6",
X"85",
X"ab",
X"aa",
X"bd",
X"25",
X"ac",
X"4a",
X"4a",
X"4a",
X"4a",
X"85",
X"ac",
X"a4",
X"a9",
X"b1",
X"80",
X"aa",
X"bd",
X"25",
X"ac",
X"29",
X"0f",
X"c5",
X"ac",
X"90",
X"0d",
X"aa",
X"f0",
X"31",
X"b1",
X"80",
X"e6",
X"a9",
X"20",
X"18",
X"ab",
X"4c",
X"f3",
X"aa",
X"a5",
X"ab",
X"88",
X"91",
X"80",
X"84",
X"a9",
X"4c",
X"dd",
X"aa",
X"38",
X"e9",
X"1d",
X"0a",
X"aa",
X"bd",
X"6a",
X"aa",
X"48",
X"bd",
X"6b",
X"aa",
X"48",
X"60",
X"a0",
X"ff",
X"a9",
X"11",
X"91",
X"80",
X"84",
X"a9",
X"c8",
X"84",
X"b0",
X"84",
X"aa",
X"84",
X"b1",
X"60",
X"a4",
X"a8",
X"e6",
X"a8",
X"b1",
X"8a",
X"30",
X"43",
X"c9",
X"0f",
X"90",
X"03",
X"f0",
X"13",
X"60",
X"a2",
X"00",
X"c8",
X"b1",
X"8a",
X"95",
X"d4",
X"e8",
X"e0",
X"06",
X"90",
X"f6",
X"c8",
X"a9",
X"00",
X"aa",
X"f0",
X"22",
X"c8",
X"b1",
X"8a",
X"a2",
X"8a",
X"85",
X"d6",
X"85",
X"d8",
X"c8",
X"98",
X"18",
X"75",
X"00",
X"85",
X"d4",
X"a9",
X"00",
X"85",
X"d7",
X"85",
X"d9",
X"75",
X"01",
X"85",
X"d5",
X"98",
X"65",
X"d6",
X"a8",
X"a2",
X"00",
X"a9",
X"83",
X"85",
X"d2",
X"86",
X"d3",
X"84",
X"a8",
X"18",
X"60",
X"20",
X"1e",
X"ac",
X"b1",
X"9d",
X"99",
X"d2",
X"00",
X"c8",
X"c0",
X"08",
X"90",
X"f6",
X"18",
X"60",
X"20",
X"e9",
X"ab",
X"a9",
X"02",
X"24",
X"d2",
X"d0",
X"15",
X"05",
X"d2",
X"85",
X"d2",
X"6a",
X"90",
X"0f",
X"18",
X"a5",
X"d4",
X"65",
X"8c",
X"85",
X"d4",
X"a8",
X"a5",
X"d5",
X"65",
X"8d",
X"85",
X"d5",
X"60",
X"20",
X"22",
X"b9",
X"e6",
X"aa",
X"a5",
X"aa",
X"0a",
X"0a",
X"0a",
X"c5",
X"a9",
X"b0",
X"0d",
X"a8",
X"88",
X"a2",
X"07",
X"b5",
X"d2",
X"91",
X"80",
X"88",
X"ca",
X"10",
X"f8",
X"60",
X"4c",
X"20",
X"b9",
X"20",
X"d7",
X"ab",
X"a5",
X"d5",
X"10",
X"f5",
X"4c",
X"26",
X"b9",
X"20",
X"da",
X"aa",
X"20",
X"e9",
X"ab",
X"4c",
X"41",
X"ad",
X"20",
X"cd",
X"ab",
X"d0",
X"01",
X"60",
X"20",
X"2e",
X"b9",
X"a5",
X"aa",
X"c6",
X"aa",
X"0a",
X"0a",
X"0a",
X"a8",
X"88",
X"a2",
X"07",
X"b1",
X"80",
X"95",
X"d2",
X"88",
X"ca",
X"10",
X"f8",
X"60",
X"20",
X"e9",
X"ab",
X"20",
X"b6",
X"dd",
X"4c",
X"e9",
X"ab",
X"20",
X"da",
X"aa",
X"4c",
X"e9",
X"ab",
X"a5",
X"d3",
X"20",
X"1e",
X"ac",
X"a2",
X"00",
X"b5",
X"d2",
X"91",
X"9d",
X"c8",
X"e8",
X"e0",
X"08",
X"90",
X"f6",
X"60",
X"a0",
X"00",
X"84",
X"9e",
X"0a",
X"0a",
X"26",
X"9e",
X"0a",
X"26",
X"9e",
X"18",
X"65",
X"86",
X"85",
X"9d",
X"a5",
X"87",
X"65",
X"9e",
X"85",
X"9e",
X"60",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"88",
X"88",
X"88",
X"88",
X"88",
X"88",
X"cc",
X"aa",
X"99",
X"99",
X"aa",
X"dd",
X"55",
X"66",
X"f2",
X"4e",
X"f1",
X"f1",
X"ee",
X"ee",
X"ee",
X"ee",
X"ee",
X"ee",
X"dd",
X"dd",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"43",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"f2",
X"20",
X"fd",
X"ab",
X"20",
X"2c",
X"ad",
X"4c",
X"b2",
X"ab",
X"20",
X"fd",
X"ab",
X"20",
X"32",
X"ad",
X"4c",
X"b2",
X"ab",
X"20",
X"fd",
X"ab",
X"20",
X"38",
X"ad",
X"4c",
X"b2",
X"ab",
X"20",
X"e9",
X"ab",
X"a5",
X"d4",
X"f0",
X"04",
X"49",
X"80",
X"85",
X"d4",
X"4c",
X"b2",
X"ab",
X"20",
X"11",
X"ad",
X"30",
X"48",
X"f0",
X"46",
X"10",
X"3f",
X"20",
X"11",
X"ad",
X"4c",
X"e0",
X"ac",
X"20",
X"11",
X"ad",
X"30",
X"39",
X"10",
X"32",
X"20",
X"11",
X"ad",
X"30",
X"2d",
X"f0",
X"2b",
X"10",
X"2e",
X"20",
X"11",
X"ad",
X"30",
X"24",
X"10",
X"27",
X"20",
X"11",
X"ad",
X"4c",
X"e9",
X"ac",
X"20",
X"fd",
X"ab",
X"a5",
X"d4",
X"25",
X"e0",
X"4c",
X"e0",
X"ac",
X"20",
X"fd",
X"ab",
X"a5",
X"d4",
X"05",
X"e0",
X"f0",
X"09",
X"d0",
X"0c",
X"20",
X"e9",
X"ab",
X"a5",
X"d4",
X"f0",
X"05",
X"a9",
X"00",
X"a8",
X"f0",
X"04",
X"a9",
X"40",
X"a0",
X"01",
X"85",
X"d4",
X"84",
X"d5",
X"a2",
X"d6",
X"a0",
X"04",
X"20",
X"48",
X"da",
X"85",
X"d2",
X"4c",
X"b2",
X"ab",
X"20",
X"e9",
X"ab",
X"a5",
X"d4",
X"f0",
X"f6",
X"10",
X"e3",
X"a9",
X"c0",
X"30",
X"e1",
X"a4",
X"a9",
X"88",
X"b1",
X"80",
X"c9",
X"2f",
X"90",
X"03",
X"4c",
X"6c",
X"af",
X"20",
X"fd",
X"ab",
X"20",
X"2c",
X"ad",
X"a5",
X"d4",
X"60",
X"20",
X"66",
X"da",
X"b0",
X"13",
X"60",
X"20",
X"60",
X"da",
X"b0",
X"0d",
X"60",
X"20",
X"db",
X"da",
X"b0",
X"07",
X"60",
X"20",
X"28",
X"db",
X"b0",
X"01",
X"60",
X"20",
X"1e",
X"b9",
X"20",
X"d2",
X"d9",
X"b0",
X"01",
X"60",
X"20",
X"2e",
X"b9",
X"a5",
X"a9",
X"c9",
X"ff",
X"d0",
X"0f",
X"20",
X"fd",
X"ab",
X"a2",
X"05",
X"b5",
X"e0",
X"95",
X"d4",
X"ca",
X"10",
X"f9",
X"4c",
X"0c",
X"ac",
X"a9",
X"80",
X"85",
X"b1",
X"60",
X"e6",
X"b0",
X"a4",
X"a9",
X"68",
X"68",
X"4c",
X"04",
X"ab",
X"a9",
X"40",
X"85",
X"b1",
X"24",
X"b1",
X"10",
X"06",
X"a5",
X"aa",
X"85",
X"af",
X"c6",
X"aa",
X"a9",
X"00",
X"a8",
X"c5",
X"b0",
X"f0",
X"0b",
X"c6",
X"b0",
X"20",
X"da",
X"ab",
X"a5",
X"d5",
X"30",
X"23",
X"a4",
X"d4",
X"85",
X"98",
X"84",
X"97",
X"20",
X"da",
X"ab",
X"a5",
X"d4",
X"85",
X"f5",
X"a5",
X"d5",
X"30",
X"12",
X"85",
X"f6",
X"20",
X"e9",
X"ab",
X"24",
X"b1",
X"50",
X"05",
X"a9",
X"00",
X"85",
X"b1",
X"60",
X"66",
X"d2",
X"b0",
X"03",
X"20",
X"22",
X"b9",
X"a5",
X"f6",
X"c5",
X"d7",
X"90",
X"08",
X"d0",
X"f5",
X"a5",
X"f5",
X"c5",
X"d6",
X"b0",
X"ef",
X"a5",
X"98",
X"c5",
X"d9",
X"90",
X"08",
X"d0",
X"e7",
X"a5",
X"97",
X"c5",
X"d8",
X"b0",
X"e1",
X"20",
X"48",
X"af",
X"a5",
X"97",
X"a4",
X"98",
X"20",
X"3d",
X"af",
X"20",
X"31",
X"af",
X"a5",
X"d4",
X"a4",
X"d5",
X"20",
X"3d",
X"af",
X"a5",
X"8c",
X"a4",
X"8d",
X"20",
X"3d",
X"af",
X"24",
X"b1",
X"10",
X"15",
X"a5",
X"af",
X"85",
X"aa",
X"20",
X"e9",
X"ab",
X"a0",
X"05",
X"b9",
X"d4",
X"00",
X"91",
X"f5",
X"88",
X"10",
X"f8",
X"c8",
X"84",
X"b1",
X"60",
X"a0",
X"05",
X"b1",
X"f5",
X"99",
X"d4",
X"00",
X"88",
X"10",
X"f8",
X"c8",
X"84",
X"d2",
X"4c",
X"b2",
X"ab",
X"a5",
X"b0",
X"f0",
X"07",
X"20",
X"81",
X"ae",
X"84",
X"98",
X"85",
X"97",
X"20",
X"81",
X"ae",
X"38",
X"e9",
X"01",
X"85",
X"f5",
X"98",
X"e9",
X"00",
X"85",
X"f6",
X"20",
X"e9",
X"ab",
X"a5",
X"b1",
X"10",
X"0b",
X"05",
X"b0",
X"85",
X"b1",
X"a4",
X"d9",
X"a5",
X"d8",
X"4c",
X"3f",
X"ae",
X"a5",
X"d6",
X"a4",
X"d7",
X"a6",
X"b0",
X"f0",
X"10",
X"c6",
X"b0",
X"c4",
X"98",
X"90",
X"35",
X"d0",
X"04",
X"c5",
X"97",
X"90",
X"2f",
X"a4",
X"98",
X"a5",
X"97",
X"38",
X"e5",
X"f5",
X"85",
X"d6",
X"aa",
X"98",
X"e5",
X"f6",
X"85",
X"d7",
X"90",
X"1e",
X"a8",
X"d0",
X"03",
X"8a",
X"f0",
X"18",
X"20",
X"93",
X"ab",
X"18",
X"a5",
X"d4",
X"65",
X"f5",
X"85",
X"d4",
X"a5",
X"d5",
X"65",
X"f6",
X"85",
X"d5",
X"24",
X"b1",
X"10",
X"01",
X"60",
X"4c",
X"b2",
X"ab",
X"20",
X"2a",
X"b9",
X"20",
X"da",
X"ab",
X"a5",
X"d4",
X"a4",
X"d5",
X"d0",
X"03",
X"aa",
X"f0",
X"f1",
X"60",
X"20",
X"90",
X"ab",
X"a5",
X"d4",
X"85",
X"99",
X"a5",
X"d5",
X"85",
X"9a",
X"a5",
X"d6",
X"85",
X"a2",
X"a4",
X"d7",
X"84",
X"a3",
X"a4",
X"a9",
X"c0",
X"ff",
X"f0",
X"0f",
X"a9",
X"80",
X"85",
X"b1",
X"20",
X"04",
X"ab",
X"a5",
X"d7",
X"a4",
X"d6",
X"26",
X"b1",
X"b0",
X"07",
X"20",
X"90",
X"ab",
X"a5",
X"d9",
X"a4",
X"d8",
X"c5",
X"a3",
X"90",
X"06",
X"d0",
X"08",
X"c4",
X"a2",
X"b0",
X"04",
X"85",
X"a3",
X"84",
X"a2",
X"18",
X"a5",
X"d4",
X"65",
X"a2",
X"a8",
X"a5",
X"d5",
X"65",
X"a3",
X"aa",
X"38",
X"98",
X"e5",
X"8c",
X"85",
X"f9",
X"8a",
X"e5",
X"8d",
X"85",
X"fa",
X"38",
X"a9",
X"00",
X"e5",
X"a2",
X"85",
X"a2",
X"38",
X"a5",
X"99",
X"e5",
X"a2",
X"85",
X"99",
X"a5",
X"9a",
X"e9",
X"00",
X"85",
X"9a",
X"38",
X"a5",
X"d4",
X"e5",
X"a2",
X"85",
X"9b",
X"a5",
X"d5",
X"e9",
X"00",
X"85",
X"9c",
X"20",
X"44",
X"a9",
X"a5",
X"d3",
X"20",
X"81",
X"ab",
X"38",
X"a5",
X"f9",
X"e5",
X"d4",
X"a8",
X"a5",
X"fa",
X"e5",
X"d5",
X"aa",
X"a9",
X"02",
X"25",
X"b1",
X"f0",
X"0f",
X"a9",
X"00",
X"85",
X"b1",
X"e4",
X"d7",
X"90",
X"06",
X"d0",
X"05",
X"c4",
X"d6",
X"b0",
X"01",
X"60",
X"84",
X"d6",
X"86",
X"d7",
X"4c",
X"0c",
X"ac",
X"06",
X"f5",
X"26",
X"f6",
X"a4",
X"f6",
X"a5",
X"f5",
X"06",
X"f5",
X"26",
X"f6",
X"18",
X"65",
X"f5",
X"85",
X"f5",
X"98",
X"65",
X"f6",
X"85",
X"f6",
X"60",
X"a9",
X"00",
X"85",
X"f7",
X"85",
X"f8",
X"a0",
X"10",
X"a5",
X"f5",
X"4a",
X"90",
X"0c",
X"18",
X"a2",
X"fe",
X"b5",
X"f9",
X"75",
X"da",
X"95",
X"f9",
X"e8",
X"d0",
X"f7",
X"a2",
X"03",
X"76",
X"f5",
X"ca",
X"10",
X"fb",
X"88",
X"d0",
X"e5",
X"60",
X"20",
X"90",
X"ab",
X"20",
X"b6",
X"dd",
X"20",
X"90",
X"ab",
X"a2",
X"d6",
X"20",
X"a7",
X"af",
X"08",
X"a2",
X"e2",
X"20",
X"a7",
X"af",
X"f0",
X"13",
X"28",
X"f0",
X"0d",
X"a0",
X"00",
X"b1",
X"d4",
X"d1",
X"e0",
X"f0",
X"0c",
X"90",
X"03",
X"a9",
X"01",
X"60",
X"a9",
X"80",
X"60",
X"28",
X"d0",
X"f7",
X"60",
X"e6",
X"d4",
X"d0",
X"02",
X"e6",
X"d5",
X"e6",
X"e0",
X"d0",
X"d2",
X"e6",
X"e1",
X"d0",
X"ce",
X"b5",
X"00",
X"d0",
X"06",
X"b5",
X"01",
X"f0",
X"05",
X"d6",
X"01",
X"d6",
X"00",
X"a8",
X"60",
X"20",
X"90",
X"ab",
X"a5",
X"d6",
X"a4",
X"d7",
X"85",
X"d4",
X"84",
X"d5",
X"20",
X"aa",
X"d9",
X"a9",
X"00",
X"85",
X"d2",
X"85",
X"d3",
X"4c",
X"b2",
X"ab",
X"20",
X"da",
X"ab",
X"a0",
X"00",
X"b1",
X"d4",
X"4c",
X"bc",
X"af",
X"20",
X"e9",
X"ab",
X"38",
X"ad",
X"e5",
X"02",
X"e5",
X"90",
X"85",
X"d4",
X"ad",
X"e6",
X"02",
X"e5",
X"91",
X"85",
X"d5",
X"4c",
X"c0",
X"af",
X"20",
X"7d",
X"bd",
X"a9",
X"00",
X"85",
X"f2",
X"20",
X"00",
X"d8",
X"20",
X"9d",
X"bd",
X"90",
X"c9",
X"20",
X"10",
X"b9",
X"20",
X"90",
X"ab",
X"a0",
X"00",
X"b1",
X"d4",
X"4c",
X"bc",
X"af",
X"20",
X"90",
X"ab",
X"4c",
X"c0",
X"af",
X"a9",
X"00",
X"f0",
X"0a",
X"a9",
X"08",
X"d0",
X"06",
X"a9",
X"0c",
X"d0",
X"02",
X"a9",
X"14",
X"48",
X"20",
X"da",
X"ab",
X"a5",
X"d5",
X"d0",
X"0e",
X"a5",
X"d4",
X"68",
X"18",
X"65",
X"d4",
X"aa",
X"bd",
X"70",
X"02",
X"a0",
X"00",
X"f0",
X"8b",
X"20",
X"2e",
X"b9",
X"20",
X"e9",
X"ab",
X"20",
X"e6",
X"d8",
X"a5",
X"f3",
X"85",
X"d4",
X"a5",
X"f4",
X"85",
X"d5",
X"a0",
X"ff",
X"c8",
X"b1",
X"f3",
X"10",
X"fb",
X"29",
X"7f",
X"91",
X"f3",
X"c8",
X"84",
X"d6",
X"d0",
X"17",
X"20",
X"e9",
X"ab",
X"20",
X"41",
X"ad",
X"a5",
X"d4",
X"8d",
X"c0",
X"05",
X"a9",
X"05",
X"85",
X"d5",
X"a9",
X"c0",
X"85",
X"d4",
X"a9",
X"01",
X"85",
X"d6",
X"a9",
X"00",
X"85",
X"d7",
X"85",
X"d3",
X"a9",
X"83",
X"85",
X"d2",
X"4c",
X"b2",
X"ab",
X"a2",
X"93",
X"a0",
X"b0",
X"20",
X"98",
X"dd",
X"20",
X"e9",
X"ab",
X"ac",
X"0a",
X"d2",
X"84",
X"d4",
X"ac",
X"0a",
X"d2",
X"84",
X"d5",
X"20",
X"aa",
X"d9",
X"20",
X"38",
X"ad",
X"4c",
X"b2",
X"ab",
X"42",
X"06",
X"55",
X"36",
X"00",
X"00",
X"20",
X"e9",
X"ab",
X"a5",
X"d4",
X"29",
X"7f",
X"85",
X"d4",
X"4c",
X"b2",
X"ab",
X"20",
X"ae",
X"b0",
X"20",
X"aa",
X"d9",
X"4c",
X"b2",
X"ab",
X"a5",
X"b0",
X"85",
X"c6",
X"20",
X"da",
X"ab",
X"c6",
X"c6",
X"30",
X"09",
X"a5",
X"d4",
X"48",
X"a5",
X"d5",
X"48",
X"4c",
X"b2",
X"b0",
X"a5",
X"b0",
X"48",
X"6c",
X"d4",
X"00",
X"20",
X"e9",
X"ab",
X"20",
X"d1",
X"b0",
X"4c",
X"b2",
X"ab",
X"a5",
X"d4",
X"29",
X"7f",
X"38",
X"e9",
X"3f",
X"10",
X"02",
X"a9",
X"00",
X"aa",
X"a9",
X"00",
X"a8",
X"e0",
X"05",
X"b0",
X"07",
X"15",
X"d5",
X"94",
X"d5",
X"e8",
X"d0",
X"f5",
X"a6",
X"d4",
X"10",
X"14",
X"aa",
X"f0",
X"11",
X"a2",
X"e0",
X"20",
X"46",
X"da",
X"a9",
X"c0",
X"85",
X"e0",
X"a9",
X"01",
X"85",
X"e1",
X"20",
X"26",
X"ad",
X"60",
X"4c",
X"00",
X"dc",
X"20",
X"e9",
X"ab",
X"20",
X"05",
X"be",
X"4c",
X"59",
X"b1",
X"20",
X"e9",
X"ab",
X"20",
X"0f",
X"be",
X"4c",
X"59",
X"b1",
X"20",
X"e9",
X"ab",
X"20",
X"d5",
X"be",
X"4c",
X"59",
X"b1",
X"20",
X"e9",
X"ab",
X"a5",
X"d4",
X"f0",
X"33",
X"20",
X"cd",
X"de",
X"b0",
X"2e",
X"a5",
X"d4",
X"49",
X"3b",
X"d0",
X"39",
X"a5",
X"d5",
X"29",
X"f8",
X"d0",
X"33",
X"85",
X"d4",
X"f0",
X"2f",
X"20",
X"e9",
X"ab",
X"a5",
X"d4",
X"f0",
X"17",
X"20",
X"d1",
X"de",
X"4c",
X"2b",
X"b1",
X"20",
X"e9",
X"ab",
X"20",
X"c0",
X"dd",
X"4c",
X"59",
X"b1",
X"20",
X"e9",
X"ab",
X"20",
X"43",
X"bf",
X"90",
X"11",
X"20",
X"2e",
X"b9",
X"20",
X"fd",
X"ab",
X"a5",
X"e0",
X"f0",
X"0a",
X"2a",
X"a4",
X"d4",
X"d0",
X"08",
X"b0",
X"ef",
X"4c",
X"b2",
X"ab",
X"4c",
X"f0",
X"ac",
X"a2",
X"d4",
X"20",
X"76",
X"ba",
X"6a",
X"48",
X"a2",
X"e0",
X"20",
X"76",
X"ba",
X"98",
X"10",
X"1e",
X"29",
X"7f",
X"85",
X"d4",
X"b0",
X"03",
X"68",
X"90",
X"d1",
X"a5",
X"e0",
X"10",
X"01",
X"18",
X"08",
X"a6",
X"f7",
X"e0",
X"05",
X"b0",
X"0f",
X"b5",
X"e1",
X"6a",
X"90",
X"0a",
X"a9",
X"80",
X"d0",
X"08",
X"a5",
X"e0",
X"10",
X"01",
X"18",
X"08",
X"a9",
X"00",
X"48",
X"a2",
X"05",
X"b5",
X"e0",
X"48",
X"ca",
X"10",
X"fa",
X"20",
X"d1",
X"de",
X"a2",
X"00",
X"a0",
X"05",
X"68",
X"95",
X"e0",
X"e8",
X"88",
X"10",
X"f9",
X"20",
X"32",
X"ad",
X"20",
X"cc",
X"dd",
X"b0",
X"3d",
X"68",
X"05",
X"d4",
X"85",
X"d4",
X"28",
X"68",
X"10",
X"9d",
X"90",
X"9b",
X"a2",
X"d4",
X"20",
X"76",
X"ba",
X"b0",
X"94",
X"a5",
X"d4",
X"38",
X"29",
X"7f",
X"e9",
X"3f",
X"c9",
X"06",
X"b0",
X"1d",
X"aa",
X"a8",
X"f8",
X"38",
X"b5",
X"d4",
X"69",
X"00",
X"95",
X"d4",
X"ca",
X"d0",
X"f7",
X"d8",
X"90",
X"04",
X"e6",
X"d4",
X"e6",
X"d5",
X"c8",
X"c0",
X"06",
X"b0",
X"04",
X"96",
X"d4",
X"90",
X"f7",
X"4c",
X"b2",
X"ab",
X"20",
X"1e",
X"b9",
X"a4",
X"a8",
X"c4",
X"a7",
X"90",
X"01",
X"60",
X"20",
X"da",
X"aa",
X"a5",
X"d2",
X"6a",
X"90",
X"03",
X"20",
X"22",
X"b9",
X"38",
X"2a",
X"85",
X"d2",
X"30",
X"2e",
X"a4",
X"f5",
X"a6",
X"f6",
X"c8",
X"d0",
X"03",
X"e8",
X"30",
X"ed",
X"84",
X"d6",
X"86",
X"d7",
X"84",
X"f5",
X"86",
X"f6",
X"a4",
X"97",
X"a6",
X"98",
X"c8",
X"d0",
X"03",
X"e8",
X"30",
X"db",
X"84",
X"d8",
X"86",
X"d9",
X"20",
X"48",
X"af",
X"20",
X"31",
X"af",
X"a4",
X"f5",
X"a5",
X"f6",
X"30",
X"cb",
X"10",
X"14",
X"a9",
X"00",
X"85",
X"d6",
X"85",
X"d7",
X"a4",
X"f5",
X"84",
X"d8",
X"a5",
X"f6",
X"85",
X"d9",
X"d0",
X"04",
X"c0",
X"00",
X"f0",
X"b5",
X"a2",
X"8e",
X"20",
X"7c",
X"a8",
X"38",
X"a5",
X"97",
X"e5",
X"8c",
X"85",
X"d4",
X"a5",
X"98",
X"e5",
X"8d",
X"85",
X"d5",
X"20",
X"0c",
X"ac",
X"4c",
X"06",
X"b2",
X"20",
X"d7",
X"ab",
X"a5",
X"d4",
X"85",
X"95",
X"a5",
X"d5",
X"85",
X"96",
X"20",
X"e0",
X"ab",
X"a5",
X"d4",
X"a0",
X"00",
X"91",
X"95",
X"60",
X"a9",
X"06",
X"d0",
X"02",
X"a9",
X"00",
X"85",
X"fb",
X"60",
X"a9",
X"00",
X"85",
X"b6",
X"20",
X"04",
X"b9",
X"90",
X"03",
X"a8",
X"f0",
X"07",
X"20",
X"cd",
X"ab",
X"a5",
X"d5",
X"a4",
X"d4",
X"85",
X"b8",
X"84",
X"b7",
X"60",
X"a5",
X"a8",
X"48",
X"20",
X"f9",
X"b6",
X"a5",
X"b7",
X"85",
X"a0",
X"a5",
X"b8",
X"85",
X"a1",
X"20",
X"a2",
X"a9",
X"a5",
X"8a",
X"85",
X"f3",
X"a5",
X"8b",
X"85",
X"f4",
X"20",
X"a8",
X"bd",
X"68",
X"85",
X"a8",
X"a0",
X"00",
X"84",
X"f2",
X"20",
X"2f",
X"b3",
X"85",
X"b7",
X"20",
X"2d",
X"b3",
X"85",
X"b8",
X"20",
X"2d",
X"b3",
X"85",
X"f5",
X"20",
X"2d",
X"b3",
X"85",
X"f6",
X"20",
X"2d",
X"b3",
X"49",
X"01",
X"f0",
X"26",
X"a4",
X"f6",
X"c4",
X"f5",
X"b0",
X"05",
X"88",
X"84",
X"f2",
X"90",
X"e9",
X"84",
X"f2",
X"c6",
X"f2",
X"a0",
X"01",
X"b1",
X"f3",
X"30",
X"3a",
X"38",
X"a5",
X"f2",
X"65",
X"f3",
X"85",
X"f3",
X"a9",
X"00",
X"85",
X"b6",
X"65",
X"f4",
X"85",
X"f4",
X"90",
X"bb",
X"85",
X"f5",
X"a5",
X"f5",
X"c5",
X"b6",
X"b0",
X"0b",
X"20",
X"2d",
X"b3",
X"d0",
X"fb",
X"b0",
X"da",
X"e6",
X"f5",
X"d0",
X"ef",
X"a9",
X"40",
X"85",
X"a6",
X"e6",
X"f2",
X"b0",
X"32",
X"e6",
X"f2",
X"a4",
X"f2",
X"b1",
X"f3",
X"c9",
X"2c",
X"18",
X"f0",
X"02",
X"c9",
X"9b",
X"60",
X"20",
X"28",
X"b9",
X"a9",
X"3f",
X"85",
X"c2",
X"20",
X"36",
X"ab",
X"c6",
X"a8",
X"90",
X"05",
X"20",
X"07",
X"bd",
X"85",
X"b4",
X"20",
X"51",
X"da",
X"20",
X"e4",
X"bd",
X"20",
X"f2",
X"a9",
X"f0",
X"1f",
X"a0",
X"00",
X"84",
X"a6",
X"84",
X"f2",
X"20",
X"36",
X"ab",
X"e6",
X"a8",
X"a5",
X"d2",
X"30",
X"1a",
X"20",
X"00",
X"d8",
X"b0",
X"0e",
X"20",
X"2f",
X"b3",
X"d0",
X"09",
X"20",
X"0c",
X"ac",
X"4c",
X"ad",
X"b3",
X"4c",
X"92",
X"b7",
X"a9",
X"00",
X"85",
X"b4",
X"20",
X"24",
X"b9",
X"20",
X"26",
X"ab",
X"20",
X"b2",
X"ab",
X"c6",
X"f2",
X"a5",
X"f2",
X"85",
X"f5",
X"a2",
X"ff",
X"e8",
X"20",
X"2d",
X"b3",
X"d0",
X"fa",
X"b0",
X"04",
X"24",
X"a6",
X"50",
X"f4",
X"a4",
X"f5",
X"a5",
X"a8",
X"48",
X"8a",
X"a2",
X"f3",
X"20",
X"5c",
X"ab",
X"68",
X"85",
X"a8",
X"20",
X"91",
X"ae",
X"24",
X"a6",
X"50",
X"0f",
X"e6",
X"b6",
X"20",
X"04",
X"b9",
X"b0",
X"0d",
X"20",
X"2f",
X"b3",
X"90",
X"18",
X"4c",
X"fb",
X"b2",
X"20",
X"04",
X"b9",
X"90",
X"08",
X"20",
X"51",
X"da",
X"a9",
X"00",
X"85",
X"b4",
X"60",
X"20",
X"2f",
X"b3",
X"90",
X"03",
X"4c",
X"4e",
X"b3",
X"e6",
X"f2",
X"4c",
X"5f",
X"b3",
X"a5",
X"c9",
X"85",
X"af",
X"a9",
X"00",
X"85",
X"94",
X"a4",
X"a8",
X"b1",
X"8a",
X"c9",
X"12",
X"f0",
X"5f",
X"c9",
X"16",
X"f0",
X"79",
X"c9",
X"14",
X"f0",
X"75",
X"c9",
X"15",
X"f0",
X"7e",
X"c9",
X"1c",
X"f0",
X"70",
X"20",
X"da",
X"aa",
X"20",
X"e9",
X"ab",
X"c6",
X"a8",
X"24",
X"d2",
X"30",
X"22",
X"a5",
X"d5",
X"c9",
X"10",
X"90",
X"06",
X"a5",
X"d9",
X"29",
X"f0",
X"85",
X"d9",
X"20",
X"e6",
X"d8",
X"a9",
X"00",
X"85",
X"f2",
X"a4",
X"f2",
X"b1",
X"f3",
X"48",
X"e6",
X"f2",
X"20",
X"8f",
X"b4",
X"68",
X"10",
X"f3",
X"30",
X"ba",
X"20",
X"93",
X"ab",
X"a9",
X"00",
X"85",
X"f2",
X"a5",
X"d6",
X"d0",
X"04",
X"c6",
X"d7",
X"30",
X"ab",
X"c6",
X"d6",
X"a4",
X"f2",
X"b1",
X"d4",
X"e6",
X"f2",
X"d0",
X"02",
X"e6",
X"d5",
X"20",
X"91",
X"b4",
X"4c",
X"2f",
X"b4",
X"a4",
X"94",
X"c8",
X"c4",
X"af",
X"90",
X"09",
X"18",
X"a5",
X"c9",
X"65",
X"af",
X"85",
X"af",
X"90",
X"f0",
X"a4",
X"94",
X"c4",
X"af",
X"b0",
X"15",
X"a9",
X"20",
X"20",
X"8f",
X"b4",
X"4c",
X"59",
X"b4",
X"4c",
X"85",
X"b4",
X"20",
X"07",
X"bd",
X"85",
X"b5",
X"c6",
X"a8",
X"4c",
X"e2",
X"b3",
X"e6",
X"a8",
X"a4",
X"a8",
X"b1",
X"8a",
X"c9",
X"16",
X"f0",
X"0c",
X"c9",
X"14",
X"f0",
X"08",
X"4c",
X"e2",
X"b3",
X"a9",
X"9b",
X"20",
X"91",
X"b4",
X"a9",
X"00",
X"85",
X"b5",
X"60",
X"29",
X"7f",
X"e6",
X"94",
X"4c",
X"99",
X"ba",
X"a9",
X"b2",
X"85",
X"f3",
X"a9",
X"b4",
X"85",
X"f4",
X"a2",
X"07",
X"86",
X"b5",
X"a9",
X"00",
X"a0",
X"08",
X"20",
X"d8",
X"bb",
X"20",
X"bb",
X"bc",
X"20",
X"da",
X"b3",
X"4c",
X"f7",
X"bc",
X"50",
X"3a",
X"9b",
X"a0",
X"00",
X"84",
X"a0",
X"84",
X"a1",
X"88",
X"84",
X"ad",
X"a9",
X"7f",
X"85",
X"ae",
X"8d",
X"fe",
X"02",
X"a9",
X"9b",
X"20",
X"99",
X"ba",
X"20",
X"f9",
X"b6",
X"a4",
X"a8",
X"c8",
X"c4",
X"a7",
X"b0",
X"2d",
X"a5",
X"a8",
X"48",
X"20",
X"06",
X"ac",
X"68",
X"85",
X"a8",
X"a5",
X"d2",
X"10",
X"06",
X"20",
X"cf",
X"ba",
X"4c",
X"cd",
X"b4",
X"20",
X"cd",
X"ab",
X"85",
X"a1",
X"a5",
X"d4",
X"85",
X"a0",
X"a4",
X"a8",
X"c4",
X"a7",
X"f0",
X"03",
X"20",
X"cd",
X"ab",
X"a5",
X"d4",
X"85",
X"ad",
X"a5",
X"d5",
X"85",
X"ae",
X"20",
X"a2",
X"a9",
X"20",
X"e1",
X"a9",
X"30",
X"24",
X"a0",
X"01",
X"b1",
X"8a",
X"c5",
X"ae",
X"90",
X"0b",
X"d0",
X"1a",
X"88",
X"b1",
X"8a",
X"c5",
X"ad",
X"90",
X"02",
X"d0",
X"11",
X"20",
X"8e",
X"b5",
X"20",
X"f2",
X"a9",
X"f0",
X"09",
X"20",
X"dc",
X"a9",
X"20",
X"d0",
X"a9",
X"4c",
X"04",
X"b5",
X"a5",
X"b5",
X"f0",
X"07",
X"20",
X"f7",
X"bc",
X"a9",
X"00",
X"85",
X"b5",
X"8d",
X"fe",
X"02",
X"4c",
X"a8",
X"bd",
X"86",
X"aa",
X"20",
X"62",
X"b5",
X"a4",
X"aa",
X"c6",
X"af",
X"30",
X"0e",
X"b1",
X"95",
X"30",
X"03",
X"c8",
X"d0",
X"f9",
X"c8",
X"20",
X"57",
X"b5",
X"4c",
X"43",
X"b5",
X"18",
X"98",
X"65",
X"95",
X"85",
X"95",
X"a8",
X"a5",
X"96",
X"69",
X"00",
X"85",
X"96",
X"84",
X"95",
X"60",
X"a0",
X"ff",
X"84",
X"af",
X"e6",
X"af",
X"a4",
X"af",
X"b1",
X"95",
X"48",
X"c9",
X"9b",
X"f0",
X"04",
X"29",
X"7f",
X"f0",
X"03",
X"20",
X"99",
X"ba",
X"68",
X"10",
X"eb",
X"60",
X"a9",
X"20",
X"20",
X"99",
X"ba",
X"20",
X"67",
X"b5",
X"a9",
X"20",
X"4c",
X"99",
X"ba",
X"a0",
X"00",
X"b1",
X"8a",
X"85",
X"d4",
X"c8",
X"b1",
X"8a",
X"85",
X"d5",
X"20",
X"aa",
X"d9",
X"20",
X"e6",
X"d8",
X"a5",
X"f3",
X"85",
X"95",
X"a5",
X"f4",
X"85",
X"96",
X"20",
X"86",
X"b5",
X"a0",
X"02",
X"b1",
X"8a",
X"85",
X"9f",
X"c8",
X"b1",
X"8a",
X"85",
X"a7",
X"c8",
X"84",
X"a8",
X"20",
X"c2",
X"b5",
X"a4",
X"a7",
X"c4",
X"9f",
X"90",
X"f0",
X"60",
X"20",
X"63",
X"b6",
X"c9",
X"36",
X"f0",
X"17",
X"20",
X"6f",
X"b6",
X"20",
X"63",
X"b6",
X"c9",
X"37",
X"f0",
X"04",
X"c9",
X"02",
X"b0",
X"09",
X"20",
X"61",
X"b6",
X"20",
X"99",
X"ba",
X"4c",
X"d7",
X"b5",
X"20",
X"61",
X"b6",
X"10",
X"1a",
X"29",
X"7f",
X"85",
X"af",
X"a2",
X"00",
X"a5",
X"83",
X"a4",
X"82",
X"20",
X"3e",
X"b5",
X"20",
X"67",
X"b5",
X"c9",
X"a8",
X"d0",
X"e7",
X"20",
X"61",
X"b6",
X"4c",
X"e0",
X"b5",
X"c9",
X"0f",
X"f0",
X"18",
X"b0",
X"36",
X"20",
X"45",
X"ab",
X"c6",
X"a8",
X"20",
X"e6",
X"d8",
X"a5",
X"f3",
X"85",
X"95",
X"a5",
X"f4",
X"85",
X"96",
X"20",
X"67",
X"b5",
X"4c",
X"e0",
X"b5",
X"20",
X"61",
X"b6",
X"85",
X"af",
X"a9",
X"22",
X"20",
X"99",
X"ba",
X"a5",
X"af",
X"f0",
X"0a",
X"20",
X"61",
X"b6",
X"20",
X"99",
X"ba",
X"c6",
X"af",
X"d0",
X"f6",
X"a9",
X"22",
X"20",
X"99",
X"ba",
X"4c",
X"e0",
X"b5",
X"38",
X"e9",
X"10",
X"85",
X"af",
X"a2",
X"00",
X"a9",
X"a7",
X"a0",
X"de",
X"20",
X"3e",
X"b5",
X"20",
X"63",
X"b6",
X"c9",
X"3d",
X"b0",
X"c5",
X"a0",
X"00",
X"b1",
X"95",
X"29",
X"7f",
X"20",
X"ec",
X"a3",
X"b0",
X"ba",
X"20",
X"81",
X"b5",
X"4c",
X"e0",
X"b5",
X"e6",
X"a8",
X"a4",
X"a8",
X"c4",
X"a7",
X"b0",
X"03",
X"b1",
X"8a",
X"60",
X"68",
X"68",
X"60",
X"85",
X"af",
X"a2",
X"02",
X"a9",
X"a4",
X"a0",
X"9f",
X"20",
X"3e",
X"b5",
X"4c",
X"86",
X"b5",
X"20",
X"83",
X"b8",
X"20",
X"da",
X"aa",
X"a5",
X"d3",
X"09",
X"80",
X"48",
X"20",
X"23",
X"b8",
X"a9",
X"0c",
X"20",
X"71",
X"b8",
X"20",
X"06",
X"ac",
X"a2",
X"d4",
X"a0",
X"00",
X"20",
X"88",
X"b8",
X"20",
X"44",
X"da",
X"a9",
X"01",
X"85",
X"d5",
X"a9",
X"40",
X"85",
X"d4",
X"20",
X"04",
X"b9",
X"b0",
X"03",
X"20",
X"06",
X"ac",
X"a2",
X"d4",
X"a0",
X"06",
X"20",
X"88",
X"b8",
X"68",
X"48",
X"a9",
X"04",
X"20",
X"71",
X"b8",
X"68",
X"a0",
X"00",
X"91",
X"c4",
X"b1",
X"8a",
X"c8",
X"91",
X"c4",
X"b1",
X"8a",
X"c8",
X"91",
X"c4",
X"a6",
X"b3",
X"ca",
X"8a",
X"c8",
X"91",
X"c4",
X"60",
X"20",
X"f9",
X"b6",
X"20",
X"cd",
X"ab",
X"a5",
X"d5",
X"85",
X"a1",
X"a5",
X"d4",
X"85",
X"a0",
X"20",
X"a2",
X"a9",
X"b0",
X"05",
X"68",
X"68",
X"4c",
X"5e",
X"a9",
X"20",
X"f0",
X"b6",
X"20",
X"1c",
X"b9",
X"a5",
X"be",
X"85",
X"8a",
X"a5",
X"bf",
X"85",
X"8b",
X"60",
X"20",
X"83",
X"b8",
X"a9",
X"00",
X"f0",
X"b5",
X"a4",
X"a8",
X"b1",
X"8a",
X"85",
X"c7",
X"20",
X"3e",
X"b8",
X"b0",
X"3e",
X"f0",
X"3c",
X"c5",
X"c7",
X"d0",
X"f5",
X"a0",
X"06",
X"20",
X"97",
X"b8",
X"a5",
X"e0",
X"48",
X"a5",
X"c7",
X"20",
X"81",
X"ab",
X"20",
X"26",
X"ad",
X"20",
X"0c",
X"ac",
X"a0",
X"00",
X"20",
X"97",
X"b8",
X"68",
X"10",
X"06",
X"20",
X"20",
X"ad",
X"10",
X"09",
X"60",
X"20",
X"20",
X"ad",
X"f0",
X"03",
X"30",
X"01",
X"60",
X"a9",
X"10",
X"20",
X"71",
X"b8",
X"20",
X"cb",
X"bd",
X"c9",
X"08",
X"f0",
X"f3",
X"4c",
X"c2",
X"bd",
X"20",
X"1a",
X"b9",
X"20",
X"04",
X"b9",
X"b0",
X"03",
X"20",
X"f7",
X"ba",
X"ea",
X"a9",
X"00",
X"85",
X"a0",
X"85",
X"a1",
X"20",
X"16",
X"b8",
X"20",
X"e1",
X"a9",
X"30",
X"12",
X"20",
X"f1",
X"b8",
X"20",
X"b9",
X"b8",
X"20",
X"a8",
X"b8",
X"a9",
X"00",
X"85",
X"b7",
X"85",
X"b8",
X"85",
X"b6",
X"60",
X"4c",
X"50",
X"a0",
X"20",
X"06",
X"ac",
X"a5",
X"d5",
X"f0",
X"08",
X"20",
X"04",
X"b9",
X"b0",
X"07",
X"4c",
X"d5",
X"b6",
X"a5",
X"9f",
X"85",
X"a7",
X"60",
X"20",
X"a6",
X"b7",
X"4c",
X"50",
X"a0",
X"20",
X"a6",
X"b7",
X"20",
X"79",
X"bd",
X"a9",
X"fd",
X"85",
X"95",
X"a9",
X"a5",
X"85",
X"96",
X"20",
X"67",
X"b5",
X"4c",
X"68",
X"b9",
X"20",
X"e1",
X"a9",
X"30",
X"07",
X"85",
X"bb",
X"88",
X"b1",
X"8a",
X"85",
X"ba",
X"4c",
X"5b",
X"bd",
X"20",
X"e1",
X"a9",
X"10",
X"f8",
X"a5",
X"ba",
X"85",
X"a0",
X"a5",
X"bb",
X"85",
X"a1",
X"20",
X"a2",
X"a9",
X"20",
X"e1",
X"a9",
X"30",
X"ab",
X"20",
X"dc",
X"a9",
X"20",
X"d0",
X"a9",
X"20",
X"e1",
X"a9",
X"30",
X"a0",
X"4c",
X"19",
X"b8",
X"20",
X"d7",
X"ab",
X"a5",
X"d4",
X"85",
X"bc",
X"a5",
X"d5",
X"85",
X"bd",
X"60",
X"20",
X"83",
X"b8",
X"20",
X"e0",
X"ab",
X"a5",
X"d4",
X"f0",
X"23",
X"a4",
X"a8",
X"88",
X"b1",
X"8a",
X"c9",
X"17",
X"08",
X"f0",
X"03",
X"20",
X"fc",
X"b6",
X"a5",
X"d4",
X"85",
X"b3",
X"20",
X"cd",
X"ab",
X"c6",
X"b3",
X"f0",
X"0c",
X"20",
X"04",
X"b9",
X"90",
X"f4",
X"28",
X"f0",
X"03",
X"20",
X"3e",
X"b8",
X"60",
X"28",
X"4c",
X"d8",
X"b6",
X"20",
X"a2",
X"a9",
X"a0",
X"02",
X"b1",
X"8a",
X"85",
X"9f",
X"c8",
X"84",
X"a7",
X"60",
X"85",
X"c7",
X"20",
X"7a",
X"b8",
X"20",
X"3e",
X"b8",
X"b0",
X"08",
X"f0",
X"06",
X"c5",
X"c7",
X"f0",
X"0a",
X"d0",
X"f3",
X"a5",
X"c4",
X"85",
X"90",
X"a5",
X"c5",
X"85",
X"91",
X"60",
X"a5",
X"8f",
X"c5",
X"91",
X"90",
X"06",
X"a5",
X"8e",
X"c5",
X"90",
X"b0",
X"f3",
X"a9",
X"04",
X"a2",
X"90",
X"20",
X"f7",
X"a8",
X"a0",
X"03",
X"b1",
X"90",
X"85",
X"b2",
X"88",
X"b1",
X"90",
X"85",
X"a1",
X"88",
X"b1",
X"90",
X"85",
X"a0",
X"88",
X"b1",
X"90",
X"f0",
X"09",
X"48",
X"a9",
X"0c",
X"a2",
X"90",
X"20",
X"f7",
X"a8",
X"68",
X"18",
X"60",
X"20",
X"7a",
X"b8",
X"a8",
X"a2",
X"90",
X"4c",
X"7a",
X"a8",
X"a6",
X"90",
X"86",
X"c4",
X"a6",
X"91",
X"86",
X"c5",
X"60",
X"a4",
X"a8",
X"84",
X"b3",
X"60",
X"a9",
X"06",
X"85",
X"c6",
X"b5",
X"00",
X"91",
X"c4",
X"e8",
X"c8",
X"c6",
X"c6",
X"d0",
X"f6",
X"60",
X"a9",
X"06",
X"85",
X"c6",
X"a2",
X"e0",
X"b1",
X"90",
X"95",
X"00",
X"e8",
X"c8",
X"c6",
X"c6",
X"d0",
X"f6",
X"60",
X"a5",
X"8c",
X"85",
X"8e",
X"85",
X"90",
X"85",
X"0e",
X"a5",
X"8d",
X"85",
X"8f",
X"85",
X"91",
X"85",
X"0f",
X"60",
X"a6",
X"86",
X"86",
X"f5",
X"a4",
X"87",
X"84",
X"f6",
X"a6",
X"f6",
X"e4",
X"89",
X"90",
X"07",
X"a6",
X"f5",
X"e4",
X"88",
X"90",
X"01",
X"60",
X"a0",
X"00",
X"b1",
X"f5",
X"29",
X"fe",
X"91",
X"f5",
X"a0",
X"02",
X"a2",
X"06",
X"a9",
X"00",
X"91",
X"f5",
X"c8",
X"ca",
X"d0",
X"fa",
X"a5",
X"f5",
X"18",
X"69",
X"08",
X"85",
X"f5",
X"a5",
X"f6",
X"69",
X"00",
X"85",
X"f6",
X"d0",
X"d0",
X"a2",
X"05",
X"a0",
X"00",
X"94",
X"b6",
X"ca",
X"10",
X"fb",
X"84",
X"fb",
X"88",
X"84",
X"bd",
X"84",
X"11",
X"4c",
X"45",
X"bd",
X"a6",
X"a8",
X"e8",
X"e4",
X"a7",
X"60",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"e6",
X"b9",
X"a9",
X"00",
X"8d",
X"fe",
X"02",
X"20",
X"a6",
X"b7",
X"a5",
X"bd",
X"30",
X"15",
X"85",
X"a1",
X"a5",
X"bc",
X"85",
X"a0",
X"a9",
X"80",
X"85",
X"bd",
X"a5",
X"b9",
X"85",
X"c3",
X"a9",
X"00",
X"85",
X"b9",
X"4c",
X"e0",
X"b6",
X"20",
X"79",
X"bd",
X"a9",
X"37",
X"20",
X"6f",
X"b6",
X"a5",
X"b9",
X"85",
X"d4",
X"a9",
X"00",
X"85",
X"d5",
X"20",
X"93",
X"b9",
X"20",
X"e1",
X"a9",
X"30",
X"19",
X"a9",
X"a4",
X"85",
X"95",
X"a9",
X"b9",
X"85",
X"96",
X"20",
X"67",
X"b5",
X"a0",
X"01",
X"b1",
X"8a",
X"85",
X"d5",
X"88",
X"b1",
X"8a",
X"85",
X"d4",
X"20",
X"93",
X"b9",
X"20",
X"79",
X"bd",
X"a9",
X"00",
X"85",
X"b9",
X"20",
X"5b",
X"bd",
X"4c",
X"60",
X"a0",
X"20",
X"aa",
X"d9",
X"20",
X"e6",
X"d8",
X"a5",
X"f3",
X"85",
X"95",
X"a5",
X"f4",
X"85",
X"96",
X"4c",
X"67",
X"b5",
X"20",
X"41",
X"54",
X"20",
X"4c",
X"49",
X"4e",
X"45",
X"a0",
X"20",
X"e0",
X"ab",
X"a5",
X"d4",
X"c9",
X"05",
X"b0",
X"1a",
X"48",
X"20",
X"d7",
X"ab",
X"a5",
X"d4",
X"0a",
X"0a",
X"0a",
X"0a",
X"48",
X"20",
X"d7",
X"ab",
X"68",
X"18",
X"65",
X"d4",
X"a8",
X"68",
X"aa",
X"98",
X"9d",
X"c4",
X"02",
X"60",
X"20",
X"2e",
X"b9",
X"20",
X"e0",
X"ab",
X"a5",
X"d4",
X"c9",
X"04",
X"b0",
X"f4",
X"0a",
X"48",
X"a9",
X"00",
X"8d",
X"08",
X"d2",
X"a9",
X"03",
X"8d",
X"0f",
X"d2",
X"20",
X"d7",
X"ab",
X"68",
X"48",
X"aa",
X"a5",
X"d4",
X"9d",
X"00",
X"d2",
X"20",
X"d7",
X"ab",
X"a5",
X"d4",
X"0a",
X"0a",
X"0a",
X"0a",
X"48",
X"20",
X"d7",
X"ab",
X"68",
X"a8",
X"68",
X"aa",
X"98",
X"18",
X"65",
X"d4",
X"9d",
X"01",
X"d2",
X"60",
X"20",
X"d7",
X"ab",
X"a5",
X"d4",
X"85",
X"55",
X"a5",
X"d5",
X"85",
X"56",
X"20",
X"e0",
X"ab",
X"a5",
X"d4",
X"85",
X"54",
X"60",
X"20",
X"d7",
X"ab",
X"a5",
X"d4",
X"85",
X"c8",
X"60",
X"20",
X"0c",
X"ba",
X"a5",
X"c8",
X"8d",
X"fb",
X"02",
X"a9",
X"11",
X"a2",
X"06",
X"20",
X"be",
X"ba",
X"a9",
X"0c",
X"9d",
X"4a",
X"03",
X"a9",
X"00",
X"9d",
X"4b",
X"03",
X"20",
X"29",
X"bd",
X"4c",
X"bb",
X"bc",
X"a2",
X"06",
X"86",
X"c1",
X"20",
X"f7",
X"bc",
X"20",
X"d7",
X"ab",
X"a2",
X"69",
X"a0",
X"ba",
X"86",
X"f3",
X"84",
X"f4",
X"a2",
X"06",
X"a5",
X"d4",
X"29",
X"f0",
X"49",
X"1c",
X"a8",
X"a5",
X"d4",
X"20",
X"d8",
X"bb",
X"4c",
X"bb",
X"bc",
X"53",
X"3a",
X"9b",
X"20",
X"0c",
X"ba",
X"a5",
X"c8",
X"a2",
X"06",
X"4c",
X"9b",
X"ba",
X"38",
X"b5",
X"00",
X"29",
X"7f",
X"e9",
X"40",
X"90",
X"19",
X"85",
X"f5",
X"85",
X"f7",
X"8a",
X"65",
X"f5",
X"e8",
X"e8",
X"e8",
X"e8",
X"e8",
X"e8",
X"86",
X"f5",
X"aa",
X"e8",
X"e4",
X"f5",
X"b0",
X"04",
X"b5",
X"00",
X"f0",
X"f7",
X"60",
X"a6",
X"b5",
X"48",
X"20",
X"c0",
X"ba",
X"bd",
X"4a",
X"03",
X"85",
X"2a",
X"bd",
X"4b",
X"03",
X"85",
X"2b",
X"68",
X"a8",
X"20",
X"b2",
X"ba",
X"98",
X"4c",
X"be",
X"bc",
X"bd",
X"47",
X"03",
X"48",
X"bd",
X"46",
X"03",
X"48",
X"98",
X"a0",
X"92",
X"60",
X"85",
X"c0",
X"86",
X"c1",
X"4c",
X"af",
X"bc",
X"a9",
X"04",
X"20",
X"d7",
X"ba",
X"85",
X"b4",
X"4c",
X"60",
X"a0",
X"a9",
X"08",
X"20",
X"d7",
X"ba",
X"85",
X"b5",
X"60",
X"48",
X"a0",
X"07",
X"84",
X"c1",
X"20",
X"af",
X"bc",
X"a9",
X"0c",
X"20",
X"2b",
X"bd",
X"a0",
X"03",
X"84",
X"c0",
X"68",
X"a0",
X"00",
X"20",
X"02",
X"bc",
X"a9",
X"07",
X"60",
X"40",
X"02",
X"00",
X"00",
X"00",
X"00",
X"a9",
X"ff",
X"d0",
X"02",
X"a9",
X"00",
X"48",
X"a9",
X"04",
X"20",
X"d7",
X"ba",
X"68",
X"48",
X"a9",
X"07",
X"85",
X"c0",
X"85",
X"ca",
X"20",
X"af",
X"bc",
X"a0",
X"0e",
X"20",
X"15",
X"bd",
X"20",
X"bb",
X"bc",
X"ad",
X"80",
X"05",
X"0d",
X"81",
X"05",
X"d0",
X"3f",
X"a2",
X"8c",
X"18",
X"a5",
X"80",
X"7d",
X"00",
X"05",
X"08",
X"18",
X"69",
X"00",
X"a8",
X"a5",
X"81",
X"7d",
X"01",
X"05",
X"28",
X"69",
X"00",
X"cd",
X"e6",
X"02",
X"90",
X"0a",
X"d0",
X"05",
X"cc",
X"e5",
X"02",
X"90",
X"03",
X"4c",
X"0e",
X"b9",
X"95",
X"01",
X"94",
X"00",
X"ca",
X"ca",
X"e0",
X"82",
X"b0",
X"d4",
X"20",
X"98",
X"bb",
X"20",
X"66",
X"b7",
X"a9",
X"00",
X"85",
X"ca",
X"68",
X"f0",
X"01",
X"60",
X"4c",
X"50",
X"a0",
X"a9",
X"00",
X"85",
X"ca",
X"20",
X"0a",
X"b9",
X"a9",
X"04",
X"20",
X"b4",
X"bb",
X"a9",
X"00",
X"f0",
X"97",
X"a9",
X"08",
X"20",
X"d7",
X"ba",
X"a9",
X"0b",
X"85",
X"c0",
X"a2",
X"80",
X"38",
X"b5",
X"00",
X"e5",
X"80",
X"9d",
X"00",
X"05",
X"e8",
X"b5",
X"00",
X"e5",
X"81",
X"9d",
X"00",
X"05",
X"e8",
X"e0",
X"8e",
X"90",
X"eb",
X"20",
X"af",
X"bc",
X"a0",
X"0e",
X"20",
X"15",
X"bd",
X"20",
X"bb",
X"bc",
X"20",
X"af",
X"bc",
X"a5",
X"82",
X"85",
X"f3",
X"a5",
X"83",
X"85",
X"f4",
X"ac",
X"8d",
X"05",
X"88",
X"98",
X"ac",
X"8c",
X"05",
X"20",
X"17",
X"bd",
X"20",
X"bb",
X"bc",
X"4c",
X"f7",
X"bc",
X"ea",
X"ea",
X"48",
X"a2",
X"ce",
X"86",
X"f3",
X"a2",
X"bb",
X"86",
X"f4",
X"a2",
X"07",
X"68",
X"a8",
X"a9",
X"80",
X"20",
X"d8",
X"bb",
X"20",
X"bb",
X"bc",
X"a9",
X"07",
X"60",
X"43",
X"3a",
X"9b",
X"a9",
X"08",
X"20",
X"b4",
X"bb",
X"d0",
X"9a",
X"48",
X"a9",
X"03",
X"20",
X"be",
X"ba",
X"68",
X"9d",
X"4b",
X"03",
X"98",
X"9d",
X"4a",
X"03",
X"20",
X"1e",
X"bd",
X"4c",
X"51",
X"da",
X"20",
X"09",
X"bd",
X"4c",
X"f4",
X"bb",
X"a9",
X"03",
X"85",
X"c0",
X"20",
X"a8",
X"bc",
X"20",
X"09",
X"bd",
X"48",
X"20",
X"09",
X"bd",
X"a8",
X"68",
X"48",
X"98",
X"48",
X"20",
X"da",
X"aa",
X"20",
X"7d",
X"bd",
X"20",
X"af",
X"bc",
X"68",
X"9d",
X"4b",
X"03",
X"68",
X"9d",
X"4a",
X"03",
X"20",
X"0f",
X"bd",
X"20",
X"9d",
X"bd",
X"20",
X"51",
X"da",
X"4c",
X"bb",
X"bc",
X"a9",
X"0c",
X"85",
X"c0",
X"20",
X"a8",
X"bc",
X"20",
X"29",
X"bd",
X"4c",
X"bb",
X"bc",
X"20",
X"a8",
X"bc",
X"a9",
X"0d",
X"20",
X"2b",
X"bd",
X"20",
X"00",
X"bd",
X"4c",
X"31",
X"bd",
X"a9",
X"26",
X"20",
X"24",
X"bc",
X"bd",
X"4c",
X"03",
X"bc",
X"4d",
X"03",
X"20",
X"33",
X"bd",
X"20",
X"af",
X"bc",
X"bd",
X"4e",
X"03",
X"4c",
X"31",
X"bd",
X"20",
X"a8",
X"bc",
X"20",
X"cd",
X"ab",
X"20",
X"af",
X"bc",
X"a5",
X"d4",
X"9d",
X"4c",
X"03",
X"a5",
X"d5",
X"9d",
X"4d",
X"03",
X"20",
X"cd",
X"ab",
X"20",
X"af",
X"bc",
X"a5",
X"d4",
X"9d",
X"4e",
X"03",
X"a9",
X"25",
X"85",
X"c0",
X"d0",
X"b1",
X"20",
X"a8",
X"bc",
X"20",
X"d7",
X"ab",
X"a5",
X"d4",
X"a6",
X"c1",
X"4c",
X"9b",
X"ba",
X"20",
X"51",
X"da",
X"20",
X"a8",
X"bc",
X"a9",
X"07",
X"85",
X"c0",
X"a0",
X"01",
X"20",
X"15",
X"bd",
X"20",
X"bb",
X"bc",
X"a0",
X"00",
X"b1",
X"f3",
X"4c",
X"31",
X"bd",
X"20",
X"0c",
X"ba",
X"a2",
X"06",
X"20",
X"c0",
X"ba",
X"d0",
X"e3",
X"20",
X"07",
X"bd",
X"85",
X"c1",
X"f0",
X"09",
X"a5",
X"c1",
X"0a",
X"0a",
X"0a",
X"0a",
X"aa",
X"10",
X"4e",
X"20",
X"0c",
X"b9",
X"20",
X"00",
X"bd",
X"10",
X"46",
X"a0",
X"00",
X"8c",
X"fe",
X"02",
X"c9",
X"80",
X"d0",
X"09",
X"84",
X"11",
X"a5",
X"ca",
X"f0",
X"37",
X"4c",
X"00",
X"a0",
X"a4",
X"c1",
X"c9",
X"88",
X"f0",
X"0f",
X"85",
X"b9",
X"c0",
X"07",
X"d0",
X"03",
X"20",
X"f7",
X"bc",
X"20",
X"5b",
X"bd",
X"4c",
X"34",
X"b9",
X"c0",
X"07",
X"d0",
X"ed",
X"a2",
X"5d",
X"e4",
X"c2",
X"d0",
X"e7",
X"20",
X"f7",
X"bc",
X"4c",
X"53",
X"a0",
X"20",
X"af",
X"bc",
X"f0",
X"0a",
X"a9",
X"0c",
X"d0",
X"2b",
X"20",
X"af",
X"bc",
X"bd",
X"43",
X"03",
X"60",
X"e6",
X"a8",
X"20",
X"cd",
X"ab",
X"a5",
X"d4",
X"60",
X"a0",
X"ff",
X"d0",
X"02",
X"a0",
X"00",
X"a9",
X"00",
X"9d",
X"49",
X"03",
X"98",
X"9d",
X"48",
X"03",
X"a5",
X"f4",
X"a4",
X"f3",
X"9d",
X"45",
X"03",
X"98",
X"9d",
X"44",
X"03",
X"a5",
X"c0",
X"9d",
X"42",
X"03",
X"4c",
X"56",
X"e4",
X"a0",
X"00",
X"48",
X"98",
X"48",
X"20",
X"06",
X"ac",
X"68",
X"85",
X"d5",
X"68",
X"85",
X"d4",
X"20",
X"aa",
X"d9",
X"4c",
X"0c",
X"ac",
X"a9",
X"00",
X"a2",
X"07",
X"9d",
X"00",
X"d2",
X"ca",
X"d0",
X"fa",
X"a0",
X"07",
X"84",
X"c1",
X"20",
X"f7",
X"bc",
X"c6",
X"c1",
X"d0",
X"f9",
X"60",
X"a9",
X"00",
X"85",
X"b4",
X"85",
X"b5",
X"60",
X"a2",
X"06",
X"86",
X"f2",
X"bd",
X"72",
X"bd",
X"20",
X"99",
X"ba",
X"a6",
X"f2",
X"ca",
X"10",
X"f3",
X"60",
X"9b",
X"59",
X"44",
X"41",
X"45",
X"52",
X"9b",
X"a2",
X"00",
X"f0",
X"e7",
X"20",
X"90",
X"ab",
X"a5",
X"d4",
X"85",
X"f3",
X"a5",
X"d5",
X"85",
X"f4",
X"a4",
X"d6",
X"a6",
X"d7",
X"f0",
X"02",
X"a0",
X"ff",
X"b1",
X"f3",
X"85",
X"97",
X"84",
X"98",
X"a9",
X"9b",
X"91",
X"f3",
X"85",
X"92",
X"60",
X"a4",
X"98",
X"a5",
X"97",
X"91",
X"f3",
X"a9",
X"00",
X"85",
X"92",
X"60",
X"20",
X"3e",
X"b8",
X"b0",
X"1b",
X"d0",
X"f9",
X"20",
X"cb",
X"bd",
X"c9",
X"0c",
X"f0",
X"24",
X"c9",
X"1e",
X"f0",
X"20",
X"c9",
X"04",
X"f0",
X"1c",
X"c9",
X"22",
X"f0",
X"18",
X"20",
X"f0",
X"b6",
X"20",
X"16",
X"b9",
X"20",
X"14",
X"b9",
X"20",
X"16",
X"b8",
X"b0",
X"f2",
X"a4",
X"b2",
X"88",
X"b1",
X"8a",
X"85",
X"a7",
X"c8",
X"b1",
X"8a",
X"60",
X"a6",
X"b4",
X"d0",
X"0e",
X"a9",
X"9b",
X"20",
X"99",
X"ba",
X"a6",
X"b4",
X"d0",
X"05",
X"a5",
X"c2",
X"20",
X"99",
X"ba",
X"a6",
X"b4",
X"a9",
X"05",
X"20",
X"be",
X"ba",
X"20",
X"0f",
X"bd",
X"4c",
X"bb",
X"bc",
X"20",
X"fd",
X"ab",
X"20",
X"26",
X"ad",
X"4c",
X"b2",
X"ab",
X"38",
X"60",
X"a9",
X"04",
X"24",
X"d4",
X"10",
X"06",
X"a9",
X"02",
X"d0",
X"02",
X"a9",
X"01",
X"85",
X"f0",
X"a5",
X"d4",
X"29",
X"7f",
X"85",
X"d4",
X"a9",
X"bd",
X"18",
X"65",
X"fb",
X"aa",
X"a0",
X"be",
X"20",
X"98",
X"dd",
X"20",
X"28",
X"db",
X"90",
X"01",
X"60",
X"a5",
X"d4",
X"29",
X"7f",
X"38",
X"e9",
X"40",
X"30",
X"2b",
X"c9",
X"04",
X"10",
X"cc",
X"aa",
X"b5",
X"d5",
X"85",
X"f1",
X"29",
X"10",
X"f0",
X"02",
X"a9",
X"02",
X"18",
X"65",
X"f1",
X"29",
X"03",
X"65",
X"f0",
X"85",
X"f0",
X"86",
X"f1",
X"20",
X"b6",
X"dd",
X"a6",
X"f1",
X"a9",
X"00",
X"95",
X"e2",
X"e8",
X"e0",
X"03",
X"90",
X"f9",
X"20",
X"60",
X"da",
X"46",
X"f0",
X"90",
X"0d",
X"20",
X"b6",
X"dd",
X"a2",
X"cf",
X"a0",
X"be",
X"20",
X"89",
X"dd",
X"20",
X"60",
X"da",
X"a2",
X"e6",
X"a0",
X"05",
X"20",
X"a7",
X"dd",
X"20",
X"b6",
X"dd",
X"20",
X"db",
X"da",
X"b0",
X"85",
X"a9",
X"06",
X"a2",
X"9f",
X"a0",
X"be",
X"20",
X"40",
X"dd",
X"a2",
X"e6",
X"a0",
X"05",
X"20",
X"98",
X"dd",
X"20",
X"db",
X"da",
X"46",
X"f0",
X"90",
X"09",
X"18",
X"a5",
X"d4",
X"f0",
X"04",
X"49",
X"80",
X"85",
X"d4",
X"60",
X"bd",
X"03",
X"55",
X"14",
X"99",
X"39",
X"3e",
X"01",
X"60",
X"44",
X"27",
X"52",
X"be",
X"46",
X"81",
X"75",
X"43",
X"55",
X"3f",
X"07",
X"96",
X"92",
X"62",
X"39",
X"bf",
X"64",
X"59",
X"64",
X"08",
X"67",
X"40",
X"01",
X"57",
X"07",
X"96",
X"32",
X"40",
X"90",
X"00",
X"00",
X"00",
X"00",
X"3f",
X"01",
X"74",
X"53",
X"29",
X"25",
X"40",
X"01",
X"00",
X"00",
X"00",
X"00",
X"a9",
X"00",
X"85",
X"f0",
X"85",
X"f1",
X"a5",
X"d4",
X"29",
X"7f",
X"c9",
X"40",
X"30",
X"15",
X"a5",
X"d4",
X"29",
X"80",
X"85",
X"f0",
X"e6",
X"f1",
X"a9",
X"7f",
X"25",
X"d4",
X"85",
X"d4",
X"a2",
X"ea",
X"a0",
X"df",
X"20",
X"95",
X"de",
X"a2",
X"e6",
X"a0",
X"05",
X"20",
X"a7",
X"dd",
X"20",
X"b6",
X"dd",
X"20",
X"db",
X"da",
X"b0",
X"39",
X"a9",
X"0b",
X"a2",
X"ae",
X"a0",
X"df",
X"20",
X"40",
X"dd",
X"b0",
X"2e",
X"a2",
X"e6",
X"a0",
X"05",
X"20",
X"98",
X"dd",
X"20",
X"db",
X"da",
X"b0",
X"22",
X"a5",
X"f1",
X"f0",
X"10",
X"a2",
X"f0",
X"a0",
X"df",
X"20",
X"98",
X"dd",
X"20",
X"66",
X"da",
X"a5",
X"f0",
X"05",
X"d4",
X"85",
X"d4",
X"a5",
X"fb",
X"f0",
X"0a",
X"a2",
X"c9",
X"a0",
X"be",
X"20",
X"98",
X"dd",
X"20",
X"28",
X"db",
X"60",
X"38",
X"60",
X"a9",
X"00",
X"85",
X"f1",
X"a5",
X"d4",
X"30",
X"f6",
X"c9",
X"3f",
X"f0",
X"17",
X"18",
X"69",
X"01",
X"85",
X"f1",
X"85",
X"e0",
X"a9",
X"01",
X"85",
X"e1",
X"a2",
X"04",
X"a9",
X"00",
X"95",
X"e2",
X"ca",
X"10",
X"fb",
X"20",
X"28",
X"db",
X"a9",
X"06",
X"85",
X"ef",
X"a2",
X"e6",
X"a0",
X"05",
X"20",
X"a7",
X"dd",
X"20",
X"b6",
X"dd",
X"a2",
X"f1",
X"a0",
X"ba",
X"20",
X"89",
X"dd",
X"20",
X"60",
X"da",
X"a2",
X"e6",
X"a0",
X"05",
X"20",
X"98",
X"dd",
X"20",
X"db",
X"da",
X"a2",
X"ec",
X"a0",
X"05",
X"20",
X"a7",
X"dd",
X"20",
X"b6",
X"dd",
X"a2",
X"e6",
X"a0",
X"05",
X"20",
X"89",
X"dd",
X"20",
X"28",
X"db",
X"a2",
X"ec",
X"a0",
X"05",
X"20",
X"98",
X"dd",
X"20",
X"60",
X"da",
X"a2",
X"6c",
X"a0",
X"df",
X"20",
X"98",
X"dd",
X"20",
X"db",
X"da",
X"a5",
X"d4",
X"f0",
X"0e",
X"a2",
X"ec",
X"a0",
X"05",
X"20",
X"98",
X"dd",
X"20",
X"66",
X"da",
X"c6",
X"ef",
X"10",
X"c6",
X"a2",
X"ec",
X"a0",
X"05",
X"20",
X"89",
X"dd",
X"a5",
X"f1",
X"f0",
X"23",
X"38",
X"e9",
X"40",
X"18",
X"6a",
X"18",
X"69",
X"40",
X"29",
X"7f",
X"85",
X"e0",
X"a5",
X"f1",
X"6a",
X"a9",
X"01",
X"90",
X"02",
X"a9",
X"10",
X"85",
X"e1",
X"a2",
X"04",
X"a9",
X"00",
X"95",
X"e2",
X"ca",
X"10",
X"fb",
X"20",
X"db",
X"da",
X"60",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"00",
X"a0",
X"00",
X"05",
X"f0",
X"bf"
);
signal rdata:std_logic_vector(7 downto 0);
begin
rdata<=ROM(conv_integer(address));
process(clock)
begin
if(clock'event and clock='1')then
q<=rdata;
end if;
end process;
end syn;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/lvov-pk02-mips/src/host/VGA Console/mips_vram/mips_vram/simulation/data_gen.vhd
|
69
|
5024
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Data Generator
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: data_gen.vhd
--
-- Description:
-- Data Generator
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.BMG_TB_PKG.ALL;
ENTITY DATA_GEN IS
GENERIC ( DATA_GEN_WIDTH : INTEGER := 32;
DOUT_WIDTH : INTEGER := 32;
DATA_PART_CNT : INTEGER := 1;
SEED : INTEGER := 2
);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
EN : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR
);
END DATA_GEN;
ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS
CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8);
SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0);
SIGNAL LOCAL_CNT : INTEGER :=1;
SIGNAL DATA_GEN_I : STD_LOGIC :='0';
BEGIN
LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0);
DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH));
DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN;
PROCESS(CLK)
BEGIN
IF(RISING_EDGE (CLK)) THEN
IF(EN ='1' AND (DATA_PART_CNT =1)) THEN
LOCAL_CNT <=1;
ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN
IF(LOCAL_CNT = 1) THEN
LOCAL_CNT <= LOCAL_CNT+1;
ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN
LOCAL_CNT <= LOCAL_CNT+1;
ELSE
LOCAL_CNT <= 1;
END IF;
ELSE
LOCAL_CNT <= 1;
END IF;
END IF;
END PROCESS;
RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
RAND_GEN_INST:ENTITY work.RANDOM
GENERIC MAP(
WIDTH => 8,
SEED => (SEED+N)
)
PORT MAP(
CLK => CLK,
RST => RST,
EN => DATA_GEN_I,
RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N)
);
END GENERATE RAND_GEN;
END ARCHITECTURE;
|
gpl-3.0
|
ILoveSpeccy/Aeon-Lite
|
cores/lvov-pk02-mips/src/cham_rom/cham_rom/simulation/data_gen.vhd
|
69
|
5024
|
--------------------------------------------------------------------------------
--
-- BLK MEM GEN v7_3 Core - Data Generator
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: data_gen.vhd
--
-- Description:
-- Data Generator
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: Sep 12, 2011 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY work;
USE work.BMG_TB_PKG.ALL;
ENTITY DATA_GEN IS
GENERIC ( DATA_GEN_WIDTH : INTEGER := 32;
DOUT_WIDTH : INTEGER := 32;
DATA_PART_CNT : INTEGER := 1;
SEED : INTEGER := 2
);
PORT (
CLK : IN STD_LOGIC;
RST : IN STD_LOGIC;
EN : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR
);
END DATA_GEN;
ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS
CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8);
SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0);
SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0);
SIGNAL LOCAL_CNT : INTEGER :=1;
SIGNAL DATA_GEN_I : STD_LOGIC :='0';
BEGIN
LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0);
DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH));
DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN;
PROCESS(CLK)
BEGIN
IF(RISING_EDGE (CLK)) THEN
IF(EN ='1' AND (DATA_PART_CNT =1)) THEN
LOCAL_CNT <=1;
ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN
IF(LOCAL_CNT = 1) THEN
LOCAL_CNT <= LOCAL_CNT+1;
ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN
LOCAL_CNT <= LOCAL_CNT+1;
ELSE
LOCAL_CNT <= 1;
END IF;
ELSE
LOCAL_CNT <= 1;
END IF;
END IF;
END PROCESS;
RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE
RAND_GEN_INST:ENTITY work.RANDOM
GENERIC MAP(
WIDTH => 8,
SEED => (SEED+N)
)
PORT MAP(
CLK => CLK,
RST => RST,
EN => DATA_GEN_I,
RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N)
);
END GENERATE RAND_GEN;
END ARCHITECTURE;
|
gpl-3.0
|
peteut/nvc
|
test/lower/bounds1.vhd
|
4
|
413
|
entity bounds1 is
end entity;
architecture test of bounds1 is
type int_vec is array (natural range <>) of integer;
begin
process is
variable v : int_vec(0 to 9) := (others => 0);
variable k : integer range 0 to 9;
begin
assert v(k) = 1; -- Should elide
assert v(k + 1) = 1; -- Cannot elide
wait;
end process;
end architecture;
|
gpl-3.0
|
peteut/nvc
|
test/regress/textio2008.vhd
|
1
|
632
|
entity textio2008 is
end entity;
use std.textio.all;
architecture test of textio2008 is
begin
process is
file fptr : text;
variable l : line;
begin
file_open(fptr, "tmp.txt", WRITE_MODE);
write(l, string'("0123"));
tee(fptr, l);
write(l, string'("4567"));
tee(fptr, l);
assert l'length = 0;
file_close(fptr);
file_open(fptr, "tmp.txt", READ_MODE);
readline(fptr, l);
assert l.all = "0123";
readline(fptr, l);
assert l.all = "4567";
file_close(fptr);
wait;
end process;
end architecture;
|
gpl-3.0
|
peteut/nvc
|
test/elab/issue93.vhd
|
5
|
676
|
entity t is
generic(
ORDER : integer := 8
);
port(
clk : in bit;
reset : in bit
);
end entity t;
architecture RTL of t is
function calc_order(i:integer) return integer is
begin
if i mod 2 = 1 then
return i/2+1;
else
return i/2;
end if;
end function;
constant C_ORDER :integer:=calc_order(ORDER);
type t_48 is array (C_ORDER-1 downto 0) of bit_vector(47 downto 0);
signal a:t_48;
constant zero48 : bit_vector(47 downto 0):=(others=>'0');
begin
loop_gen: for i in 0 to C_ORDER-1 generate
a(i)<=zero48;
end generate;
end architecture RTL;
|
gpl-3.0
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.