repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
545/Atari7800
core/cpu6502_true_cycle/tags/arelease/rtl/vhdl/fsm_execution_unit.vhd
2
189939
-- VHDL Entity R6502_TC.FSM_Execution_Unit.symbol -- -- Created: -- by - eda.UNKNOWN (ENTWICKL4-XP-PR) -- at - 22:42:53 04.01.2009 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; entity FSM_Execution_Unit is port( adr_nxt_pc_i : in std_logic_vector (15 downto 0); adr_pc_i : in std_logic_vector (15 downto 0); adr_sp_i : in std_logic_vector (15 downto 0); clk_clk_i : in std_logic; d_alu_i : in std_logic_vector ( 7 downto 0 ); d_i : in std_logic_vector ( 7 downto 0 ); d_regs_out_i : in std_logic_vector ( 7 downto 0 ); irq_n_i : in std_logic; nmi_i : in std_logic; q_a_i : in std_logic_vector ( 7 downto 0 ); q_x_i : in std_logic_vector ( 7 downto 0 ); q_y_i : in std_logic_vector ( 7 downto 0 ); rdy_i : in std_logic; reg_0flag_i : in std_logic; reg_1flag_i : in std_logic; reg_7flag_i : in std_logic; rst_rst_n_i : in std_logic; so_n_i : in std_logic; a_o : out std_logic_vector (15 downto 0); adr_o : out std_logic_vector (15 downto 0); ch_a_o : out std_logic_vector ( 7 downto 0 ); ch_b_o : out std_logic_vector ( 7 downto 0 ); d_o : out std_logic_vector ( 7 downto 0 ); d_regs_in_o : out std_logic_vector ( 7 downto 0 ); fetch_o : out std_logic; ld_o : out std_logic_vector ( 1 downto 0 ); ld_pc_o : out std_logic; ld_sp_o : out std_logic; load_regs_o : out std_logic; offset_o : out std_logic_vector ( 15 downto 0 ); rd_o : out std_logic; sel_pc_as_o : out std_logic; sel_pc_in_o : out std_logic; sel_pc_val_o : out std_logic_vector ( 1 downto 0 ); sel_rb_in_o : out std_logic_vector ( 1 downto 0 ); sel_rb_out_o : out std_logic_vector ( 1 downto 0 ); sel_reg_o : out std_logic_vector ( 1 downto 0 ); sel_sp_as_o : out std_logic; sel_sp_in_o : out std_logic; sync_o : out std_logic; wr_n_o : out std_logic; wr_o : out std_logic ); -- Declarations end FSM_Execution_Unit ; -- Jens-D. Gutschmidt Project: R6502_TC -- [email protected] -- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG -- -- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- CVS Revisins History -- -- $Log: not supported by cvs2svn $ -- <<-- more -->> -- Title: FSM Execution Unit for all op codes -- Path: R6502_TC/FSM_Execution_Unit/fsm -- Edited: by eda on 04 Jan 2009 -- -- VHDL Architecture R6502_TC.FSM_Execution_Unit.fsm -- -- Created: -- by - eda.UNKNOWN (ENTWICKL4-XP-PR) -- at - 22:42:55 04.01.2009 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; architecture fsm of FSM_Execution_Unit is -- Architecture Declarations signal reg_F : std_logic_vector( 7 DOWNTO 0 ); signal reg_PC : std_logic_vector(15 DOWNTO 0); signal reg_PC1 : std_logic_vector( 15 DOWNTO 0 ); signal reg_sel_pc_as : std_logic; signal reg_sel_pc_in : std_logic; signal reg_sel_pc_val : std_logic_vector( 1 DOWNTO 0 ); signal reg_sel_rb_in : std_logic_vector( 1 DOWNTO 0 ); signal reg_sel_rb_out : std_logic_vector( 1 DOWNTO 0 ); signal reg_sel_reg : std_logic_vector( 1 DOWNTO 0 ); signal reg_sel_sp_as : std_logic; signal reg_sel_sp_in : std_logic; signal sig_D_OUT : std_logic_vector( 7 DOWNTO 0 ); signal sig_PC : std_logic_vector(15 DOWNTO 0); signal sig_RD : std_logic; signal sig_RWn : std_logic; signal sig_SYNC : std_logic; signal sig_WR : std_logic; signal zw_ALU : std_logic_vector( 8 DOWNTO 0 ); signal zw_ALU1 : std_logic_vector( 8 DOWNTO 0 ); signal zw_ALU2 : std_logic_vector( 8 DOWNTO 0 ); signal zw_ALU3 : std_logic_vector( 8 DOWNTO 0 ); signal zw_ALU4 : std_logic_vector( 8 DOWNTO 0 ); signal zw_ALU5 : std_logic_vector( 8 DOWNTO 0 ); signal zw_ALU6 : std_logic_vector( 8 DOWNTO 0 ); signal zw_PC : std_logic_vector( 15 DOWNTO 0 ); signal zw_REG_ALU : std_logic_vector( 8 DOWNTO 0 ); signal zw_REG_NMI : std_logic; signal zw_REG_OP : std_logic_vector( 7 DOWNTO 0 ); signal zw_REG_sig_PC : std_logic_vector(15 DOWNTO 0); signal zw_b1 : std_logic_vector( 7 DOWNTO 0 ); signal zw_b2 : std_logic_vector( 7 DOWNTO 0 ); signal zw_b3 : std_logic_vector( 7 DOWNTO 0 ); signal zw_b4 : std_logic_vector( 7 DOWNTO 0 ); signal zw_so : std_logic; signal zw_w1 : std_logic_vector( 15 DOWNTO 0 ); signal zw_w2 : std_logic_vector( 15 DOWNTO 0 ); signal zw_w3 : std_logic_vector( 15 DOWNTO 0 ); subtype state_type is std_logic_vector(7 downto 0); -- State vector declaration attribute state_vector : string; attribute state_vector of fsm : architecture is "current_state"; -- Hard encoding constant FETCH : state_type := "00000000"; constant s1 : state_type := "00000001"; constant s2 : state_type := "00000011"; constant s5 : state_type := "00000010"; constant s3 : state_type := "00000110"; constant s4 : state_type := "00000111"; constant s12 : state_type := "00000101"; constant s16 : state_type := "00000100"; constant s17 : state_type := "00001100"; constant s24 : state_type := "00001101"; constant s25 : state_type := "00001111"; constant s271 : state_type := "00001110"; constant s273 : state_type := "00001010"; constant s304 : state_type := "00001011"; constant s307 : state_type := "00001001"; constant s177 : state_type := "00001000"; constant s180 : state_type := "00011000"; constant s181 : state_type := "00011001"; constant s182 : state_type := "00011011"; constant s183 : state_type := "00011010"; constant s184 : state_type := "00011110"; constant s185 : state_type := "00011111"; constant s186 : state_type := "00011101"; constant s187 : state_type := "00011100"; constant s188 : state_type := "00010100"; constant s189 : state_type := "00010101"; constant s190 : state_type := "00010111"; constant s191 : state_type := "00010110"; constant s192 : state_type := "00010010"; constant s193 : state_type := "00010011"; constant s377 : state_type := "00010001"; constant s381 : state_type := "00010000"; constant s378 : state_type := "00110000"; constant s382 : state_type := "00110001"; constant s379 : state_type := "00110011"; constant s383 : state_type := "00110010"; constant s384 : state_type := "00110110"; constant s380 : state_type := "00110111"; constant s385 : state_type := "00110101"; constant s386 : state_type := "00110100"; constant s387 : state_type := "00111100"; constant s388 : state_type := "00111101"; constant s389 : state_type := "00111111"; constant s391 : state_type := "00111110"; constant s392 : state_type := "00111010"; constant s390 : state_type := "00111011"; constant s393 : state_type := "00111001"; constant s394 : state_type := "00111000"; constant s395 : state_type := "00101000"; constant s396 : state_type := "00101001"; constant s397 : state_type := "00101011"; constant s398 : state_type := "00101010"; constant s399 : state_type := "00101110"; constant s400 : state_type := "00101111"; constant s401 : state_type := "00101101"; constant s526 : state_type := "00101100"; constant s527 : state_type := "00100100"; constant s528 : state_type := "00100101"; constant s529 : state_type := "00100111"; constant s530 : state_type := "00100110"; constant s531 : state_type := "00100010"; constant s544 : state_type := "00100011"; constant s545 : state_type := "00100001"; constant s546 : state_type := "00100000"; constant s547 : state_type := "01100000"; constant s549 : state_type := "01100001"; constant s550 : state_type := "01100011"; constant s404 : state_type := "01100010"; constant s556 : state_type := "01100110"; constant s557 : state_type := "01100111"; constant s579 : state_type := "01100101"; constant s201 : state_type := "01100100"; constant s202 : state_type := "01101100"; constant s210 : state_type := "01101101"; constant s211 : state_type := "01101111"; constant s215 : state_type := "01101110"; constant s217 : state_type := "01101010"; constant s218 : state_type := "01101011"; constant s222 : state_type := "01101001"; constant s223 : state_type := "01101000"; constant s224 : state_type := "01111000"; constant s225 : state_type := "01111001"; constant s226 : state_type := "01111011"; constant s243 : state_type := "01111010"; constant s244 : state_type := "01111110"; constant s247 : state_type := "01111111"; constant s344 : state_type := "01111101"; constant s343 : state_type := "01111100"; constant s250 : state_type := "01110100"; constant s251 : state_type := "01110101"; constant s351 : state_type := "01110111"; constant s361 : state_type := "01110110"; constant s360 : state_type := "01110010"; constant s403 : state_type := "01110011"; constant s406 : state_type := "01110001"; constant s407 : state_type := "01110000"; constant s409 : state_type := "01010000"; constant s412 : state_type := "01010001"; constant s413 : state_type := "01010011"; constant s416 : state_type := "01010010"; constant s418 : state_type := "01010110"; constant s510 : state_type := "01010111"; constant s553 : state_type := "01010101"; constant s555 : state_type := "01010100"; constant s558 : state_type := "01011100"; constant s560 : state_type := "01011101"; constant s561 : state_type := "01011111"; constant s563 : state_type := "01011110"; constant s564 : state_type := "01011010"; constant s565 : state_type := "01011011"; constant s566 : state_type := "01011001"; constant s266 : state_type := "01011000"; constant s301 : state_type := "01001000"; constant s302 : state_type := "01001001"; constant RES : state_type := "01001011"; constant s511 : state_type := "01001010"; constant s559 : state_type := "01001110"; constant s562 : state_type := "01001111"; constant s567 : state_type := "01001101"; constant s568 : state_type := "01001100"; constant s569 : state_type := "01000100"; constant s570 : state_type := "01000101"; constant s571 : state_type := "01000111"; constant s572 : state_type := "01000110"; constant s573 : state_type := "01000010"; constant s574 : state_type := "01000011"; constant s548 : state_type := "01000001"; constant s551 : state_type := "01000000"; constant s552 : state_type := "11000000"; constant s575 : state_type := "11000001"; constant s576 : state_type := "11000011"; constant s577 : state_type := "11000010"; constant s532 : state_type := "11000110"; constant s533 : state_type := "11000111"; constant s534 : state_type := "11000101"; constant s535 : state_type := "11000100"; constant s536 : state_type := "11001100"; constant s537 : state_type := "11001101"; -- Declare current and next state signals signal current_state : state_type; signal next_state : state_type; -- Declare any pre-registered internal signals signal d_o_cld : std_logic_vector ( 7 downto 0 ); signal rd_o_cld : std_logic ; signal sync_o_cld : std_logic ; signal wr_n_o_cld : std_logic ; signal wr_o_cld : std_logic ; begin ----------------------------------------------------------------- clocked_proc : process ( clk_clk_i, rst_rst_n_i ) ----------------------------------------------------------------- begin if (rst_rst_n_i = '0') then current_state <= RES; -- Default Reset Values d_o_cld <= X"00"; rd_o_cld <= '0'; sync_o_cld <= '0'; wr_n_o_cld <= '1'; wr_o_cld <= '0'; reg_F <= "00000100"; reg_PC <= X"0000"; reg_PC1 <= X"0000"; reg_sel_pc_as <= '0'; reg_sel_pc_in <= '0'; reg_sel_pc_val <= "00"; reg_sel_rb_in <= "00"; reg_sel_rb_out <= "00"; reg_sel_reg <= "00"; reg_sel_sp_as <= '0'; reg_sel_sp_in <= '0'; sig_PC <= X"0000"; zw_PC <= X"0000"; zw_REG_ALU <= '0' & X"00"; zw_REG_NMI <= '0'; zw_REG_OP <= X"00"; zw_REG_sig_PC <= X"0000"; zw_b1 <= X"00"; zw_b2 <= X"00"; zw_b3 <= X"00"; zw_b4 <= X"00"; zw_so <= '0'; zw_w1 <= X"0000"; zw_w2 <= X"0000"; zw_w3 <= X"0000"; elsif (clk_clk_i'event and clk_clk_i = '1') then current_state <= next_state; -- Default Assignment To Internals reg_F <= reg_F(7) & (zw_so OR reg_F(6)) & reg_F(5 downto 0); reg_PC <= reg_PC; reg_PC1 <= reg_PC1; reg_sel_pc_as <= reg_sel_pc_as; reg_sel_pc_in <= reg_sel_pc_in; reg_sel_pc_val <= reg_sel_pc_val; reg_sel_rb_in <= reg_sel_rb_in; reg_sel_rb_out <= reg_sel_rb_out; reg_sel_reg <= reg_sel_reg; reg_sel_sp_as <= reg_sel_sp_as; reg_sel_sp_in <= reg_sel_sp_in; sig_PC <= sig_PC; zw_PC <= zw_PC; zw_REG_ALU <= zw_REG_ALU; zw_REG_NMI <= zw_REG_NMI or nmi_i; zw_REG_OP <= zw_REG_OP; zw_REG_sig_PC <= zw_REG_sig_PC; zw_b1 <= zw_b1; zw_b2 <= zw_b2; zw_b3 <= zw_b3; zw_b4 <= zw_b4; zw_so <= (zw_so OR (NOT(so_n_i))) AND (NOT(reg_F(6))); zw_w1 <= zw_w1; zw_w2 <= zw_w2; zw_w3 <= zw_w3; d_o_cld <= sig_D_OUT; rd_o_cld <= sig_RD; sync_o_cld <= sig_SYNC; wr_n_o_cld <= sig_RWn; wr_o_cld <= sig_WR; -- Combined Actions case current_state is when FETCH => zw_REG_OP <= d_i; if ((nmi_i = '1') and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; zw_REG_NMI <= '0'; elsif ((irq_n_i = '0' and reg_F(2) = '0') and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"69" or d_i = X"65" or d_i = X"75" or d_i = X"6D" or d_i = X"7D" or d_i = X"79" or d_i = X"61" or d_i = X"71") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; zw_b1(0) <= reg_F(7); elsif ((d_i = X"06" or d_i = X"16" or d_i = X"0E" or d_i = X"1E") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"90" or d_i = X"B0" or d_i = X"F0" or d_i = X"30" or d_i = X"D0" or d_i = X"10" or d_i = X"50" or d_i = X"70") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; zw_b3 <= adr_nxt_pc_i (15 downto 8); elsif ((d_i = X"24" or d_i = X"2C") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"00") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"18") and (rdy_i = '1')) then elsif ((d_i = X"D8") and (rdy_i = '1')) then elsif ((d_i = X"58") and (rdy_i = '1')) then elsif ((d_i = X"B8") and (rdy_i = '1')) then elsif ((d_i = X"E0" or d_i = X"E4" or d_i = X"EC") and (rdy_i = '1')) then reg_sel_rb_out <= "01"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"C0" or d_i = X"C4" or d_i = X"CC") and (rdy_i = '1')) then reg_sel_rb_out <= "10"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"C6" or d_i = X"D6" or d_i = X"CE" or d_i = X"DE") and (rdy_i = '1')) then zw_b4 <= X"FF"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"CA") and (rdy_i = '1')) then reg_sel_rb_out <= "01"; reg_sel_reg <= "01"; reg_sel_rb_in <= "11"; zw_b4 <= X"FF"; elsif ((d_i = X"88") and (rdy_i = '1')) then reg_sel_rb_out <= "10"; reg_sel_reg <= "10"; reg_sel_rb_in <= "11"; zw_b4 <= X"FF"; elsif ((d_i = X"49" or d_i = X"45" or d_i = X"55" or d_i = X"4D" or d_i = X"5D" or d_i = X"59" or d_i = X"41" or d_i = X"51" or d_i = X"09" or d_i = X"05" or d_i = X"15" or d_i = X"0D" or d_i = X"1D" or d_i = X"19" or d_i = X"01" or d_i = X"11" or d_i = X"29" or d_i = X"25" or d_i = X"35" or d_i = X"2D" or d_i = X"3D" or d_i = X"39" or d_i = X"21" or d_i = X"31" or d_i = X"C9" or d_i = X"C5" or d_i = X"D5" or d_i = X"CD" or d_i = X"DD" or d_i = X"D9" or d_i = X"C1" or d_i = X"D1") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"E6" or d_i = X"F6" or d_i = X"EE" or d_i = X"FE") and (rdy_i = '1')) then zw_b4 <= X"01"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"E8") and (rdy_i = '1')) then reg_sel_rb_out <= "01"; reg_sel_reg <= "01"; reg_sel_rb_in <= "11"; zw_b4 <= X"01"; elsif ((d_i = X"C8") and (rdy_i = '1')) then reg_sel_rb_out <= "10"; reg_sel_reg <= "10"; reg_sel_rb_in <= "11"; zw_b4 <= X"01"; elsif ((d_i = X"4C" or d_i = X"6C") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"20") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"A9" or d_i = X"A5" or d_i = X"B5" or d_i = X"AD" or d_i = X"BD" or d_i = X"B9" or d_i = X"A1" or d_i = X"B1") and (rdy_i = '1')) then reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"A2" or d_i = X"A6" or d_i = X"B6" or d_i = X"AE" or d_i = X"BE") and (rdy_i = '1')) then reg_sel_reg <= "01"; reg_sel_rb_in <= "11"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"A0" or d_i = X"A4" or d_i = X"B4" or d_i = X"AC" or d_i = X"BC") and (rdy_i = '1')) then reg_sel_reg <= "10"; reg_sel_rb_in <= "11"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"46" or d_i = X"56" or d_i = X"4E" or d_i = X"5E") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"EA") and (rdy_i = '1')) then elsif ((d_i = X"48") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"08") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"68") and (rdy_i = '1')) then reg_sel_sp_in <= '0'; reg_sel_sp_as <= '0'; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; elsif ((d_i = X"28") and (rdy_i = '1')) then reg_sel_sp_in <= '0'; reg_sel_sp_as <= '0'; elsif ((d_i = X"26" or d_i = X"36" or d_i = X"2E" or d_i = X"3E") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"66" or d_i = X"76" or d_i = X"6E" or d_i = X"7E") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"40") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"60") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '0'; elsif ((d_i = X"E9" or d_i = X"E5" or d_i = X"F5" or d_i = X"ED" or d_i = X"FD" or d_i = X"F9" or d_i = X"E1" or d_i = X"F1") and (rdy_i = '1')) then sig_PC <= adr_nxt_pc_i; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; zw_b1(0) <= reg_F(7); elsif ((d_i = X"38") and (rdy_i = '1')) then elsif ((d_i = X"F8") and (rdy_i = '1')) then elsif ((d_i = X"78") and (rdy_i = '1')) then elsif ((d_i = X"85" or d_i = X"95" or d_i = X"8D" or d_i = X"9D" or d_i = X"99" or d_i = X"81" or d_i = X"91") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"86" or d_i = X"96" or d_i = X"8E") and (rdy_i = '1')) then reg_sel_rb_out <= "01"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"84" or d_i = X"94" or d_i = X"8C") and (rdy_i = '1')) then reg_sel_rb_out <= "10"; sig_PC <= adr_nxt_pc_i; elsif ((d_i = X"AA") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; reg_sel_reg <= "01"; reg_sel_rb_in <= "00"; reg_sel_sp_in <= '1'; reg_sel_sp_as <= '0'; elsif ((d_i = X"0A") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; elsif ((d_i = X"4A") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; elsif ((d_i = X"2A") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; elsif ((d_i = X"6A") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; reg_sel_reg <= "00"; reg_sel_rb_in <= "11"; elsif ((d_i = X"A8") and (rdy_i = '1')) then reg_sel_rb_out <= "00"; reg_sel_reg <= "10"; reg_sel_rb_in <= "00"; reg_sel_sp_in <= '1'; reg_sel_sp_as <= '0'; elsif ((d_i = X"98") and (rdy_i = '1')) then reg_sel_rb_out <= "10"; reg_sel_reg <= "00"; reg_sel_rb_in <= "01"; reg_sel_sp_in <= '1'; reg_sel_sp_as <= '0'; elsif ((d_i = X"BA") and (rdy_i = '1')) then reg_sel_rb_out <= "01"; reg_sel_reg <= "01"; reg_sel_rb_in <= "11"; reg_sel_sp_in <= '1'; reg_sel_sp_as <= '0'; elsif ((d_i = X"8A") and (rdy_i = '1')) then reg_sel_rb_out <= "01"; reg_sel_reg <= "00"; reg_sel_rb_in <= "10"; reg_sel_sp_in <= '1'; reg_sel_sp_as <= '0'; elsif ((d_i = X"9A") and (rdy_i = '1')) then reg_sel_rb_out <= "01"; reg_sel_reg <= "11"; reg_sel_rb_in <= "11"; reg_sel_sp_in <= '1'; reg_sel_sp_as <= '0'; end if; when s1 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s2 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(0) <= '1'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s5 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(3) <= '1'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s3 => sig_PC <= adr_pc_i; if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(2) <= '1'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s4 => if (rdy_i = '1' and zw_REG_OP = X"9A") then sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"BA") then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s12 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(0) <= '0'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s16 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(3) <= '0'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s17 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(2) <= '0'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s24 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(6) <= '0'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s25 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s271 => if (rdy_i = '1' and zw_REG_OP = X"4C") then sig_PC <= adr_nxt_pc_i; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "11"; zw_b1 <= d_i; elsif (rdy_i = '1' and zw_REG_OP = X"6C") then sig_PC <= adr_nxt_pc_i; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; zw_b1 <= d_i; end if; when s273 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; zw_b2 <= d_i; end if; when s304 => if (rdy_i = '1') then sig_PC <= zw_b2 & adr_pc_i(7 downto 0); reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "11"; zw_b1 <= d_i; end if; when s307 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s177 => if (rdy_i = '1' and (zw_REG_OP = X"85" OR zw_REG_OP = X"86" OR zw_REG_OP = X"84")) then sig_PC <= X"00" & d_i; elsif (rdy_i = '1' and (zw_REG_OP = X"95" OR zw_REG_OP = X"94")) then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and (zw_REG_OP = X"8D" OR zw_REG_OP = X"8E" OR zw_REG_OP = X"8C")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_i; elsif (rdy_i = '1' and zw_REG_OP = X"9D") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and zw_REG_OP = X"99") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and zw_REG_OP = X"91") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"81") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"96") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; end if; when s180 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s181 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; end if; when s182 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s183 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s184 => sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s185 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s186 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s187 => sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s188 => if (rdy_i = '1') then sig_PC <= X"00" & d_alu_i; zw_b1 <= d_i; end if; when s189 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s190 => sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s191 => sig_PC <= zw_b3 & zw_b1; when s192 => sig_PC <= d_i & zw_b1; when s193 => sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s377 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s381 => sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s378 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s382 => sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s383 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s384 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s385 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s386 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F <= d_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s387 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s388 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s389 => if (rdy_i = '1') then sig_PC <= adr_sp_i; reg_F <= d_i; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "11"; end if; when s391 => if (rdy_i = '1') then sig_PC <= adr_sp_i; zw_b1 <= d_i; end if; when s392 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s390 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s393 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s394 => if (rdy_i = '1') then sig_PC <= adr_sp_i; zw_b1 <= d_i; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; end if; when s395 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s396 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s397 => if (rdy_i = '1') then sig_PC <= adr_sp_i; zw_b1 <= d_i; end if; when s399 => sig_PC <= adr_sp_i; when s400 => sig_PC <= adr_pc_i; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "11"; when s401 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1 (7 downto 0); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s526 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s527 => sig_PC <= adr_sp_i; when s528 => sig_PC <= adr_sp_i; when s529 => sig_PC <= X"FFFE"; when s530 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; reg_F(2) <= '1'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s531 => if (rdy_i = '1') then sig_PC <= X"FFFF"; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "11"; zw_b1 <= d_i; end if; when s544 => sig_PC <= adr_sp_i; when s545 => sig_PC <= adr_sp_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; when s546 => sig_PC <= adr_pc_i; when s547 => if (rdy_i = '1') then sig_PC <= adr_pc_i; zw_w1 (7 downto 0) <= d_i; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "11"; end if; when s549 => if (rdy_i = '1') then sig_PC <= d_i & zw_w1 (7 downto 0); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s550 => sig_PC <= adr_sp_i; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; when s404 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(0) <= q_a_i(7); reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s556 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(0) <= q_a_i(0); reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s557 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(0) <= q_a_i(7); reg_F(0) <= q_a_i(7); reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s579 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(0) <= q_a_i(0); reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s201 => if (rdy_i = '1' and (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then sig_PC <= X"00" & d_i; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then sig_PC <= adr_nxt_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then sig_PC <= adr_nxt_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then sig_PC <= adr_nxt_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then sig_PC <= adr_nxt_pc_i; reg_F(7) <= zw_ALU(7); reg_F(0) <= zw_ALU(8); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then sig_PC <= adr_nxt_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"B5" OR zw_REG_OP = X"B4" OR zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR zw_REG_OP = X"35" OR zw_REG_OP = X"D5")) then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and (zw_REG_OP = X"AD" OR zw_REG_OP = X"AE" OR zw_REG_OP = X"AC" OR zw_REG_OP = X"4D" OR zw_REG_OP = X"0D" OR zw_REG_OP = X"2D" OR zw_REG_OP = X"CD" OR zw_REG_OP = X"EC" OR zw_REG_OP = X"CC")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_i; elsif (rdy_i = '1' and (zw_REG_OP = X"BD" OR zw_REG_OP = X"BC" OR zw_REG_OP = X"5D" OR zw_REG_OP = X"1D" OR zw_REG_OP = X"3D" OR zw_REG_OP = X"DD")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and (zw_REG_OP = X"B9" OR zw_REG_OP = X"BE" OR zw_REG_OP = X"59" OR zw_REG_OP = X"19" OR zw_REG_OP = X"39" OR zw_REG_OP = X"D9")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and (zw_REG_OP = X"B1" OR zw_REG_OP = X"51" OR zw_REG_OP = X"11" OR zw_REG_OP = X"31" OR zw_REG_OP = X"D1")) then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and (zw_REG_OP = X"A1" OR zw_REG_OP = X"41" OR zw_REG_OP = X"01" OR zw_REG_OP = X"21" OR zw_REG_OP = X"C1")) then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"B6") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; end if; when s202 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s210 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s211 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s215 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; end if; when s217 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s218 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s222 => if (rdy_i = '1') then sig_PC <= X"00" & d_alu_i; zw_b1 <= d_i; end if; when s223 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s224 => if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(0) <= zw_ALU(8); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s225 => if ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(0) <= zw_ALU(8); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' AND zw_b2(0) = '0') then sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1') then sig_PC <= zw_b3 & zw_b1; end if; when s226 => if (rdy_i = '1' and (zw_REG_OP = X"C6" OR zw_REG_OP = X"E6")) then sig_PC <= X"00" & d_i; elsif (rdy_i = '1' and (zw_REG_OP = X"D6" OR zw_REG_OP = X"F6")) then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and (zw_REG_OP = X"CE" OR zw_REG_OP = X"EE")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_i; elsif (rdy_i = '1' and (zw_REG_OP = X"DE" OR zw_REG_OP = X"FE")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; end if; when s243 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s244 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s247 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s344 => if (rdy_i = '1') then sig_PC <= zw_b3 & zw_b1; end if; when s343 => if (rdy_i = '1') then zw_b1 <= d_alu_i; end if; when s251 => sig_PC <= adr_pc_i; reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s351 => if (rdy_i = '1' and zw_REG_OP = X"24") then sig_PC <= X"00" & d_i; elsif (rdy_i = '1' and zw_REG_OP = X"2C") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_i; end if; when s361 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_F(7) <= d_i(7); reg_F(6) <= d_i(6); reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s360 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s403 => if (rdy_i = '1' and (zw_REG_OP = X"1E" or zw_REG_OP = X"7E" or zw_REG_OP = X"3E" or zw_REG_OP = X"5E")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and (zw_REG_OP = X"06" or zw_REG_OP = X"66" or zw_REG_OP = X"26" or zw_REG_OP = X"46")) then sig_PC <= X"00" & d_i; elsif (rdy_i = '1' and (zw_REG_OP = X"16" or zw_REG_OP = X"76" or zw_REG_OP = X"36" or zw_REG_OP = X"56")) then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and (zw_REG_OP = X"0E" or zw_REG_OP = X"6E" or zw_REG_OP = X"2E" or zw_REG_OP = X"4E")) then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_i; end if; when s406 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s407 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s409 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s412 => if (rdy_i = '1') then sig_PC <= zw_b3 & zw_b1; end if; when s416 => if (rdy_i = '1' and (zw_REG_OP = X"06" or zw_REG_OP = X"16" or zw_REG_OP = X"0E" or zw_REG_OP = X"1E")) then zw_b1 <= d_i(6 downto 0) & '0'; zw_b2(0) <= d_i(7); elsif (rdy_i = '1' and (zw_REG_OP = X"46" or zw_REG_OP = X"56" or zw_REG_OP = X"4E" or zw_REG_OP = X"5E")) then zw_b1 <= '0' & d_i(7 downto 1); zw_b2(0) <= d_i(0); elsif (rdy_i = '1' and (zw_REG_OP = X"26" or zw_REG_OP = X"36" or zw_REG_OP = X"2E" or zw_REG_OP = X"3E")) then zw_b1 <= d_i(6 downto 0) & reg_F(0); zw_b2(0) <= d_i(7); elsif (rdy_i = '1' and (zw_REG_OP = X"66" or zw_REG_OP = X"76" or zw_REG_OP = X"6E" or zw_REG_OP = X"7E")) then zw_b1 <= reg_F(0) & d_i(7 downto 1); zw_b2(0) <= d_i(0); end if; when s418 => sig_PC <= adr_pc_i; reg_F(0) <= zw_b2(0); reg_F(7) <= reg_7flag_i; reg_F(1) <= reg_1flag_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s510 => if (rdy_i = '1' and zw_REG_OP = X"65") then sig_PC <= X"00" & d_i; elsif (rdy_i = '1' and zw_REG_OP = X"69" and reg_F(3) = '0') then sig_PC <= adr_nxt_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU(8); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"75") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"6D") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_i; elsif (rdy_i = '1' and zw_REG_OP = X"7D") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and zw_REG_OP = X"79") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and zw_REG_OP = X"71") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"61") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"69" and reg_F(3) = '1') then sig_PC <= adr_nxt_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU4(4); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s553 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s555 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s558 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; end if; when s560 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s561 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s563 => if (rdy_i = '1') then sig_PC <= X"00" & d_alu_i; zw_b1 <= d_i; end if; when s564 => if (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '0') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU(8); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '1') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU4(4); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1') then sig_PC <= zw_b3 & zw_b1; end if; when s565 => if (rdy_i = '1' and reg_F(3) = '0') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU(8); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' and reg_F(3) = '1') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU4(4); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s566 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s266 => if (rdy_i = '1' and ( (reg_F(0) = '1' and zw_REG_OP = X"90") or (reg_F(0) = '0' and zw_REG_OP = X"B0") or (reg_F(1) = '0' and zw_REG_OP = X"F0") or (reg_F(7) = '0' and zw_REG_OP = X"30") or (reg_F(1) = '1' and zw_REG_OP = X"D0") or (reg_F(7) = '1' and zw_REG_OP = X"10") or (reg_F(6) = '1' and zw_REG_OP = X"50") or (reg_F(6) = '0' and zw_REG_OP = X"70"))) then sig_PC <= adr_nxt_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1') then sig_PC <= adr_nxt_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "10"; zw_b2 <= d_i; end if; when s301 => if (rdy_i = '1' and zw_b3 = adr_nxt_pc_i (15 downto 8)) then sig_PC <= adr_nxt_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1') then sig_PC <= zw_b3 & adr_nxt_pc_i (7 downto 0); end if; when s302 => if (rdy_i = '1') then sig_PC <= adr_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when RES => reg_sel_pc_in <= '0'; reg_sel_pc_val <= "00"; reg_sel_pc_as <= '0'; sig_PC <= adr_nxt_pc_i; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; when s511 => if (rdy_i = '1' and zw_REG_OP = X"E5") then sig_PC <= X"00" & d_i; elsif (rdy_i = '1' and zw_REG_OP = X"E9" and reg_F(3) = '0') then sig_PC <= adr_nxt_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU(8); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"F5") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"ED") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_i; elsif (rdy_i = '1' and zw_REG_OP = X"FD") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and zw_REG_OP = X"F9") then sig_PC <= adr_nxt_pc_i; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; elsif (rdy_i = '1' and zw_REG_OP = X"F1") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"E1") then sig_PC <= X"00" & d_i; zw_b1 <= d_alu_i; elsif (rdy_i = '1' and zw_REG_OP = X"E9" and reg_F(3) = '1') then sig_PC <= adr_nxt_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU2(4); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s559 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; end if; when s562 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s567 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s568 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; zw_b1 <= d_alu_i; zw_b2(0) <= reg_0flag_i; end if; when s569 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s570 => if (rdy_i = '1') then sig_PC <= X"00" & zw_b1; end if; when s571 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; zw_b3 <= d_alu_i; end if; when s572 => if (rdy_i = '1') then sig_PC <= X"00" & d_alu_i; zw_b1 <= d_i; end if; when s573 => if (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '0') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU(8); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '1') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU2(4); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1') then sig_PC <= zw_b3 & zw_b1; end if; when s574 => if (rdy_i = '1' and reg_F(3) = '0') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU(8); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; elsif (rdy_i = '1' and reg_F(3) = '1') then sig_PC <= adr_pc_i; reg_F(7) <= zw_ALU(7); reg_F(6) <= zw_b1(0) XOR zw_ALU(7); reg_F(1) <= NOT ((zw_ALU(7)) OR (zw_ALU(6)) OR (zw_ALU(5)) OR (zw_ALU(4)) OR (zw_ALU(3)) OR (zw_ALU(2)) OR (zw_ALU(1)) OR (zw_ALU(0))); reg_F(0) <= zw_ALU2(4); reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s548 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s551 => sig_PC <= adr_sp_i; when s552 => sig_PC <= adr_sp_i; when s575 => if (rdy_i = '1') then sig_PC <= X"FFFF"; zw_b1 <= d_i; end if; when s576 => sig_PC <= X"FFFE"; when s577 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; reg_F(2) <= '1'; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when s532 => if (rdy_i = '1') then sig_PC <= adr_sp_i; end if; when s533 => sig_PC <= adr_sp_i; when s534 => sig_PC <= adr_sp_i; when s535 => if (rdy_i = '1') then sig_PC <= X"FFFB"; reg_sel_pc_in <= '1'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "11"; zw_b1 <= d_i; end if; when s536 => sig_PC <= X"FFFA"; when s537 => if (rdy_i = '1') then sig_PC <= d_i & zw_b1; reg_sel_pc_in <= '0'; reg_sel_pc_as <= '0'; reg_sel_pc_val <= "00"; reg_sel_sp_in <= '0'; reg_sel_sp_as <= '1'; end if; when others => null; end case; end if; end process clocked_proc; ----------------------------------------------------------------- nextstate_proc : process ( adr_nxt_pc_i, current_state, d_i, irq_n_i, nmi_i, rdy_i, reg_F, zw_REG_OP, zw_b2, zw_b3 ) ----------------------------------------------------------------- begin case current_state is when FETCH => if ((nmi_i = '1') and (rdy_i = '1')) then next_state <= s532; elsif ((irq_n_i = '0' and reg_F(2) = '0') and (rdy_i = '1')) then next_state <= s548; elsif ((d_i = X"69" or d_i = X"65" or d_i = X"75" or d_i = X"6D" or d_i = X"7D" or d_i = X"79" or d_i = X"61" or d_i = X"71") and (rdy_i = '1')) then next_state <= s510; elsif ((d_i = X"06" or d_i = X"16" or d_i = X"0E" or d_i = X"1E") and (rdy_i = '1')) then next_state <= s403; elsif ((d_i = X"90" or d_i = X"B0" or d_i = X"F0" or d_i = X"30" or d_i = X"D0" or d_i = X"10" or d_i = X"50" or d_i = X"70") and (rdy_i = '1')) then next_state <= s266; elsif ((d_i = X"24" or d_i = X"2C") and (rdy_i = '1')) then next_state <= s351; elsif ((d_i = X"00") and (rdy_i = '1')) then next_state <= s526; elsif ((d_i = X"18") and (rdy_i = '1')) then next_state <= s12; elsif ((d_i = X"D8") and (rdy_i = '1')) then next_state <= s16; elsif ((d_i = X"58") and (rdy_i = '1')) then next_state <= s17; elsif ((d_i = X"B8") and (rdy_i = '1')) then next_state <= s24; elsif ((d_i = X"E0" or d_i = X"E4" or d_i = X"EC") and (rdy_i = '1')) then next_state <= s201; elsif ((d_i = X"C0" or d_i = X"C4" or d_i = X"CC") and (rdy_i = '1')) then next_state <= s201; elsif ((d_i = X"C6" or d_i = X"D6" or d_i = X"CE" or d_i = X"DE") and (rdy_i = '1')) then next_state <= s226; elsif ((d_i = X"CA") and (rdy_i = '1')) then next_state <= s25; elsif ((d_i = X"88") and (rdy_i = '1')) then next_state <= s25; elsif ((d_i = X"49" or d_i = X"45" or d_i = X"55" or d_i = X"4D" or d_i = X"5D" or d_i = X"59" or d_i = X"41" or d_i = X"51" or d_i = X"09" or d_i = X"05" or d_i = X"15" or d_i = X"0D" or d_i = X"1D" or d_i = X"19" or d_i = X"01" or d_i = X"11" or d_i = X"29" or d_i = X"25" or d_i = X"35" or d_i = X"2D" or d_i = X"3D" or d_i = X"39" or d_i = X"21" or d_i = X"31" or d_i = X"C9" or d_i = X"C5" or d_i = X"D5" or d_i = X"CD" or d_i = X"DD" or d_i = X"D9" or d_i = X"C1" or d_i = X"D1") and (rdy_i = '1')) then next_state <= s201; elsif ((d_i = X"E6" or d_i = X"F6" or d_i = X"EE" or d_i = X"FE") and (rdy_i = '1')) then next_state <= s226; elsif ((d_i = X"E8") and (rdy_i = '1')) then next_state <= s25; elsif ((d_i = X"C8") and (rdy_i = '1')) then next_state <= s25; elsif ((d_i = X"4C" or d_i = X"6C") and (rdy_i = '1')) then next_state <= s271; elsif ((d_i = X"20") and (rdy_i = '1')) then next_state <= s397; elsif ((d_i = X"A9" or d_i = X"A5" or d_i = X"B5" or d_i = X"AD" or d_i = X"BD" or d_i = X"B9" or d_i = X"A1" or d_i = X"B1") and (rdy_i = '1')) then next_state <= s201; elsif ((d_i = X"A2" or d_i = X"A6" or d_i = X"B6" or d_i = X"AE" or d_i = X"BE") and (rdy_i = '1')) then next_state <= s201; elsif ((d_i = X"A0" or d_i = X"A4" or d_i = X"B4" or d_i = X"AC" or d_i = X"BC") and (rdy_i = '1')) then next_state <= s201; elsif ((d_i = X"46" or d_i = X"56" or d_i = X"4E" or d_i = X"5E") and (rdy_i = '1')) then next_state <= s403; elsif ((d_i = X"EA") and (rdy_i = '1')) then next_state <= s1; elsif ((d_i = X"48") and (rdy_i = '1')) then next_state <= s377; elsif ((d_i = X"08") and (rdy_i = '1')) then next_state <= s378; elsif ((d_i = X"68") and (rdy_i = '1')) then next_state <= s379; elsif ((d_i = X"28") and (rdy_i = '1')) then next_state <= s380; elsif ((d_i = X"26" or d_i = X"36" or d_i = X"2E" or d_i = X"3E") and (rdy_i = '1')) then next_state <= s403; elsif ((d_i = X"66" or d_i = X"76" or d_i = X"6E" or d_i = X"7E") and (rdy_i = '1')) then next_state <= s403; elsif ((d_i = X"40") and (rdy_i = '1')) then next_state <= s387; elsif ((d_i = X"60") and (rdy_i = '1')) then next_state <= s390; elsif ((d_i = X"E9" or d_i = X"E5" or d_i = X"F5" or d_i = X"ED" or d_i = X"FD" or d_i = X"F9" or d_i = X"E1" or d_i = X"F1") and (rdy_i = '1')) then next_state <= s511; elsif ((d_i = X"38") and (rdy_i = '1')) then next_state <= s2; elsif ((d_i = X"F8") and (rdy_i = '1')) then next_state <= s5; elsif ((d_i = X"78") and (rdy_i = '1')) then next_state <= s3; elsif ((d_i = X"85" or d_i = X"95" or d_i = X"8D" or d_i = X"9D" or d_i = X"99" or d_i = X"81" or d_i = X"91") and (rdy_i = '1')) then next_state <= s177; elsif ((d_i = X"86" or d_i = X"96" or d_i = X"8E") and (rdy_i = '1')) then next_state <= s177; elsif ((d_i = X"84" or d_i = X"94" or d_i = X"8C") and (rdy_i = '1')) then next_state <= s177; elsif ((d_i = X"AA") and (rdy_i = '1')) then next_state <= s4; elsif ((d_i = X"0A") and (rdy_i = '1')) then next_state <= s404; elsif ((d_i = X"4A") and (rdy_i = '1')) then next_state <= s556; elsif ((d_i = X"2A") and (rdy_i = '1')) then next_state <= s557; elsif ((d_i = X"6A") and (rdy_i = '1')) then next_state <= s579; elsif ((d_i = X"A8") and (rdy_i = '1')) then next_state <= s4; elsif ((d_i = X"98") and (rdy_i = '1')) then next_state <= s4; elsif ((d_i = X"BA") and (rdy_i = '1')) then next_state <= s4; elsif ((d_i = X"8A") and (rdy_i = '1')) then next_state <= s4; elsif ((d_i = X"9A") and (rdy_i = '1')) then next_state <= s4; elsif (rdy_i = '1') then next_state <= s1; else next_state <= FETCH; end if; when s1 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s1; end if; when s2 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s2; end if; when s5 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s5; end if; when s3 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s3; end if; when s4 => if (rdy_i = '1' and zw_REG_OP = X"9A") then next_state <= FETCH; elsif (rdy_i = '1' and zw_REG_OP = X"BA") then next_state <= FETCH; elsif (rdy_i = '1') then next_state <= FETCH; else next_state <= s4; end if; when s12 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s12; end if; when s16 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s16; end if; when s17 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s17; end if; when s24 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s24; end if; when s25 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s25; end if; when s271 => if (rdy_i = '1' and zw_REG_OP = X"4C") then next_state <= s307; elsif (rdy_i = '1' and zw_REG_OP = X"6C") then next_state <= s273; else next_state <= s271; end if; when s273 => if (rdy_i = '1') then next_state <= s304; else next_state <= s273; end if; when s304 => if (rdy_i = '1') then next_state <= s307; else next_state <= s304; end if; when s307 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s307; end if; when s177 => if (rdy_i = '1' and (zw_REG_OP = X"85" OR zw_REG_OP = X"86" OR zw_REG_OP = X"84")) then next_state <= s184; elsif (rdy_i = '1' and (zw_REG_OP = X"95" OR zw_REG_OP = X"94")) then next_state <= s185; elsif (rdy_i = '1' and (zw_REG_OP = X"8D" OR zw_REG_OP = X"8E" OR zw_REG_OP = X"8C")) then next_state <= s183; elsif (rdy_i = '1' and zw_REG_OP = X"9D") then next_state <= s182; elsif (rdy_i = '1' and zw_REG_OP = X"99") then next_state <= s180; elsif (rdy_i = '1' and zw_REG_OP = X"91") then next_state <= s181; elsif (rdy_i = '1' and zw_REG_OP = X"81") then next_state <= s186; elsif (rdy_i = '1' and zw_REG_OP = X"96") then next_state <= s185; else next_state <= s177; end if; when s180 => if (rdy_i = '1') then next_state <= s191; else next_state <= s180; end if; when s181 => if (rdy_i = '1') then next_state <= s189; else next_state <= s181; end if; when s182 => if (rdy_i = '1') then next_state <= s191; else next_state <= s182; end if; when s183 => if (rdy_i = '1') then next_state <= s187; else next_state <= s183; end if; when s184 => next_state <= FETCH; when s185 => if (rdy_i = '1') then next_state <= s190; else next_state <= s185; end if; when s186 => if (rdy_i = '1') then next_state <= s188; else next_state <= s186; end if; when s187 => next_state <= FETCH; when s188 => if (rdy_i = '1') then next_state <= s192; else next_state <= s188; end if; when s189 => if (rdy_i = '1') then next_state <= s191; else next_state <= s189; end if; when s190 => next_state <= FETCH; when s191 => next_state <= s193; when s192 => next_state <= s193; when s193 => next_state <= FETCH; when s377 => if (rdy_i = '1') then next_state <= s381; else next_state <= s377; end if; when s381 => next_state <= FETCH; when s378 => if (rdy_i = '1') then next_state <= s382; else next_state <= s378; end if; when s382 => next_state <= FETCH; when s379 => if (rdy_i = '1') then next_state <= s383; else next_state <= s379; end if; when s383 => if (rdy_i = '1') then next_state <= s384; else next_state <= s383; end if; when s384 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s384; end if; when s380 => if (rdy_i = '1') then next_state <= s385; else next_state <= s380; end if; when s385 => if (rdy_i = '1') then next_state <= s386; else next_state <= s385; end if; when s386 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s386; end if; when s387 => if (rdy_i = '1') then next_state <= s388; else next_state <= s387; end if; when s388 => if (rdy_i = '1') then next_state <= s389; else next_state <= s388; end if; when s389 => if (rdy_i = '1') then next_state <= s391; else next_state <= s389; end if; when s391 => if (rdy_i = '1') then next_state <= s392; else next_state <= s391; end if; when s392 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s392; end if; when s390 => if (rdy_i = '1') then next_state <= s393; else next_state <= s390; end if; when s393 => if (rdy_i = '1') then next_state <= s394; else next_state <= s393; end if; when s394 => if (rdy_i = '1') then next_state <= s395; else next_state <= s394; end if; when s395 => if (rdy_i = '1') then next_state <= s396; else next_state <= s395; end if; when s396 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s396; end if; when s397 => if (rdy_i = '1') then next_state <= s398; else next_state <= s397; end if; when s398 => if (rdy_i = '1') then next_state <= s399; else next_state <= s398; end if; when s399 => next_state <= s400; when s400 => next_state <= s401; when s401 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s401; end if; when s526 => if (rdy_i = '1') then next_state <= s527; else next_state <= s526; end if; when s527 => next_state <= s528; when s528 => next_state <= s529; when s529 => next_state <= s531; when s530 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s530; end if; when s531 => if (rdy_i = '1') then next_state <= s530; else next_state <= s531; end if; when s544 => next_state <= s550; when s545 => next_state <= s546; when s546 => next_state <= s547; when s547 => if (rdy_i = '1') then next_state <= s549; else next_state <= s547; end if; when s549 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s549; end if; when s550 => next_state <= s545; when s404 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s404; end if; when s556 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s556; end if; when s557 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s557; end if; when s579 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s579; end if; when s201 => if (rdy_i = '1' and (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then next_state <= s224; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then next_state <= FETCH; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then next_state <= FETCH; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then next_state <= FETCH; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then next_state <= FETCH; elsif (rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then next_state <= FETCH; elsif (rdy_i = '1' and (zw_REG_OP = X"B5" OR zw_REG_OP = X"B4" OR zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR zw_REG_OP = X"35" OR zw_REG_OP = X"D5")) then next_state <= s217; elsif (rdy_i = '1' and (zw_REG_OP = X"AD" OR zw_REG_OP = X"AE" OR zw_REG_OP = X"AC" OR zw_REG_OP = X"4D" OR zw_REG_OP = X"0D" OR zw_REG_OP = X"2D" OR zw_REG_OP = X"CD" OR zw_REG_OP = X"EC" OR zw_REG_OP = X"CC")) then next_state <= s202; elsif (rdy_i = '1' and (zw_REG_OP = X"BD" OR zw_REG_OP = X"BC" OR zw_REG_OP = X"5D" OR zw_REG_OP = X"1D" OR zw_REG_OP = X"3D" OR zw_REG_OP = X"DD")) then next_state <= s210; elsif (rdy_i = '1' and (zw_REG_OP = X"B9" OR zw_REG_OP = X"BE" OR zw_REG_OP = X"59" OR zw_REG_OP = X"19" OR zw_REG_OP = X"39" OR zw_REG_OP = X"D9")) then next_state <= s211; elsif (rdy_i = '1' and (zw_REG_OP = X"B1" OR zw_REG_OP = X"51" OR zw_REG_OP = X"11" OR zw_REG_OP = X"31" OR zw_REG_OP = X"D1")) then next_state <= s215; elsif (rdy_i = '1' and (zw_REG_OP = X"A1" OR zw_REG_OP = X"41" OR zw_REG_OP = X"01" OR zw_REG_OP = X"21" OR zw_REG_OP = X"C1")) then next_state <= s218; elsif (rdy_i = '1' and zw_REG_OP = X"B6") then next_state <= s217; else next_state <= s201; end if; when s202 => if (rdy_i = '1') then next_state <= s224; else next_state <= s202; end if; when s210 => if (rdy_i = '1') then next_state <= s225; else next_state <= s210; end if; when s211 => if (rdy_i = '1') then next_state <= s225; else next_state <= s211; end if; when s215 => if (rdy_i = '1') then next_state <= s223; else next_state <= s215; end if; when s217 => if (rdy_i = '1') then next_state <= s224; else next_state <= s217; end if; when s218 => if (rdy_i = '1') then next_state <= s222; else next_state <= s218; end if; when s222 => if (rdy_i = '1') then next_state <= s202; else next_state <= s222; end if; when s223 => if (rdy_i = '1') then next_state <= s225; else next_state <= s223; end if; when s224 => if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then next_state <= FETCH; elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then next_state <= FETCH; elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then next_state <= FETCH; elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then next_state <= FETCH; elsif (rdy_i = '1') then next_state <= FETCH; else next_state <= s224; end if; when s225 => if ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then next_state <= FETCH; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then next_state <= FETCH; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then next_state <= FETCH; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then next_state <= FETCH; elsif (rdy_i = '1' AND zw_b2(0) = '0') then next_state <= FETCH; elsif (rdy_i = '1') then next_state <= s224; else next_state <= s225; end if; when s226 => if (rdy_i = '1' and (zw_REG_OP = X"C6" OR zw_REG_OP = X"E6")) then next_state <= s343; elsif (rdy_i = '1' and (zw_REG_OP = X"D6" OR zw_REG_OP = X"F6")) then next_state <= s247; elsif (rdy_i = '1' and (zw_REG_OP = X"CE" OR zw_REG_OP = X"EE")) then next_state <= s243; elsif (rdy_i = '1' and (zw_REG_OP = X"DE" OR zw_REG_OP = X"FE")) then next_state <= s244; else next_state <= s226; end if; when s243 => if (rdy_i = '1') then next_state <= s343; else next_state <= s243; end if; when s244 => if (rdy_i = '1') then next_state <= s344; else next_state <= s244; end if; when s247 => if (rdy_i = '1') then next_state <= s343; else next_state <= s247; end if; when s344 => if (rdy_i = '1') then next_state <= s343; else next_state <= s344; end if; when s343 => if (rdy_i = '1') then next_state <= s250; else next_state <= s343; end if; when s250 => if (rdy_i = '1') then next_state <= s251; else next_state <= s250; end if; when s251 => next_state <= FETCH; when s351 => if (rdy_i = '1' and zw_REG_OP = X"24") then next_state <= s361; elsif (rdy_i = '1' and zw_REG_OP = X"2C") then next_state <= s360; else next_state <= s351; end if; when s361 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s361; end if; when s360 => if (rdy_i = '1') then next_state <= s361; else next_state <= s360; end if; when s403 => if (rdy_i = '1' and (zw_REG_OP = X"1E" or zw_REG_OP = X"7E" or zw_REG_OP = X"3E" or zw_REG_OP = X"5E")) then next_state <= s407; elsif (rdy_i = '1' and (zw_REG_OP = X"06" or zw_REG_OP = X"66" or zw_REG_OP = X"26" or zw_REG_OP = X"46")) then next_state <= s413; elsif (rdy_i = '1' and (zw_REG_OP = X"16" or zw_REG_OP = X"76" or zw_REG_OP = X"36" or zw_REG_OP = X"56")) then next_state <= s409; elsif (rdy_i = '1' and (zw_REG_OP = X"0E" or zw_REG_OP = X"6E" or zw_REG_OP = X"2E" or zw_REG_OP = X"4E")) then next_state <= s406; else next_state <= s403; end if; when s406 => if (rdy_i = '1') then next_state <= s413; else next_state <= s406; end if; when s407 => if (rdy_i = '1') then next_state <= s412; else next_state <= s407; end if; when s409 => if (rdy_i = '1') then next_state <= s413; else next_state <= s409; end if; when s412 => if (rdy_i = '1') then next_state <= s413; else next_state <= s412; end if; when s413 => if (rdy_i = '1') then next_state <= s416; else next_state <= s413; end if; when s416 => if (rdy_i = '1' and (zw_REG_OP = X"06" or zw_REG_OP = X"16" or zw_REG_OP = X"0E" or zw_REG_OP = X"1E")) then next_state <= s418; elsif (rdy_i = '1' and (zw_REG_OP = X"46" or zw_REG_OP = X"56" or zw_REG_OP = X"4E" or zw_REG_OP = X"5E")) then next_state <= s418; elsif (rdy_i = '1' and (zw_REG_OP = X"26" or zw_REG_OP = X"36" or zw_REG_OP = X"2E" or zw_REG_OP = X"3E")) then next_state <= s418; elsif (rdy_i = '1' and (zw_REG_OP = X"66" or zw_REG_OP = X"76" or zw_REG_OP = X"6E" or zw_REG_OP = X"7E")) then next_state <= s418; else next_state <= s416; end if; when s418 => next_state <= FETCH; when s510 => if (rdy_i = '1' and zw_REG_OP = X"65") then next_state <= s565; elsif (rdy_i = '1' and zw_REG_OP = X"69" and reg_F(3) = '0') then next_state <= FETCH; elsif (rdy_i = '1' and zw_REG_OP = X"75") then next_state <= s560; elsif (rdy_i = '1' and zw_REG_OP = X"6D") then next_state <= s553; elsif (rdy_i = '1' and zw_REG_OP = X"7D") then next_state <= s555; elsif (rdy_i = '1' and zw_REG_OP = X"79") then next_state <= s555; elsif (rdy_i = '1' and zw_REG_OP = X"71") then next_state <= s558; elsif (rdy_i = '1' and zw_REG_OP = X"61") then next_state <= s561; elsif (rdy_i = '1' and zw_REG_OP = X"69" and reg_F(3) = '1') then next_state <= FETCH; else next_state <= s510; end if; when s553 => if (rdy_i = '1') then next_state <= s565; else next_state <= s553; end if; when s555 => if (rdy_i = '1') then next_state <= s564; else next_state <= s555; end if; when s558 => if (rdy_i = '1') then next_state <= s566; else next_state <= s558; end if; when s560 => if (rdy_i = '1') then next_state <= s565; else next_state <= s560; end if; when s561 => if (rdy_i = '1') then next_state <= s563; else next_state <= s561; end if; when s563 => if (rdy_i = '1') then next_state <= s553; else next_state <= s563; end if; when s564 => if (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '0') then next_state <= FETCH; elsif (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '1') then next_state <= FETCH; elsif (rdy_i = '1') then next_state <= s565; else next_state <= s564; end if; when s565 => if (rdy_i = '1' and reg_F(3) = '0') then next_state <= FETCH; elsif (rdy_i = '1' and reg_F(3) = '1') then next_state <= FETCH; else next_state <= s565; end if; when s566 => if (rdy_i = '1') then next_state <= s564; else next_state <= s566; end if; when s266 => if (rdy_i = '1' and ( (reg_F(0) = '1' and zw_REG_OP = X"90") or (reg_F(0) = '0' and zw_REG_OP = X"B0") or (reg_F(1) = '0' and zw_REG_OP = X"F0") or (reg_F(7) = '0' and zw_REG_OP = X"30") or (reg_F(1) = '1' and zw_REG_OP = X"D0") or (reg_F(7) = '1' and zw_REG_OP = X"10") or (reg_F(6) = '1' and zw_REG_OP = X"50") or (reg_F(6) = '0' and zw_REG_OP = X"70"))) then next_state <= FETCH; elsif (rdy_i = '1') then next_state <= s301; else next_state <= s266; end if; when s301 => if (rdy_i = '1' and zw_b3 = adr_nxt_pc_i (15 downto 8)) then next_state <= FETCH; elsif (rdy_i = '1') then next_state <= s302; else next_state <= s301; end if; when s302 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s302; end if; when RES => next_state <= s544; when s511 => if (rdy_i = '1' and zw_REG_OP = X"E5") then next_state <= s574; elsif (rdy_i = '1' and zw_REG_OP = X"E9" and reg_F(3) = '0') then next_state <= FETCH; elsif (rdy_i = '1' and zw_REG_OP = X"F5") then next_state <= s569; elsif (rdy_i = '1' and zw_REG_OP = X"ED") then next_state <= s559; elsif (rdy_i = '1' and zw_REG_OP = X"FD") then next_state <= s562; elsif (rdy_i = '1' and zw_REG_OP = X"F9") then next_state <= s567; elsif (rdy_i = '1' and zw_REG_OP = X"F1") then next_state <= s568; elsif (rdy_i = '1' and zw_REG_OP = X"E1") then next_state <= s570; elsif (rdy_i = '1' and zw_REG_OP = X"E9" and reg_F(3) = '1') then next_state <= FETCH; else next_state <= s511; end if; when s559 => if (rdy_i = '1') then next_state <= s574; else next_state <= s559; end if; when s562 => if (rdy_i = '1') then next_state <= s573; else next_state <= s562; end if; when s567 => if (rdy_i = '1') then next_state <= s573; else next_state <= s567; end if; when s568 => if (rdy_i = '1') then next_state <= s571; else next_state <= s568; end if; when s569 => if (rdy_i = '1') then next_state <= s574; else next_state <= s569; end if; when s570 => if (rdy_i = '1') then next_state <= s572; else next_state <= s570; end if; when s571 => if (rdy_i = '1') then next_state <= s573; else next_state <= s571; end if; when s572 => if (rdy_i = '1') then next_state <= s559; else next_state <= s572; end if; when s573 => if (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '0') then next_state <= FETCH; elsif (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '1') then next_state <= FETCH; elsif (rdy_i = '1') then next_state <= s574; else next_state <= s573; end if; when s574 => if (rdy_i = '1' and reg_F(3) = '0') then next_state <= FETCH; elsif (rdy_i = '1' and reg_F(3) = '1') then next_state <= FETCH; else next_state <= s574; end if; when s548 => if (rdy_i = '1') then next_state <= s551; else next_state <= s548; end if; when s551 => next_state <= s552; when s552 => next_state <= s576; when s575 => if (rdy_i = '1') then next_state <= s577; else next_state <= s575; end if; when s576 => next_state <= s575; when s577 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s577; end if; when s532 => if (rdy_i = '1') then next_state <= s533; else next_state <= s532; end if; when s533 => next_state <= s534; when s534 => next_state <= s536; when s535 => if (rdy_i = '1') then next_state <= s537; else next_state <= s535; end if; when s536 => next_state <= s535; when s537 => if (rdy_i = '1') then next_state <= FETCH; else next_state <= s537; end if; when others => next_state <= RES; end case; end process nextstate_proc; ----------------------------------------------------------------- output_proc : process ( adr_nxt_pc_i, adr_pc_i, adr_sp_i, current_state, d_alu_i, d_i, d_regs_out_i, irq_n_i, nmi_i, q_a_i, q_x_i, q_y_i, rdy_i, reg_F, reg_sel_pc_as, reg_sel_pc_in, reg_sel_pc_val, reg_sel_rb_in, reg_sel_rb_out, reg_sel_reg, reg_sel_sp_as, reg_sel_sp_in, sig_PC, zw_ALU, zw_ALU1, zw_ALU2, zw_ALU3, zw_ALU4, zw_ALU5, zw_ALU6, zw_REG_OP, zw_b1, zw_b2, zw_b3, zw_b4, zw_w1 ) ----------------------------------------------------------------- begin -- Default Assignment a_o <= sig_PC; adr_o <= X"0000"; ch_a_o <= X"00"; ch_b_o <= X"00"; d_regs_in_o <= X"00"; fetch_o <= '0'; ld_o <= "00"; ld_pc_o <= '0'; ld_sp_o <= '0'; load_regs_o <= '0'; offset_o <= X"0000"; sel_pc_as_o <= reg_sel_pc_as; sel_pc_in_o <= reg_sel_pc_in; sel_pc_val_o <= reg_sel_pc_val; sel_rb_in_o <= reg_sel_rb_in; sel_rb_out_o <= reg_sel_rb_out; sel_reg_o <= reg_sel_reg; sel_sp_as_o <= reg_sel_sp_as; sel_sp_in_o <= reg_sel_sp_in; -- Default Assignment To Internals sig_D_OUT <= X"00"; sig_RD <= '1'; sig_RWn <= '1'; sig_SYNC <= '0'; sig_WR <= '0'; zw_ALU <= '0' & X"00"; zw_ALU1 <= '0' & X"00"; zw_ALU2 <= '0' & X"00"; zw_ALU3 <= '0' & X"00"; zw_ALU4 <= '0' & X"00"; zw_ALU5 <= '0' & X"00"; zw_ALU6 <= '0' & X"00"; -- Combined Actions case current_state is when FETCH => sig_RWn <= '1'; sig_RD <= '1'; sig_SYNC <= NOT (rdy_i); if ((nmi_i = '1') and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((irq_n_i = '0' and reg_F(2) = '0') and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"69" or d_i = X"65" or d_i = X"75" or d_i = X"6D" or d_i = X"7D" or d_i = X"79" or d_i = X"61" or d_i = X"71") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"06" or d_i = X"16" or d_i = X"0E" or d_i = X"1E") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"90" or d_i = X"B0" or d_i = X"F0" or d_i = X"30" or d_i = X"D0" or d_i = X"10" or d_i = X"50" or d_i = X"70") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"24" or d_i = X"2C") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"00") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"18") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"D8") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"58") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"B8") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"E0" or d_i = X"E4" or d_i = X"EC") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"C0" or d_i = X"C4" or d_i = X"CC") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"C6" or d_i = X"D6" or d_i = X"CE" or d_i = X"DE") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"CA") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"88") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"49" or d_i = X"45" or d_i = X"55" or d_i = X"4D" or d_i = X"5D" or d_i = X"59" or d_i = X"41" or d_i = X"51" or d_i = X"09" or d_i = X"05" or d_i = X"15" or d_i = X"0D" or d_i = X"1D" or d_i = X"19" or d_i = X"01" or d_i = X"11" or d_i = X"29" or d_i = X"25" or d_i = X"35" or d_i = X"2D" or d_i = X"3D" or d_i = X"39" or d_i = X"21" or d_i = X"31" or d_i = X"C9" or d_i = X"C5" or d_i = X"D5" or d_i = X"CD" or d_i = X"DD" or d_i = X"D9" or d_i = X"C1" or d_i = X"D1") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"E6" or d_i = X"F6" or d_i = X"EE" or d_i = X"FE") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"E8") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"C8") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"4C" or d_i = X"6C") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"20") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"A9" or d_i = X"A5" or d_i = X"B5" or d_i = X"AD" or d_i = X"BD" or d_i = X"B9" or d_i = X"A1" or d_i = X"B1") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"A2" or d_i = X"A6" or d_i = X"B6" or d_i = X"AE" or d_i = X"BE") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"A0" or d_i = X"A4" or d_i = X"B4" or d_i = X"AC" or d_i = X"BC") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"46" or d_i = X"56" or d_i = X"4E" or d_i = X"5E") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"EA") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"48") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"08") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"68") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"28") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"26" or d_i = X"36" or d_i = X"2E" or d_i = X"3E") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"66" or d_i = X"76" or d_i = X"6E" or d_i = X"7E") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"40") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"60") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"E9" or d_i = X"E5" or d_i = X"F5" or d_i = X"ED" or d_i = X"FD" or d_i = X"F9" or d_i = X"E1" or d_i = X"F1") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"38") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"F8") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"78") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"85" or d_i = X"95" or d_i = X"8D" or d_i = X"9D" or d_i = X"99" or d_i = X"81" or d_i = X"91") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"86" or d_i = X"96" or d_i = X"8E") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"84" or d_i = X"94" or d_i = X"8C") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"AA") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"0A") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"4A") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"2A") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"6A") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"A8") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"98") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"BA") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"8A") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((d_i = X"9A") and (rdy_i = '1')) then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s1 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s2 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s5 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s3 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s4 => if (rdy_i = '1' and zw_REG_OP = X"9A") then adr_o <= X"01" & d_regs_out_i; ld_o <= "11"; ld_sp_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"BA") then d_regs_in_o <= adr_sp_i (7 downto 0); ch_a_o <= adr_sp_i (7 downto 0); ch_b_o <= X"00"; load_regs_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1') then ch_a_o <= d_regs_out_i; ch_b_o <= X"00"; load_regs_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s12 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s16 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s17 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s24 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s25 => if (rdy_i = '1') then d_regs_in_o <= d_alu_i; ch_a_o <= d_regs_out_i; ch_b_o <= zw_b4; load_regs_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s273 => if (rdy_i = '1') then adr_o <= d_i & zw_b1; ld_o <= "11"; ld_pc_o <= '1'; end if; when s307 => if (rdy_i = '1') then adr_o <= d_i & zw_b1; ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s177 => if (rdy_i = '1' and (zw_REG_OP = X"85" OR zw_REG_OP = X"86" OR zw_REG_OP = X"84")) then sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= d_regs_out_i; ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"95" OR zw_REG_OP = X"94")) then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and (zw_REG_OP = X"8D" OR zw_REG_OP = X"8E" OR zw_REG_OP = X"8C")) then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"9D") then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"99") then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_y_i; elsif (rdy_i = '1' and zw_REG_OP = X"91") then ch_a_o <= d_i; ch_b_o <= X"01"; elsif (rdy_i = '1' and zw_REG_OP = X"81") then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"96") then ch_a_o <= d_i; ch_b_o <= q_y_i; end if; when s180 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s181 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= q_y_i; end if; when s182 => sig_RWn <= '1'; sig_RD <= '1'; if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s183 => if (rdy_i = '1') then sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= d_regs_out_i; ld_o <= "11"; ld_pc_o <= '1'; end if; when s184 => sig_SYNC <= '1'; fetch_o <= '1'; when s185 => if (rdy_i = '1') then sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= d_regs_out_i; ld_o <= "11"; ld_pc_o <= '1'; end if; when s187 => sig_SYNC <= '1'; fetch_o <= '1'; when s188 => if (rdy_i = '1') then ch_a_o <= zw_b1; ch_b_o <= X"01"; end if; when s189 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s190 => sig_SYNC <= '1'; fetch_o <= '1'; when s191 => sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= d_regs_out_i; when s192 => sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= d_regs_out_i; ld_o <= "11"; ld_pc_o <= '1'; when s193 => sig_SYNC <= '1'; fetch_o <= '1'; when s377 => if (rdy_i = '1') then sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= q_a_i; ld_o <= "11"; ld_sp_o <= '1'; end if; when s381 => sig_SYNC <= '1'; fetch_o <= '1'; when s378 => if (rdy_i = '1') then sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= reg_F; ld_o <= "11"; ld_sp_o <= '1'; end if; when s382 => sig_SYNC <= '1'; fetch_o <= '1'; when s379 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; end if; when s384 => if (rdy_i = '1') then d_regs_in_o <= d_i; load_regs_o <= '1'; ch_a_o <= d_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s380 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; end if; when s386 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s387 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; end if; when s388 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; end if; when s389 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; end if; when s392 => if (rdy_i = '1') then adr_o <= d_i & zw_b1; ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s390 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; end if; when s393 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; end if; when s395 => if (rdy_i = '1') then adr_o <= d_i & zw_b1; ld_o <= "11"; ld_pc_o <= '1'; end if; when s396 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s397 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; ld_pc_o <= '1'; end if; when s398 => if (rdy_i = '1') then sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (15 downto 8); end if; when s399 => ld_o <= "11"; ld_sp_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (7 downto 0); when s401 => if (rdy_i = '1') then adr_o <= d_i & zw_b1; ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s526 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; ld_pc_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (15 downto 8); end if; when s527 => ld_o <= "11"; ld_sp_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (7 downto 0); when s528 => ld_o <= "11"; ld_sp_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= reg_F OR X"10"; when s530 => if (rdy_i = '1') then adr_o <= d_i & zw_b1; ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s544 => ld_o <= "11"; ld_sp_o <= '1'; when s545 => adr_o <= X"FFFB"; ld_o <= "11"; ld_pc_o <= '1'; when s546 => ld_o <= "11"; ld_pc_o <= '1'; when s549 => if (rdy_i = '1') then adr_o <= d_i & zw_w1 (7 downto 0); ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s550 => ld_o <= "11"; ld_sp_o <= '1'; when s404 => if (rdy_i = '1') then ch_a_o <= q_a_i (6 downto 0) & '0'; ch_b_o <= X"00"; d_regs_in_o <= q_a_i (6 downto 0) & '0'; load_regs_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s556 => if (rdy_i = '1') then ch_a_o <= '0' & q_a_i (7 downto 1); ch_b_o <= X"00"; d_regs_in_o <= '0' & q_a_i (7 downto 1); load_regs_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s557 => if (rdy_i = '1') then ch_a_o <= q_a_i (6 downto 0) & reg_F(0); ch_b_o <= X"00"; d_regs_in_o <= q_a_i (6 downto 0) & reg_F(0); load_regs_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s579 => if (rdy_i = '1') then ch_a_o <= reg_F(0) & q_a_i (7 downto 1); ch_b_o <= X"00"; d_regs_in_o <= reg_F(0) & q_a_i (7 downto 1); load_regs_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s201 => if (rdy_i = '1' and (zw_REG_OP = X"A5" OR zw_REG_OP = X"A6" OR zw_REG_OP = X"A4" OR zw_REG_OP = X"45" OR zw_REG_OP = X"05" OR zw_REG_OP = X"25" OR zw_REG_OP = X"C5" OR zw_REG_OP = X"E4" OR zw_REG_OP = X"C4")) then ld_o <= "11"; ld_pc_o <= '1'; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= d_i OR q_a_i; load_regs_o <= '1'; ch_a_o <= d_i OR q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= d_i XOR q_a_i; load_regs_o <= '1'; ch_a_o <= d_i XOR q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= d_i AND q_a_i; load_regs_o <= '1'; ch_a_o <= d_i AND q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then ld_o <= "11"; ld_pc_o <= '1'; zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"A9" OR zw_REG_OP = X"A2" OR zw_REG_OP = X"A0" OR zw_REG_OP = X"E0" OR zw_REG_OP = X"C0" OR zw_REG_OP = X"49" or zw_REG_OP = X"09" or zw_REG_OP = X"29" or zw_REG_OP = X"C9")) then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= d_i; load_regs_o <= '1'; ch_a_o <= d_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"B5" OR zw_REG_OP = X"B4" OR zw_REG_OP = X"55" OR zw_REG_OP = X"15" OR zw_REG_OP = X"35" OR zw_REG_OP = X"D5")) then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and (zw_REG_OP = X"AD" OR zw_REG_OP = X"AE" OR zw_REG_OP = X"AC" OR zw_REG_OP = X"4D" OR zw_REG_OP = X"0D" OR zw_REG_OP = X"2D" OR zw_REG_OP = X"CD" OR zw_REG_OP = X"EC" OR zw_REG_OP = X"CC")) then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"BD" OR zw_REG_OP = X"BC" OR zw_REG_OP = X"5D" OR zw_REG_OP = X"1D" OR zw_REG_OP = X"3D" OR zw_REG_OP = X"DD")) then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and (zw_REG_OP = X"B9" OR zw_REG_OP = X"BE" OR zw_REG_OP = X"59" OR zw_REG_OP = X"19" OR zw_REG_OP = X"39" OR zw_REG_OP = X"D9")) then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_y_i; elsif (rdy_i = '1' and (zw_REG_OP = X"B1" OR zw_REG_OP = X"51" OR zw_REG_OP = X"11" OR zw_REG_OP = X"31" OR zw_REG_OP = X"D1")) then ch_a_o <= d_i; ch_b_o <= X"01"; elsif (rdy_i = '1' and (zw_REG_OP = X"A1" OR zw_REG_OP = X"41" OR zw_REG_OP = X"01" OR zw_REG_OP = X"21" OR zw_REG_OP = X"C1")) then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"B6") then ch_a_o <= d_i; ch_b_o <= q_y_i; end if; when s202 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s210 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s211 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s215 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= q_y_i; end if; when s217 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s222 => if (rdy_i = '1') then ch_a_o <= zw_b1; ch_b_o <= X"01"; end if; when s223 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s224 => if ((rdy_i = '1') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then d_regs_in_o <= d_i OR q_a_i; load_regs_o <= '1'; ch_a_o <= d_i OR q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then d_regs_in_o <= d_i XOR q_a_i; load_regs_o <= '1'; ch_a_o <= d_i XOR q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then d_regs_in_o <= d_i AND q_a_i; load_regs_o <= '1'; ch_a_o <= d_i AND q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1') then d_regs_in_o <= d_i; load_regs_o <= '1'; ch_a_o <= d_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s225 => if ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"09" or zw_REG_OP = X"05" or zw_REG_OP = X"15" or zw_REG_OP = X"0D" or zw_REG_OP = X"1D" or zw_REG_OP = X"19" or zw_REG_OP = X"01" or zw_REG_OP = X"11")) then d_regs_in_o <= d_i OR q_a_i; load_regs_o <= '1'; ch_a_o <= d_i OR q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"49" or zw_REG_OP = X"45" or zw_REG_OP = X"55" or zw_REG_OP = X"4D" or zw_REG_OP = X"5D" or zw_REG_OP = X"59" or zw_REG_OP = X"41" or zw_REG_OP = X"51")) then d_regs_in_o <= d_i XOR q_a_i; load_regs_o <= '1'; ch_a_o <= d_i XOR q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"29" or zw_REG_OP = X"25" or zw_REG_OP = X"35" or zw_REG_OP = X"2D" or zw_REG_OP = X"3D" or zw_REG_OP = X"39" or zw_REG_OP = X"21" or zw_REG_OP = X"31")) then d_regs_in_o <= d_i AND q_a_i; load_regs_o <= '1'; ch_a_o <= d_i AND q_a_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; elsif ((rdy_i = '1' AND zw_b2(0) = '0') and (zw_REG_OP = X"C9" or zw_REG_OP = X"C5" or zw_REG_OP = X"D5" or zw_REG_OP = X"CD" or zw_REG_OP = X"DD" or zw_REG_OP = X"D9" or zw_REG_OP = X"C1" or zw_REG_OP = X"D1" or zw_REG_OP = X"C0" or zw_REG_OP = X"E0" or zw_REG_OP = X"C4" or zw_REG_OP = X"E4" or zw_REG_OP = X"CC" or zw_REG_OP = X"EC")) then zw_ALU <= unsigned ('0' & d_regs_out_i) + unsigned ('0' & NOT (d_i)) + 1; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' AND zw_b2(0) = '0') then d_regs_in_o <= d_i; load_regs_o <= '1'; ch_a_o <= d_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s226 => if (rdy_i = '1' and (zw_REG_OP = X"C6" OR zw_REG_OP = X"E6")) then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"D6" OR zw_REG_OP = X"F6")) then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and (zw_REG_OP = X"CE" OR zw_REG_OP = X"EE")) then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"DE" OR zw_REG_OP = X"FE")) then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_x_i; end if; when s243 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s244 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s247 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s343 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= zw_b4; end if; when s250 => if (rdy_i = '1') then sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= zw_b1; end if; when s251 => ch_a_o <= zw_b1; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; when s351 => if (rdy_i = '1' and zw_REG_OP = X"24") then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"2C") then ld_o <= "11"; ld_pc_o <= '1'; end if; when s361 => if (rdy_i = '1') then ch_a_o <= q_a_i AND d_i; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; end if; when s360 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s403 => if (rdy_i = '1' and (zw_REG_OP = X"1E" or zw_REG_OP = X"7E" or zw_REG_OP = X"3E" or zw_REG_OP = X"5E")) then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and (zw_REG_OP = X"06" or zw_REG_OP = X"66" or zw_REG_OP = X"26" or zw_REG_OP = X"46")) then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"16" or zw_REG_OP = X"76" or zw_REG_OP = X"36" or zw_REG_OP = X"56")) then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and (zw_REG_OP = X"0E" or zw_REG_OP = X"6E" or zw_REG_OP = X"2E" or zw_REG_OP = X"4E")) then ld_o <= "11"; ld_pc_o <= '1'; end if; when s406 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s407 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= "0000000" & zw_b2(0); ld_o <= "11"; ld_pc_o <= '1'; end if; when s409 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s416 => if (rdy_i = '1' and (zw_REG_OP = X"06" or zw_REG_OP = X"16" or zw_REG_OP = X"0E" or zw_REG_OP = X"1E")) then sig_D_OUT <= d_i(6 downto 0) & '0'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"46" or zw_REG_OP = X"56" or zw_REG_OP = X"4E" or zw_REG_OP = X"5E")) then sig_D_OUT <= '0' & d_i(7 downto 1); sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"26" or zw_REG_OP = X"36" or zw_REG_OP = X"2E" or zw_REG_OP = X"3E")) then sig_D_OUT <= d_i(6 downto 0) & reg_F(0); sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; elsif (rdy_i = '1' and (zw_REG_OP = X"66" or zw_REG_OP = X"76" or zw_REG_OP = X"6E" or zw_REG_OP = X"7E")) then sig_D_OUT <= reg_F(0) & d_i(7 downto 1); sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; end if; when s418 => ch_a_o <= zw_b1; ch_b_o <= X"00"; sig_SYNC <= '1'; fetch_o <= '1'; when s510 => if (rdy_i = '1' and zw_REG_OP = X"65") then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"69" and reg_F(3) = '0') then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"75") then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"6D") then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"7D") then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"79") then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_y_i; elsif (rdy_i = '1' and zw_REG_OP = X"71") then ch_a_o <= d_i; ch_b_o <= X"01"; elsif (rdy_i = '1' and zw_REG_OP = X"61") then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"69" and reg_F(3) = '1') then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5)); zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5)); zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0'; zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0'; zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6; zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4)); zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6; zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; end if; when s553 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s555 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= X"01"; ld_o <= "11"; ld_pc_o <= '1'; end if; when s558 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= q_y_i; end if; when s560 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s563 => if (rdy_i = '1') then ch_a_o <= zw_b1; ch_b_o <= X"01"; end if; when s564 => if (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '0') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '1') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5)); zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5)); zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0'; zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0'; zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6; zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4)); zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6; zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; end if; when s565 => if (rdy_i = '1' and reg_F(3) = '0') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & d_i) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' and reg_F(3) = '1') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned (zw_ALU6(7 downto 5)); zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned (zw_ALU5(7 downto 5)); zw_ALU6(7 downto 5) <= (zw_ALU2(4) OR zw_ALU4(4)) & (zw_ALU2(4) OR zw_ALU4(4)) & '0'; zw_ALU5(7 downto 5) <= (zw_ALU1(4) OR zw_ALU3(4)) & (zw_ALU1(4) OR zw_ALU3(4)) & '0'; zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6; zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & d_i(7 downto 4)) + (zw_ALU1(4) OR zw_ALU3(4)); zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6; zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & d_i(3 downto 0)) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; end if; when s566 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= X"01"; ld_o <= "11"; ld_pc_o <= '1'; end if; when s266 => if (rdy_i = '1' and ( (reg_F(0) = '1' and zw_REG_OP = X"90") or (reg_F(0) = '0' and zw_REG_OP = X"B0") or (reg_F(1) = '0' and zw_REG_OP = X"F0") or (reg_F(7) = '0' and zw_REG_OP = X"30") or (reg_F(1) = '1' and zw_REG_OP = X"D0") or (reg_F(7) = '1' and zw_REG_OP = X"10") or (reg_F(6) = '1' and zw_REG_OP = X"50") or (reg_F(6) = '0' and zw_REG_OP = X"70"))) then ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s301 => if (rdy_i = '1' and zw_b3 = adr_nxt_pc_i (15 downto 8)) then offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0)); ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1') then offset_o <= (zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(7) & zw_b2(6 downto 0)); ld_o <= "11"; ld_pc_o <= '1'; end if; when s302 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when RES => sig_RWn <= '1'; sig_RD <= '1'; ld_o <= "11"; ld_pc_o <= '1'; ld_sp_o <= '1'; sig_RWn <= '1'; sig_RD <= '1'; when s511 => if (rdy_i = '1' and zw_REG_OP = X"E5") then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"E9" and reg_F(3) = '0') then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"F5") then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"ED") then ld_o <= "11"; ld_pc_o <= '1'; elsif (rdy_i = '1' and zw_REG_OP = X"FD") then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"F9") then ld_o <= "11"; ld_pc_o <= '1'; ch_a_o <= d_i; ch_b_o <= q_y_i; elsif (rdy_i = '1' and zw_REG_OP = X"F1") then ch_a_o <= d_i; ch_b_o <= X"01"; elsif (rdy_i = '1' and zw_REG_OP = X"E1") then ch_a_o <= d_i; ch_b_o <= q_x_i; elsif (rdy_i = '1' and zw_REG_OP = X"E9" and reg_F(3) = '1') then ld_o <= "11"; ld_pc_o <= '1'; d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned ((zw_ALU6(8 downto 5))); zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned ((zw_ALU5(8 downto 5))); zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' & (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0'; zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' & (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ; zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6; zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4); zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6; zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; end if; when s559 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s562 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= X"01"; ld_o <= "11"; ld_pc_o <= '1'; end if; when s567 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= X"01"; ld_o <= "11"; ld_pc_o <= '1'; end if; when s568 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= q_y_i; end if; when s569 => if (rdy_i = '1') then ld_o <= "11"; ld_pc_o <= '1'; end if; when s571 => if (rdy_i = '1') then ch_a_o <= d_i; ch_b_o <= X"01"; ld_o <= "11"; ld_pc_o <= '1'; end if; when s572 => if (rdy_i = '1') then ch_a_o <= zw_b1; ch_b_o <= X"01"; end if; when s573 => if (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '0') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' AND zw_b2(0) = '0' and reg_F(3) = '1') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned ((zw_ALU6(8 downto 5))); zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned ((zw_ALU5(8 downto 5))); zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' & (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0'; zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' & (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ; zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6; zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4); zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6; zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; end if; when s574 => if (rdy_i = '1' and reg_F(3) = '0') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU <= unsigned ('0' & q_a_i) + unsigned ('0' & NOT (d_i)) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; elsif (rdy_i = '1' and reg_F(3) = '1') then d_regs_in_o <= zw_ALU(7 downto 0); load_regs_o <= '1'; zw_ALU(7 downto 4) <= unsigned (zw_ALU2(3 downto 0)) + unsigned ((zw_ALU6(8 downto 5))); zw_ALU(3 downto 0) <= unsigned (zw_ALU1(3 downto 0)) + unsigned ((zw_ALU5(8 downto 5))); zw_ALU6(8 downto 5) <= (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0' & (zw_ALU4(4) OR NOT (zw_ALU2(4))) & '0'; zw_ALU5(8 downto 5) <= (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' & (zw_ALU3(4) OR NOT (zw_ALU1(4))) & '0' ; zw_ALU4(4 downto 0) <= unsigned ('0' & zw_ALU2(3 downto 0)) + 6; zw_ALU2(4 downto 0) <= unsigned ('0' & q_a_i(7 downto 4)) + unsigned ('0' & NOT (d_i(7 downto 4))) + zw_ALU1(4); zw_ALU3(4 downto 0) <= unsigned ('0' & zw_ALU1(3 downto 0)) + 6; zw_ALU1(4 downto 0) <= unsigned ('0' & q_a_i(3 downto 0)) + unsigned ('0' & NOT (d_i(3 downto 0))) + reg_F(0); sig_SYNC <= '1'; fetch_o <= '1'; end if; when s548 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; ld_pc_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (15 downto 8); end if; when s551 => ld_o <= "11"; ld_sp_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (7 downto 0); when s552 => ld_o <= "11"; ld_sp_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= reg_F; when s577 => if (rdy_i = '1') then sig_SYNC <= '1'; fetch_o <= '1'; end if; when s532 => if (rdy_i = '1') then ld_o <= "11"; ld_sp_o <= '1'; ld_pc_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (15 downto 8); end if; when s533 => ld_o <= "11"; ld_sp_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= adr_pc_i (7 downto 0); when s534 => ld_o <= "11"; ld_sp_o <= '1'; sig_RWn <= '0'; sig_RD <= '0'; sig_WR <= '1'; sig_D_OUT <= reg_F; when s537 => if (rdy_i = '1') then adr_o <= d_i & zw_b1; ld_o <= "11"; ld_pc_o <= '1'; sig_SYNC <= '1'; fetch_o <= '1'; end if; when others => null; end case; end process output_proc; -- Concurrent Statements -- Clocked output assignments d_o <= d_o_cld; rd_o <= rd_o_cld; sync_o <= sync_o_cld; wr_n_o <= wr_n_o_cld; wr_o <= wr_o_cld; end fsm;
gpl-2.0
545/Atari7800
Atari7900/Atari7900.srcs/sources_1/ip/FOODFIGHT_ROM/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
41
20439
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block S/b+3WZyyE2NN0I2emS78G5gzXg+2HbeNQqzwGLtTu1RKu+fteo7MzjTyI9oicnaXKbXm4TdJtrL CBdSQSW09g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oaWo0XEQxxtgWP21Pl51U+TGxBlWSne4OYZ7e6qmcKkFCHhELNUyIgcchKHVbgf2g1ekpEKv23up e9kNBFVP8PaF46NC8zdQhdBiyHY4Fble0m+F7iRrQDFVq53YvvyZi2itfVZuL7dDvQ7rjRV6Giht d2GSFIryCjqjBh/6DAc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block koGZRj1ONYx4dEkr8kV6F56aDfCqsX6JXZS12blfwpx5PIsZJpmuDMgIo3EW9N+IyQs4IZBMiwKe dSc2JRW9dzyPk3KGLdehLg3ND67uw233AeitaTQNrr6Khu6xVvrozPCorKIax+/0Qimi7XwzMj7m Xmf202/pn1cRzzbsuAytg7Rezrh0CchL179vIP4VPBKySnasBil6lSYkJcqS06VlTMjTHfRb3xfi tafIIN5XblcMv63ip3KW4GQdVYJSfWiROSHkcNAkrJKSj4blZtQgdf2tQRwjIt/Vj1FHmqZ9SrEY gKl/wx4gLfGe2zBgz58itJ4qyGkNFbYpd43cIQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PdvDeCuHOT7dB2KRfG/IK54ZEWbz64WrER1IKCkqjLU8eyc+Q5B8d5SeXkSSOrUxYfGW2ZL9SNT7 xRi6Jen90/nlGOGQoHQeH4Hv2tMcpx3JZR4LJSNlvk0Fch0YJ2trGlRRUgy9/5BYSx4fpo3IduPl cpgr7ySt5TSihkyZPms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MRPtR4KhdHmz3MWfpDi9vdur+0ZJ64TDHCHLQePx1vP6vpjMfLtPdYmIOUj0hNqhjhWBIqWG7vjJ Q7u7NBs7PqXQYsEqoR/q+MOp0mJjWU6WKlEmqhrW38BvR5rCZ7u9yJx+xsMmX4wq0YjHXCc6HE8T 522tbLrKEgFXa+OBJ4AkLBo3rExrLkvYOgPupOW3BVqIZkXcv4Eld+HiJjV9reUW6AUqY3TxXDvB PUv0fi76Uyy32UyQIXDYVqGgJ8fBKKraH7t4aeBG2IN+3c4syZtKiOd1xmPockrVtzFkbkKTsl+N cC6PvaOYL5JLQTD4kKCcofiKg/q+bQsW64XsQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392) `protect data_block XYR5EDO4OXnfXqXXmJxxpRof2p/bzcBe/0WoxyLop8Qlv4cggmkdAVBslAsEeQtj/RtHKy+8L32k lZbmTjfjXu8oDn/bN24hzovJaZV4GE1Raa/eskUJ1ghVUbHtu3/hZp19nSNO9crfXNsl4F55SL8U tNiQsfMfWV5zcMnSdD+Bq6Wat0N7w03HbU9+E7Q45jSweQERqnlQZAzPdjgQ2c/9IvIKwPAXQjk8 4VTYCfSv8QiYqueJHPFdnCx7tFLp+G3Ney1SUrHqYWntiCcvZzPnLrWyuf816/FarCF5mi58XRQP us3Y0GcgBF7VEh8KIXgGnDAcjP2Qdf1sLWSLkbyNZ94Ov4EBtMP1BuJWtlkNUOUKWnp4TcDyBXPs jBZRza+f937VGzJ3XeaetrelHgI2V6IEZ7n01QEIpFdiBmah8HwUlN0ofHhXocEVBZZkrk7DaAnz cPfmSx++0FWAU8D2ygEavfs7F9MLMi1oczH15ZY6BQbDtcKaBXV/ZQwADXsg0/SeQmw9E/nUGVrs jsVohZ6bE/4CeuZzSdwQjYoEwOgCNChhTvwFTW7mptGm/1MGEYayjw15e8+CQnvwf2jt6iAap8JU UuD+XCWRMD3QZ3coiNS0Zwlnuc2Ed3uw1US9vKtS+239Nz7qWWPRbxjoLF8Ea5sLNwDuJXbgcZTO uW+AV7qkalRcZDRMIXMFahusuGxgRFa40YhM20sO+uOESaJjsXbF/N1iP9OTbZ2uaHELjYCj+5fd 8XN4niDQL1XYgYcNvixIZnVfuhJ3oIVb4/Pc08i1DRviYai5NrF4s8GbMQSBVmsnoJOJ0qBAgZ20 Flk9HJcjeRwTWIMY/PaV6S2eEusmj6hWfRgIFGwYoKG4ADKkCT7CllupSQ7HZ3jbjzWbHdLSjrrt ISoIqt4iMZrKSKG9li4ACbIZuDcPtPBArj55TVsB3cSB4iNodHkc66+pYQsoLGY7E6ORwoYxh42C Yg8/cTzGCwJgxBHsg4jzxgDgAur1pKidiyIdwwXMK51xb/ijfjO6PsmR/gYPCOu6dpVIvV2cAh5t HXh3fl/6Dfn0H27BNH5TW1uDSI/umw2r59SsLcQ9ujPpDCBnTjH7qxh7D53CXWBoV2aTqdbdL+Dj IAw5w6LeZ7ygbkCdQGv02oOvIdtNlQ7vf2NWt92ssen4IvgvC35TeEHqTFg+BbfnTIhwah203+Rt ENWHDo7mrJUyjyKzKX4uNwoInLf+M6oQtFW16U/sGlfZJLJwTRUQ5Ddro3bcsfC4tl0tXmiseesj cNW3shMf6CPcFulfCxAA3gVspVxeV8gfrQL0dOEODwPe6g4qV38mQWXenkwniyWlyEDw8q0ocf2J aTd2ReA6OlgPkkSroctjVWa8TR9bW7IV8tIySBJSC630iMykNOep5iFCfrxh5rcEjlFXFgsKvf8R swAyxyyvNToS16/+qd+iDOO29Ey6htPWw9zTmLvD42795302PErW8N70PCGpHjUE4uc1Bd6Jz7Q6 diqGUf6BEVm/GopMQTVlkwA5nL2S5xz2E9dozGxSCf677XzzMVwRkbt83+wGp7JG4Xv2iuct7re3 BKUVJrtwYh1+akp+nO3hgVYEn+2UApxWi0HpJyBjAZOhG97+xLmmjxN3dMSljnj1pa1UpaPooglq 2psTnc4rxpdNvMaQVdjlLUwWc6HwwbO99GP7E83ZL4RrWPLlVyZw+1KTU8wErAvksAX++noFASc6 a+E7maWcvzZMrEzMtwNJII1IRA/I2Y0552XYUDNrFvIDeCa2DAB3732zOOcj1zyVP40wza3syyKn vv/EvIp4nS8wPXkhBGZLpB/9307T5oqLdMQ62Ui7FkQOgMCswwWiNS5nYOm8kDQYbGxu2F8S7j1f sk+BV+XyaseLfyO4H7Y8f+mZdX0MsepeMcs76HN0ZaVnFzChc2tuU5gdtNeUpgvdpUu9ZrzAdOpK A6Wi/siB5yPhfbqVgsJcxBgWqVsDDmg5wRMgQuUn1kozM/CZ5mlcsTJlAa4dfETazDD6dfRxGXFe wv1rxC7LcACezRXZapsDKw7z7r0PsFlkcc37Qau1d2YD587OZ3ipMBexXOvc6dWvKoaLbFWREXiU GbT7knkWqFlumS6UTyXAZCBp+HiNJnzVefp8MNcyg3owh5VaiwLOYHz+tb5rMHlIAj/4IVUcOeEO l3YMRTRG8vzK9PG8mfTxn9QCTbh5cRkagUpbKYOlMnunrL+YncA7CdZSev3LIVEzvnIz6R2YpG84 JUcw5gM7Nl2dEI06gVeSGW0RhTOPJ/ZJO0bHDdFg6kPnUiRO+F3D2Png0K+V0T2P7IWNMhlLEjkS C+sdaXFrDJiMKfErhDgVn5eyDLeTyX7B9yFOaUvDOiWNRQdMmnWsaZDXk2fr6XNLjORzJ1y6O4mw vtVf0xCNSYkDODnU0stPUBiC1n1spV189rwplzCf66nC5tVs2GC88atONAyWQwj1dRAyVyVsaND3 Gf/36o+wZSnYXTRc5lvPVCTsAffENrYPs6DWdicGpl5ZFcr0m83KygJrk18NK7tQuIjGRAAED6Mn bn1kKLlAFneTLfW/EijF5pgqXzSe977B7kMoSu4LxYROi5O996gux2EHMVr1GdXFW4NLW4oIVGeb Gao3+1s+xpo4dPXITJ5vBtlr1/JoFvilbxgWj4VsutdClozDhYPpWYZnFujfZkbI+E8iDJ5q/zPb Wf5A9kt01+ojTOddPhFyKO20YkVMtX+gPv6fSU5J5AK6uui9AVDgsE4N71GpiHkYJuZKzIzBIpro 5hHvNwv6lSZGkH4duPwH2WCQonDRIIz9LlJm3PILdRWAiMXC86EsKhuKVzsmZC8/gbLbiFbcrLBb gCuNW4gN2XSlwgeWyKofdakFMowjvWpAHDQrpedBU9NnchjhDd8PqnizBKRRsUV0s1UEoEjmZHLA +8AanZrNRFT0Se57vpiF9mkeCiqywS5I+SOdmUs4vTtgdznsrpAbgQ0N6NLN4rOkvc2JacceP/4D iCnI9Cm/VEWTytVpA3We3x8M9cDuCFxGawG1tkHmyOqvZygojOj2+jhomjJOxygy6L+owE/h1+ND 5XViOE2s2eu4TzRz403OJQo+czP3dnMh02kJ5c22uf9BX3qUXGMFO3OtSYhzGjwnpSbV+FrseVi5 MT9e/G8Nyc3sMTbvO/oc1kNwfESatzAgXk9eqQWQDEs5tF6hHdjRxJJz3lmQXGGbSMJxTT2NcbsJ RSHdqB70pkeeXe+ubb10pX6+pmDKwXOMjd2IOo/8kmHsk1525W7A81aRv8MGVooYvFz6B/rN1dUd Ce6xX6b1zAzFG8sRd9k7CMMdw4qpOqyvxMUCVCnKOiYRatnmx4rMacVn3sBlvglGXspoWhwLkSkb HVkiyZr/qMUxXT/h6AdS4e+ph2wGye26bZqGzrUwkOXuS20I6wnoLqtSfJJRzhnZ6Mba5WV9j7it wROgcMVDPUiwfEwgLMrN8txsTF2XwhG6H12H8rgnidOYhI0spH40ztgiIePI9ZZzaJRD2WRzGcOu AbzHevUCrFvJ/At+cI4uL81Y5++AZgJLJE2xX7R5hm6xWC2lTjZoOMPwT5E3ItVcVRjQZ+pLLmoq Gt0rBSr5T8GbRr8WDXjez5FZ7A0FE5LRsVhxalqW2OzJ1TS09NXpjOgsA7Fjm8RJliqf0+ovGn2u 3HA3HyL3h76CiOTls0QUk5CFiUut4nZ6y0BiPz1nSXx5qJ/FGYMrzao2B8lXS+HkKSFvick2Z+9l n+2Kxj94Ex06hSBaE+LmFqrDiIPIUPTpfCeMJQtojuJMz6xLhMP4XkE9/Kpr0Mo68IZuZsFUZAle dFJl4cQtAgO2EFL/30ieERNuFwjtFjmJ102+WBrFDFohh3W404Ywr9SFQwW+XOhZsCiAMk+lmWpx TNFCR9b8kiKj3gCSctRouxmoJEcPKLV/2bLRr2q+1WGVoyHftPWNVJmQ2BYLJR7BJ8S+0O5JLerd tr3BR2WIvtZc7YMa2sp9BdBxXlS82XEyp/Ju2fAwCsGOLOPx5hUsPCRpkEuPGP1LDfpWzi0/kMgI dlgiWDcBGjSDsP9B8Lf5Uf4Zs8qX4l/wNyWZAiRu9DjeiZnMCiusr25Vb4/wZgYexkcSX8I5DQ+8 ycquwBHNkNCJaD+DmMZc7u3xUZB1cxQv0ThdgPy0Jfb/lKuWnkZGveo03srjUTVkM9iV6a5uakGA RN+ubnOKjtS+qG+hkL/ligKIugEQAY3HxYpUCpT6JnpT7C4T/sGesr4IOq4x8IQStLG4Eyfugs+u XbN1Y0oW3Kux+dnJ+xAmh3SyPT44IY8JnADS3zF6P9u6d0Ng7rjgatBLaqIXokTW5XuzOM5N8iz9 sNT9vzSDnRSRCb+ad522MylPIkq+8JrkHchJlXi2sSPFzFbkMRjh5yXz8pHzCcQCiMVBIGZ+ndjr jub+C4G3LrFYabznIK3ORWRMYxsxdi1inamz+M9jxKVSZ74XTxgKA7OKInpwIlbHpe8IexEJb1md uvl+r+KPE5ARw53nqba3DLg5gDR/w5QCiA0/jHjW4eLi4mlql5UMKnVZtZe111G/IsWbGUEiZiBU pCteLakVQkGDETQ2O87RjS9gEQtnMPpgdV5bCvtWQRfutFF+aWfRZH8pWDaZ3eJAQoYwjppTjoCF 1Rr7kS1ZRYU1kRaI3JIq6IGS8aY05Ql1+GhTJ8eFBXeW8Kd3otDqqUP1cf0Tx7kbNPNTplZKTrb2 3QvOvJaRz+KV4WEoyL9dPsD1QpH1I6J0OXhEJcDogWqh3KfyKjdpS/fVVrGWlas9Vb0T3xU5x17B mStx7DKiD3wpi2D2VNhICP+cRBSgY51VUT5Htu3KkBmi2QbOQPp4eDXdWgL033HYseQC1bNJBiYI sv2k2eSxnH0D8QsaTg9tkT7elaK4dAaJwyqhG+Ig8z3i1iOYnzkLkJ32EV0j5tOvbkodq6g0elzn sP/cJSzxcI5ymJLiTYhkEjYk2KfQ3R+UZoPig09qPvBDaaOKciddZCeuJHILe7ZLGG6cHvqvagIv q2D80XWa1cY9p/bq8wcN5UAvtw327anVMXT6FM+UAqRvdVcLxYGqI/ZDhevJLdzRTeqiwA+hvk1e EazsnT3OcvqEOLMRcfplVjfmgemAMHzUTj4ngnU4nOCHN7moPR8dgEWP+zjqpv9CmeGU2oBg5eV/ FSWfdEocSLOz0ZipoFU9wWyvHNNZCl6fDsIMeDTv3v1oQeIEJcn6XbVs3Oh3RwvSHPOJiXcQo+7f s4BvpT3cRX74CgSCzfjJ9LCuVAXsUs+fxv+tLIaOtpkqaqhVVvlfme7TWWU8jCXJRkvFkilPqfre 5Lc4qmfwYxqqJWKF7VrN7zTtdNaehsLlJq/7DCGo2pdXJu7HTbmrGgu4e+Lm8Dj9t+a/FqY8URie cmL5/gKw/GZ0NdyYrQQ0FGBcFdXDStp8l5/ARbKTYN1B5CEPCDeDNT5LU2TcAKApFbn+Xylx1DgW oYVfWQ3FOTU2rVxlhv+v7wbcn0Mn2LG2PCfEjEnEunkGIX1UbG9JphDP5Wcw6gvcsOAkrS/FpZDc fZWlNmWYw8/Tqf047sXflqaFuoPEPcd6vWwhK/8hKGXLR2oQFN6E2LuePpVR5IfmqTBknm0L+LRx adrUkjkWRzOsSXktTIFWzjjC4E5CC3Zw2Y/qoDlPcqxClCbi9dyneUO/84eDMBDZj3r85Ud1ZL+J rR8mPNJfgclwLH4plDSf/9Z1FL+V44yEm0YfqRUXAGsw7gNwWB5QF3msqxqIWkOrjiLnLc/Ry9vO MCEgfqWJJPD5G7BBey5VqhJ4hAhVp+OH6rQ4dXobEY/qFeR2py0T6/9oUFcUT4zrOYpGyyg40LC9 p7EC6uyBf/QHiofDRdfnZ3hMmUuON5DpQF2IsJ2JtCdFUIDTLfMh0z/dWwpsU/KUZuhfuIO2fyvW Cjk6xRNP7RmExcpi4lhWV10Y6G5OoEg6ARA0T1Q0l5VNOfHDNoKi7z37tIGLza3CWtUbeKT8g/os r7fehAV73LcDwBwFvt1BTaqAzJ6ESfS3iRRAtJfAUGmzLpJC8YpgHr2jqlikUet8+HpXUVohX7oJ obmvM1lySLBBsSVRh3oJ78iPjp+Lx5x2cneIIIIcfP6Wp6kKxXjsYIqb3rXhHDCb+cwCnfm6Ha7m 01s8zod7Nk73FokdwSllllg/yb8maAZgIuZeFo6z1LZ7Z9WgvkzWsZhn+bq2WsmW6OWmLvGYMZ03 /5gIz8wQrjbk79jAnR0onPz7OSKQnTGKEpAX3hCh8qLmoVDiBag0z6lPbwVIg4ttIysWfyfpw2RO g/c6qhp78jQpb49fusLcXI9QnXfOlkt2HWDdEd6blcySGoYZxe4WZxPPth6IByTLpv6HjZ0WzjEv WwmNjBh98Sp2kc/BrcQn3kUP4aAjDDLn5iXWYVTVaBLqWHKjhfZJtwdk+ouEb70HJqGf/xZov0Gt EBb/WIPL+CnMyqJ2iHrw8yXn+h5Wz4LQY2OslmHImNztaCAtGaTg6HaiZQ48IfniGDRQJsbLS5DT NH/2hAsB2n6Nn8i40vMGMBGOROvJ4Kn/O5Ic0++44PUDiUJrgsPKOb2In4s4RYCkh5i8HZEaU1kX aISH1SiysWGFwRDBoq8KKOi0g1t0QdMg5UCVaEGg+TZ1oCgpzPEezzQjH0hWAVEKjsXdVw5rblUL AJ4BDTxVo35dekv/6n9IqXoXo55V99OeTKXIuPN7WwqQjdZb1apQXyR1Shx9D6/SeheRczDbk7MK CfW+c8S3jfef+Ah6LDnEMCTv97LIlU9MC2ImI1KcAj6Jjdgsnv2j/Cu/PhnaTPgy6nqZ0p+T+03s yiH+Me6dPfcyLkDaiNPYWkJ8+GIRscCTsD1zzo8IM1yEN3KlMWh2X3yUmtC9HmFfmo0pV7/b2GQC Y2046njGtLDglPhEM2Gd8pjxYWFlNdzqOlAMA+y0sfiU/izWcwSWqWp2UXgJ23av1DATSs2+RzzQ D+XWvBvD91rak4PwVMDfUXK3rxQayZzm3toRKt3gweOpk6Mxyt8W7NaJDmxdyha19jvTEhn3RJvo vOL1lDqYPXM7hbcvDnHy551FtQApgKQCihOGlcFx8XMuXDfywOWQ7aKKtqhpJDFAoMiG9piYheTU NX3+RkTmf0Vp4vtrEVn7iyjKuIfswo+8ObQEOszOUela1odwPiGYcBIMtCPbmfYw3is+vqwpxkxN 3VhkfBM9o9ncCpMVpDyQ6ZG11YS4Pob9LrmUj/Q1cPb++kx4GacgV4zE9PuP+4cN8jnIfJbnNTDg bnjPrXObCj0O7msOY6v1CHhdAMR5FsxJ/PfBBlNeNG49qeJnt/4ugJVJd9S+W0dB57Nh2G/6WHzV wXDu0Ugc9455b2uDWw9F4XuEdWjte3pG4kDVJ51nC67FziwRzKyfFrT1sSclI9jIATnc81P6xzkZ 130muSr8YFGjL2NG3mJZYtV4h9f/zB42ou13IMLrjuElDTLHl2F3vn/mq0y3CgpUcxYCbvixwABO xIBTKFPv18L6CEvTK5OidN9rDeTgo65lGQCDt7twrAiduXsMEYCxlp1P2fxVy3waUOnqoUt9kqkR Y6S+wtruIKpxA2r7DoDFs/RGEcaJufffxRZzM1tGUYsu+WBzhpIHqbgasZ6eFWm4dMapFOLF4ptc AP1Kwvp/E0SGSWnNK8CldMnXJk734f9kycAZZ0Uh87rq3440nZSIvCg4/X4woQb6o2pj178STtxZ q0qNgFrqbCSH6KrIxUrF0iFwfjV1ihhrtMN5XQO3ByFRBiBFqT3aKeD2/zFCOsPzHJULfogYXCmT HME6uU3mrGtGjSpL5rUrCsi+FPU5MdxfY8/RCScA3CMxcV2F7tQ/relgFtzZ9V68QxyznbDUrYv4 /V+ulQ7bIQwGshiAYfir2hwJoDWtmP2faPiYoxkX4qYoRa5bsn65d+hevAqoUy1x3xB5TE1yVfNX sg+NI9W/bZfYvjKTD0szBj3zS5sfySYAWDTxyiSVgxPBKNvLP1FmE5GEdXXxn8MiWZbzs4nftJ5U +xJ9q93lOmnmlBrFLLQvkN89d5xE7vEQvec+aDPlIwtSdG64h1qetKk9NlGae7bxsQtLkDmQoSWx sisXnRZn1IVNvCrS44vVeRyj2as4hnH+mDPBkIqu8jG3j8VPj75h6A3kXXE2BxZi+Auzy1B4Enbc QR29J2ZD9pYcyWVlUJLkvzjoJWnaE8iqRPqKsVqgGi07pwp8c1FmyCwQlcCJXh97Wdzl2IDL0ZY9 DJJ5EwJDsFeUC3RcZN5btpjbHKo2Wu3bctFTzOcWKioYPAQCdttKFkL5zknfY8ntHEXy0L81/Hj+ 24YuIBXhbn6L4kfWllHnqQnCgmvtGKbrRwRZbsghOMl8WjfvmfX1HiPfbc0wZDZLpRjyM/GVcTbW bFXXIMap9caD1PldRZgaSXP98xcsKVbQyAiYbwfdcPuMSyURVkiKNIc5XiR1YmDL82pIvlY3ox04 Fi5ner4TjjRNzHnznQ/tse7BNsFbAp2Jkfii7UG0XradZrzBHe7PzN16hIiqRPcQxs+cep+/hUYU K+9RDBimtHGFRWbuqtx8WOWQ6wg+piMx5qpNI74oV26QmHUqp8NubIbjaM7CT7ImSIbFkvl3su+U fOjcQwh3tnIjBFP0N7yoqneXqZYR/KcUTuYO8d4b20hUcwrJH0ZnKTYcP0QJfgY5F5VOfE4MWUsV qBwxUOPBfBeK/62kcKCyG84UUiCgNOLfjGdtRgP+IHRXGZTwlWtQcyeOBjgIcT7ix2rqDo7u85+t TtgvYALj1/Wlw/0G1x+DNnreJzZ/oJypJOupx0ZQlu2oF0xoNPvxmxGzEz5BUC4cnAnmvhs6ejDK kuaMzJSGVnOvB43tIQl6/pTX3k2BhCNnE/ZL7heKvfI+oSXSBgpB0JIt/Y8chWdsc06QOXvba9Xt 2E6b630VuaDw0suqT4mNrTwie0dxyrfR/MgZmW92TRhNOVCpKwQJi6+L/vDpyNazMrP72Q1OpawA cPH6+7T8k4Zxq+3LqDd5eWh6QOGlQUVRsa2mQuIP8aNWXxBpCbQi1Ugp17LwlJ73YW55CTLYRP5o dyddhuVVsYUqxuOvQ+lvIc1xU0gox48z7XhRlf4oUQWMSmJunQfwKEh56VCejS38RCwandNvE4xZ NablEwHtjhaLIuk0XA2PKz/2aMu+ILflnfZ1u7ThtNjygE35ntplh0nVNuo97N7SE4Sr+uQxgmmm bly8gmgEeKsNEqjLcaN0w1o3MLbLQSOVVppD7oeK/hohMd0Zx2kucrwQ+ziEDegdxFPXDPnlWCMq OccswRWsVEXFGpoIu4AxX+9/9cxRdyW5WEZWj9DBoNAVWh7EFkiZeGAu1+2sIZ2a1vWZIobL99wA WuCg1J1Krde/qJqsXD7eguB72b+NSh4Ez8ANudpsd2uXlf3iagl146j2yRHrd+FhbKHvirmuSa+4 Th6IqWlNLkfkFKirlEPswDc6rAEfaS4EM5yGr7arOOXnV6IEhzq9PBgVcImQFjLDsuWZ9K/HMIeh B9AEmwxzNTrhYruhfRA9a8hA0dD2OEYyLa9F/YH9M9qHpZrehmGdS+MJ9Gk19ESRjObDuIs3MTrj s2UpOej3cWhPjdjar/OildS6v5pnViSKiiIz9Phbi+UbRvViEgrKqz6ILJ/FmpFCfIAIPMSEzhmp ARJgy5+x/XsT3CG8L9jkYutcRkA0+QpZhQWCNlTsuHKR/bJnh6wmxKqGre6k8S9U2jB1/EqimccH VoNl7hfLqHx6SOZ6JDmVuIPh0DbljPtBwRGomT3vMrbfsk6aa11p5b9zG/+yG+UhV+ee+EUOxZk+ TdpWkJgJbkr1IwozdE4/Cb7nj11QfB179I62uTCfe1NflCoDg6DZC5YKlEaCMDUUaDmEPflshPgH 1ceG5HsoXRd8UKfDv+i/rREMq6i5+2Uo12VbB+aASfO0is5VoQsX7GJSogDv/5RTrLZ2P3DLj7GG eD0ihaCE8SZSuacJVK9BgEFGYnOCEbD2KURdTFa4zZf1dzu7m1QdNXGYtoqiJ1AHm+sTXcoKObCC UPi+uuB4W7KNMKkPnVaWLmE5i1RwOTXicMx0Al0br9vPzsvKncq7z+IDaDer3WLAlhdEOWd/3o1t tD4mOtyWjBYDoNDpNPPV1wDmofUGaAtJri7pBf9wYQ4Wf8vGF8z7vYryuGqOYfFeQwlE0+6ihpIE DG4tR7yTYPqj16XzrjRHCt9ovoJmgLn4If5n2UU24wz2wYlLlz58oIK0DqsXoQXB/u0eADKivQcz UZkxMYsWdwXznLR8HZJmto+xI3bjQ82sc/myOdreShdSxj1EAi/OXqgo4EzzoDPlMpHy+z3bGZQR iADvGd8hjBFCURmukazHWsSA6Tl8nRn+sVD4RA8TIa3KoRbwy6809+CWj4+wYAOvbQkUCFKHWrcu aYdvVSyny0Sf8rCRtVF5uk68ZQtHT4C/nO9us2K+qCJL/wRxNHV1c14919R6mK2zG0zyehdz/9RK /crjcikT/H988FpPhvYmBUXzm9eU2USiBRXOeVKvII660kNtt6MdgmtQyPestA3N3vpmbNQxYGRV aRYmP1VEW3k/hsewG7mMk0TfGyNW1Zn5tUXqF5Fm447fvGYBaLs0UgWk17Ns2yAvpJNNX1/IgC3y PYJ0vBsTui20wxdtOayZhzjdSZJGvV/2Pdxaevp6vHxS2f2Yu5shVrdSq5s1fPPQZZVSEXtntRU3 xCqEw/aKXhO4qYFhLb4m/Opf3hnj9iUhrmVIL0taxUcFPqqD/c3V4F4AbptFsriohaD1iTDDDioe AJzvixVES5eZ7j7zUTQivg70PHlwjggcCEtTTnmfbKW1MmGkI5Ptel2Rofll0AqlIqKnVsh+V9DU Mr4Y0TetdleHRfcR5plMhNzXKvE9Pa8DYaXXFdDOJKVEedCagzdDg4TSOcKc4y3V+W20TgicWJDa jqDWWMXbj6c/L36rdnBkyV2ziawsa22Izt5RRyro67rZju/ifpfgEwaYUlxdMb+shj47An0uYJM3 tVnRo94TeyV3EPARAiGgIWyvyf19cdMkYbdATAIdZAdn87mfQtKxq7oPM2il5OYkpQ/Mb4Z+pdBv AnQGOHPun7RZhzsM9dY73E+51uDAI34J8U204dsam7fC+cuTTVV9/FHXWsSK9qdeQ01zBYLojw22 mXL9tDFxywrzOHC471kK1WoDpdtbipHHeLmZ964le+485EQy+iFPE1v9kmJFF8Eysw9uBh3WRYhm xcmS/Ap6Q9ngE5XKDDoUgWeSMSTD6jRJ4hqLPULBJiUjJnkeQoFfalRMfMKtOnOF5Mz25KEKxTMh Y6BmCa84/rp+6jnontD2gYTwTrBE93OupwUlvYKAOho9NO5CQeenHRPlUOzJiDzfFIoAM2C+Ekbp nTSIkIa5fIirtcuLIDPOfC5EbELU6LwXuzg3rlm1qnLlK0HjUbqIMNMtOBsAfZIlQlMT9qDH4cwj kfQZi24RZQ225GLXjJKcoTExPPAIre5+Ip/j7Ic76jKNBpLJA0m1NYy2CZ39qYIOqFqMi/15jHNU C2G+ijG67YLUbJoebwMxtWhNB+L0jiig9qBx0vQb6yfXzLAAyjMaYHXs6uoFn+0I9DCXb/ZS0Ddy LQpshGBu4hs+paF3ymVerxg8G1tozF7Z9QCNfd5P9Sm53URH9u701saxdIJI7RWr2Se5JcMlz0B0 y0xL77exLvaaj0BW3/kR99tcKXtqAMZWgsVlX8+sR897pyexpcKPz8Z2qD23ukhVO3C11GrtOVM7 1/DOG9QaH8pR8tO5cyrT9BQGrzz61gq+wEckO0OqTqcllC4p7Ws6AhhWGc/n0p5IS6p9lNBiQ+DW 2i9zs2Xj/rCaKaf/6kLDcu24EeWDqsxqpuehZVOG87TJJ8dz4yG5t3L4MZFrGHWekF/kvm45wBdg +VsWC+GCAoOLY1oNU8ANyzur2fWl8t8zw6zD4W5fkxKXpokUMliYSJYgs9QJFDsS+P8bM13Gwwe9 7qenYSaTvsqXx0LvAnlYFatK2if0/GJe1b45L3rmwmHiz4He0JBUg5+veK1TJZyADwJv/Fyt/TzM IMlE9HtPS8BDIzGYVmVWHHWKIHUiRN0oNEsGvNDawKZFLU5eFiTvflOtTjFdHjKoexES+TbdpjG1 bfAaXGR7oyItZo2I8eu8gY4sc0VNsfaCq1TNoxchAsQUQThMHXUwkKZP7XZJ+aKSMgbpyADQLkwl H97dRYew9QQc9NyDJyLnqBw8YaWnCnInORx1/AeeEjBPOcctR2SJR/3CD1GYLmb0mKDhv+oxB5ep XEfs6IYhZE6WZExRegGY8SSWKH1d4lK1ht9okICDoFcD6aFQdghONEZpjnXwKS0S3MtEy81gib/b jlBnGnAiWA73Z9It3N1sTfWZGI2atthgBVpy9AGvNxpZEHPjQTAOqcn/VBvaNA1HlQJvMnWAyAsU GRJBjAbGS0pGzPo5d6qkX4slprdgV8s+VtN2iXBwsh2uOn84U9Fd5vQ5QMQKK46YeScergKqPpy1 gBis4FvgcaiUdoNlFreIFvKj1WeYwbiBl3b5RJVqqrSPv+Z9gDwMx+0WqUqGNtJFBFE43CG/6KkJ AcA3RCI8JYSfjNyL39UEl37KuvXtK3Blc7M9/H0JJdKnONu7q7yOFfu9fNFBBzIT+XNerX0r1lTA NZRh/mtB0TwjidZrDrw/iMj1mcgKQXiQ46EpMkH7oRrUW9UIAuI/TpQvEGD0u4YvMNQ2+Qu8uyJt FXTIcMg/Mp7NNu/DxAQI1WfiE9bnOq0DNwDGKeT1XT7SxUGQqxuGUSVZ4p6/70OL6tQljc0y5xmC ttxX69CXoixVf/VuoEqTrXhirPM3+TgqbJ/Y9if+CL1P9Z/rWQju47tIbPlZMj5Xpc+/1J8z83ih rZVU3kQoJ4OEoFg/+7MYX/MrrURAA7uWBmYf5cr4Bi0Xx3CBIhKr/AvwcakzbCmbrgjsoJCGeFAO aZW4P+HdAuhyjLcnGuac8x107TNBmX8QGeoN/d4+mEvTKObQaokkb0TlHb/b2FEk7tt/oSBJQMWa 814f0jFIJYWkWSqoSzM6HdrFPXs7D1SMHvplFlO1ktexYNqnfogwX8/rSCX+VqZkhTKuHPFeSPgl PkfNQxP3+C2fvTXVF7tT5UJKd7HVY1bI0MNVzF9sOHQuYPBh9rHQ5quM/bZRxac6CisTvcuwQU9M LNe8gCpZ4VWvzWHAnJP6j+1SqwKLBP+DM1Q4jUZZQe0u5qLERe1FMuffgm5I+FS6hVmPOqAg9uNr OMfQyTmlfYPOR66ohLCBaYTgHnLwu8mdBIuF1sa3XbRbKvetIRmfWN/MXZLrkz+OltmQMyW2xvdK TrFnsY75xhsyLtYjUMBNxqNXWpzkPkNJvW75fVijaZLnAiEybCrBvWQgnFcXFufICd5VQ/Op4sG5 MEBkIrH979hhj6GBL8JIq4SR8kkyTWCogCT4DjocJhGEXAsweTbY6kYFZAooNqosPa5a1ZasrPJ9 KR8iLccWQx85ujsURiiht8mqheFyNr3In7FuPPhFgt0xHP2A1f9Hdlr+3LBd7l+ptfQpjnXaPwYD adUapAatBx1cpdXqAW76vrB2/i1YP6kXhGNrNmRjTfYwv3hVUBblH3vgr6SqFSxHqW7alHP4zHIC bQQXuby9fmrWIghdBEBetGrU1YAfF9oxyXtBjblxsCewgW5rBI94PO2mapwzHPIy3FfXaQvIUpht Xc2jYHhMoQAXZDQASMQ4fMF9S1SapZh374yQTLj7G+m2PfF+Gw5ltdqr6a3p9f8FhxOb07Atbxkr Zm172W5Z62SSQa9Pgqm1XtZvEgncfESkN9jou9jywi0RbMMFZziuui082KsIYpSdRIB2G31js2lM veMyoPp4CHDK+WBv4UricxKqrOPJLVcuUTQQO13PPm/bN1BdpfjxtdauMuWbhv1ri58+FwP6KCUg EjUyaCu5x/pj9qOmn0+5j9iRUp2Cuq5bdcSiCyVT5SLidcyPnY9DNb3Tb/kwh2lqAC9nmGNrzasz TzC5Se94fHNqyNtU9Mm68fqXIl+eQNMugBu7s9JF8nxS2xzA349fgvFDJzp3m2uNmvWAXfg5dGme 7p69zyVvcxWIeivVGO5jsCk3ok1NN0lKLx2vLHkeiigDou7rG0IuUzHqNgyY9nxbB+nwJHUrTOL4 cGCppD/Nk90K2+B+vuoFsRW45G5CEPRdtchUyTP8ZjCz0EUa/QSBBVWPiLVofBihAEOS/00jl/GZ jruO7YGhhn16UlKvJdACa7rWqerLpkTXHG0pNnVwwynuBmw6yzffx92tbNs8bXjHnm2XBUItGTH4 Nrp/bSt2H4vMPl5ghpzb0FWN748bW/fw95tILnesbogBQqrwp8K1nktbq5Kk4GVQFHIVsIXJmEyc N6vXWUeK5d12KDf5VJbsWBpwYVaT6JrSlFsKERTEqKo6D4Lb8YWbwIRjMXuOtnH/CP+d8bMeOcoe 1NHawKvaTIVQbHvusIStSSLX6Tv/aI2jsFSLz3OQI1liod+GjwP35mTrhVTtOyMzy1wKOIXbiuNU WfP7xPBhb2HdJy9vqxhKl2sSMvG6nM7VjByE2Az5TjskhxTk9h7N6e/2Bar3hUCIritgOcvQWQAY ugDYlK9LJRNCZvHj7PySQLnQNXlKr5YM6NnxfqUdXbqJL7NZn1iXFCJRxwVqKr46zXULWFz1ZaIM pYcUPZDJUWls0TFJr2sLYF/oxRV8AC/j/8xu+gcKHxnYdWzJTERiRcX7E5miL2mCAkKoFV3ChPhr gWsFkY6ez3tKgmDPGbNiGMaSninwVtZ41EikOVeM3bDF+59tSnBzlNO+h1tZcReDQSAmXQktpySx AuTcEDpiM1MjCTUQPPiJmyvDBUR0xJ4TpSI9QTg5yZhb10FootnsTWx/40JzCfrdS4AOcTZmfJnI gGIfmcBUOiqwF5VNan0xOA3+fY3lQw3yMFCwG+erYWpFdDI605xWlRxjllFM7dBMonW/Zo8N2Dfh vKMm+7aDsUSvA59SSNBrcyT3BhAqJ6s8BSKnfyFFmBRhs3hz4mS5c2Rf0jwwRHQjoJdYY8i2ofOE u47sQDFKnfcjo9gqw5QP1TL3ead6G4LSBDSaWreSh+aQP9NYYpVs8v1GSUqNLqBXFSerq4fP/Krk e4Sx0GvT7lL5eT9pledKyJXxljztybUkrhtZvf8ub9AXBy0lxiWEWNxXmFb4fc+ZRVLiv3Wdj1xA j7/NqMhQruR06auKMqZ9wSUPMyQmFonOXYeo2S/yyszqc1AT3nRj6lKI0jOTPIg8yphWaqxib7e7 gEqHmM14pg1u4oOKLb1tTjhmCrySeLqJ2pR3ilqhe/03sPdCeAK3cG0vzWFdO2Ela0dczUPH3wZy RX0XwFjNXb/f1K46M2S1EqQx4C4I9Qy4CK0hOq+IljjLIScQ916eQEEYe4kTUGpO8rzuLxQ1SRYk 8HcohvEJ3ixdOzvIgfr4ZkSlGvnGxW0TeBq63bXgnsEUqR7a7MN2HBV6rksnU+0ErP2GOeHtSq1G Wp+nMSnSKl/dzMpPmZstI2UtZ6GCjhvhtNDS1MNcjxtprGCa3A5ecnpuA6ab5kbVwrYNcMV1EFlU HrYobMyxlR5VVyFtr1U6SEoBQsSYvGSa9/74IDZvxCzUtQOUVmzv6vnEvYWUuY6jVkBGeTv8THIV 3TSY9ZArsgY+IyNZhf6MYjTP7WFFgQS/mRd618mpfxZiS4DaJFQRsOpYCf6rJzKgBCOkZZSOe4j8 QwrAxGnctyefhGO10gTiC8AS7K/1gDnfiCdF/aSumqFB40asOthXfWJtg62QhcW/c1h8UlkJsSvD 5z3L64HuGMrFx435y++L0cUqQrMF+peMLrWxa2YbqNTopsV+9s0WJLxxl99EBceEQdlVtH/Uf7c+ xijZhjhHUun2JICEgS5BvG3Id8B2y1VCB8F8lM+hegi3TP7oycN/3oVyIoyFJy1avikIhfXEK5nQ PBfTTnKAIPCNVby5rmLaOtiDFZpqJtjaj8odtvEC3z1jnHIiqwN4CU27DtEEmN1rDbSpf1D0o0Lv z6K7PrLZPH7ZzXrb8gF03Qv0oN0tVz9w60uvdSj4qXOoI7PeHVjZ8aXHhLEF8Ub/jKRU7DovG6UJ oyXp2yYUcifhKSJn6l3OKW/9vQtzjdl70DCtaaS0jGh1E9wJqiH6YRmsG4DBo2Dx8o6liAtmS9aN MUOyBN6O+FxxxJxKBkU3XiXRvtldFBX1hC/TQNX0LDegXGYEFZWPEwNTPSdLS/ncDpb1d+A60O9C TZ1oGBNoIwiDVUcj8rfaLu4QOwSjUEQtvzFzDcWm40DUoMX4JlojsbLDzyp/X700okaEEPo6ywPy UZpEgOg1pev7Q7tjBbeaCuSVGSksZDar7L3en7euWcV0TGFCVJYL8yl6Fz3+0zt5gQoJQneD0pyj /q+79I+rmxb+RoYJzWqL8Z63Ydd21ULUb6PflVigStqpP1veDapVgO51I89N+sbtZlD8O2Aqm+wD fM98LVLNc0+etrADc1zXX+y87zEoWUE88OgLiJoU9WmNxSK3QqefjOzpCQiyuMcKZM6xAbM/X/r3 m2pkrY52hZ2NWjeMF8Y1iOyopCbX0bR0XbulH0hB8z1FzlSlo6MILooCp/15ePTRXi2Ulf6oqr/Q NYcO31ORyEpNNq0jvKcn7sGKJZ6FH/4hshsxscivHw3DCyZlgIeAR9xK1UmCpoWWRs58JOKzwIbX AZRE9XGoJLGiZ5hagIi9i0bBTj3PdANVW9Fxb2seq0ZkDGioO3JK/HQ2waTiiUuHSYFTT2f8CyMz XuTqL4G1Iv6aQWrGWiGHk5T9uvifA8KHVx5SxCD2WQtPLlt1wUZwYmIAzlYAM/E2jziBnfpPU0lL mxukfK9CbjIaMOtpmuF+fDh9iqKNWPQ+jwe6ouCR2Mo+goJ2jtREXNncr0Qi41O+EyryGyMnZobx FgssBy0LmyZvJEOv6dPsZbZnyPWALDjAbYt70Tp3WKwyFs4JZrtk3a6NusOIo++gbAT5Y7v9zLBE wl7pIRbKYuBBwEnfKmeQrtecnqlZ9YvmvDa/VX2ItDPder6FnkII/ERAM/J+KL1JFIfTOV50saaM YRj70hsRpMYB55q9/n5eYuJ5zcAqsTNmELioahjFEZ1Jb7WrjdN0DvtDRBCYiILPOs7rXGNBPJqE 1QsZ+WmO2z7tZetfGQuEne78g4mbfynugV6iR/67TquQwAox+o3lLH7KijOIcotwWZbe/LrceZIC QXM9Pqol9Vla9W/tHepEmDqQNh6MyQFpTf4V6aGP8dw0p6FWSv1VXjSmyeGP/UEhvOZe295SzJX5 LMMVeSTgCD8Mpz5fWobJakWt7EyMUZSaI7OY7IlvhSB6jewfxWa6bUCYkMQvFrewHJz8HaiS4GeQ hk9sn0mOL3vHaOVueiqojhqTVASbdjDIfsBvnFMg7TuWXD67ovxUDYHMQn5UALKpnb+IcO67doNw /NidH8BKKHOXn0NE7jvNLymFz1sG3D55gsTy113+45e7abbWq3FJ8dFnXuPhmWtCwfFxcJIbd0+C U/PLOIKnF6yQY7cKqdneBBDNf2LXyutUFCl+Ec0xnRHxtWLGGuMkvedZhyar3coUpUDN0GJ0 `protect end_protected
gpl-2.0
545/Atari7800
Atari7900/Atari7900.srcs/sources_1/ip/CHOPLIFTER/blk_mem_gen_v8_2/hdl/blk_mem_gen_v8_2.vhd
41
20439
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block S/b+3WZyyE2NN0I2emS78G5gzXg+2HbeNQqzwGLtTu1RKu+fteo7MzjTyI9oicnaXKbXm4TdJtrL CBdSQSW09g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oaWo0XEQxxtgWP21Pl51U+TGxBlWSne4OYZ7e6qmcKkFCHhELNUyIgcchKHVbgf2g1ekpEKv23up e9kNBFVP8PaF46NC8zdQhdBiyHY4Fble0m+F7iRrQDFVq53YvvyZi2itfVZuL7dDvQ7rjRV6Giht d2GSFIryCjqjBh/6DAc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block koGZRj1ONYx4dEkr8kV6F56aDfCqsX6JXZS12blfwpx5PIsZJpmuDMgIo3EW9N+IyQs4IZBMiwKe dSc2JRW9dzyPk3KGLdehLg3ND67uw233AeitaTQNrr6Khu6xVvrozPCorKIax+/0Qimi7XwzMj7m Xmf202/pn1cRzzbsuAytg7Rezrh0CchL179vIP4VPBKySnasBil6lSYkJcqS06VlTMjTHfRb3xfi tafIIN5XblcMv63ip3KW4GQdVYJSfWiROSHkcNAkrJKSj4blZtQgdf2tQRwjIt/Vj1FHmqZ9SrEY gKl/wx4gLfGe2zBgz58itJ4qyGkNFbYpd43cIQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block PdvDeCuHOT7dB2KRfG/IK54ZEWbz64WrER1IKCkqjLU8eyc+Q5B8d5SeXkSSOrUxYfGW2ZL9SNT7 xRi6Jen90/nlGOGQoHQeH4Hv2tMcpx3JZR4LJSNlvk0Fch0YJ2trGlRRUgy9/5BYSx4fpo3IduPl cpgr7ySt5TSihkyZPms= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MRPtR4KhdHmz3MWfpDi9vdur+0ZJ64TDHCHLQePx1vP6vpjMfLtPdYmIOUj0hNqhjhWBIqWG7vjJ Q7u7NBs7PqXQYsEqoR/q+MOp0mJjWU6WKlEmqhrW38BvR5rCZ7u9yJx+xsMmX4wq0YjHXCc6HE8T 522tbLrKEgFXa+OBJ4AkLBo3rExrLkvYOgPupOW3BVqIZkXcv4Eld+HiJjV9reUW6AUqY3TxXDvB PUv0fi76Uyy32UyQIXDYVqGgJ8fBKKraH7t4aeBG2IN+3c4syZtKiOd1xmPockrVtzFkbkKTsl+N cC6PvaOYL5JLQTD4kKCcofiKg/q+bQsW64XsQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13392) `protect data_block XYR5EDO4OXnfXqXXmJxxpRof2p/bzcBe/0WoxyLop8Qlv4cggmkdAVBslAsEeQtj/RtHKy+8L32k lZbmTjfjXu8oDn/bN24hzovJaZV4GE1Raa/eskUJ1ghVUbHtu3/hZp19nSNO9crfXNsl4F55SL8U tNiQsfMfWV5zcMnSdD+Bq6Wat0N7w03HbU9+E7Q45jSweQERqnlQZAzPdjgQ2c/9IvIKwPAXQjk8 4VTYCfSv8QiYqueJHPFdnCx7tFLp+G3Ney1SUrHqYWntiCcvZzPnLrWyuf816/FarCF5mi58XRQP us3Y0GcgBF7VEh8KIXgGnDAcjP2Qdf1sLWSLkbyNZ94Ov4EBtMP1BuJWtlkNUOUKWnp4TcDyBXPs jBZRza+f937VGzJ3XeaetrelHgI2V6IEZ7n01QEIpFdiBmah8HwUlN0ofHhXocEVBZZkrk7DaAnz cPfmSx++0FWAU8D2ygEavfs7F9MLMi1oczH15ZY6BQbDtcKaBXV/ZQwADXsg0/SeQmw9E/nUGVrs jsVohZ6bE/4CeuZzSdwQjYoEwOgCNChhTvwFTW7mptGm/1MGEYayjw15e8+CQnvwf2jt6iAap8JU UuD+XCWRMD3QZ3coiNS0Zwlnuc2Ed3uw1US9vKtS+239Nz7qWWPRbxjoLF8Ea5sLNwDuJXbgcZTO uW+AV7qkalRcZDRMIXMFahusuGxgRFa40YhM20sO+uOESaJjsXbF/N1iP9OTbZ2uaHELjYCj+5fd 8XN4niDQL1XYgYcNvixIZnVfuhJ3oIVb4/Pc08i1DRviYai5NrF4s8GbMQSBVmsnoJOJ0qBAgZ20 Flk9HJcjeRwTWIMY/PaV6S2eEusmj6hWfRgIFGwYoKG4ADKkCT7CllupSQ7HZ3jbjzWbHdLSjrrt ISoIqt4iMZrKSKG9li4ACbIZuDcPtPBArj55TVsB3cSB4iNodHkc66+pYQsoLGY7E6ORwoYxh42C Yg8/cTzGCwJgxBHsg4jzxgDgAur1pKidiyIdwwXMK51xb/ijfjO6PsmR/gYPCOu6dpVIvV2cAh5t HXh3fl/6Dfn0H27BNH5TW1uDSI/umw2r59SsLcQ9ujPpDCBnTjH7qxh7D53CXWBoV2aTqdbdL+Dj IAw5w6LeZ7ygbkCdQGv02oOvIdtNlQ7vf2NWt92ssen4IvgvC35TeEHqTFg+BbfnTIhwah203+Rt ENWHDo7mrJUyjyKzKX4uNwoInLf+M6oQtFW16U/sGlfZJLJwTRUQ5Ddro3bcsfC4tl0tXmiseesj cNW3shMf6CPcFulfCxAA3gVspVxeV8gfrQL0dOEODwPe6g4qV38mQWXenkwniyWlyEDw8q0ocf2J aTd2ReA6OlgPkkSroctjVWa8TR9bW7IV8tIySBJSC630iMykNOep5iFCfrxh5rcEjlFXFgsKvf8R swAyxyyvNToS16/+qd+iDOO29Ey6htPWw9zTmLvD42795302PErW8N70PCGpHjUE4uc1Bd6Jz7Q6 diqGUf6BEVm/GopMQTVlkwA5nL2S5xz2E9dozGxSCf677XzzMVwRkbt83+wGp7JG4Xv2iuct7re3 BKUVJrtwYh1+akp+nO3hgVYEn+2UApxWi0HpJyBjAZOhG97+xLmmjxN3dMSljnj1pa1UpaPooglq 2psTnc4rxpdNvMaQVdjlLUwWc6HwwbO99GP7E83ZL4RrWPLlVyZw+1KTU8wErAvksAX++noFASc6 a+E7maWcvzZMrEzMtwNJII1IRA/I2Y0552XYUDNrFvIDeCa2DAB3732zOOcj1zyVP40wza3syyKn vv/EvIp4nS8wPXkhBGZLpB/9307T5oqLdMQ62Ui7FkQOgMCswwWiNS5nYOm8kDQYbGxu2F8S7j1f sk+BV+XyaseLfyO4H7Y8f+mZdX0MsepeMcs76HN0ZaVnFzChc2tuU5gdtNeUpgvdpUu9ZrzAdOpK A6Wi/siB5yPhfbqVgsJcxBgWqVsDDmg5wRMgQuUn1kozM/CZ5mlcsTJlAa4dfETazDD6dfRxGXFe wv1rxC7LcACezRXZapsDKw7z7r0PsFlkcc37Qau1d2YD587OZ3ipMBexXOvc6dWvKoaLbFWREXiU GbT7knkWqFlumS6UTyXAZCBp+HiNJnzVefp8MNcyg3owh5VaiwLOYHz+tb5rMHlIAj/4IVUcOeEO l3YMRTRG8vzK9PG8mfTxn9QCTbh5cRkagUpbKYOlMnunrL+YncA7CdZSev3LIVEzvnIz6R2YpG84 JUcw5gM7Nl2dEI06gVeSGW0RhTOPJ/ZJO0bHDdFg6kPnUiRO+F3D2Png0K+V0T2P7IWNMhlLEjkS C+sdaXFrDJiMKfErhDgVn5eyDLeTyX7B9yFOaUvDOiWNRQdMmnWsaZDXk2fr6XNLjORzJ1y6O4mw vtVf0xCNSYkDODnU0stPUBiC1n1spV189rwplzCf66nC5tVs2GC88atONAyWQwj1dRAyVyVsaND3 Gf/36o+wZSnYXTRc5lvPVCTsAffENrYPs6DWdicGpl5ZFcr0m83KygJrk18NK7tQuIjGRAAED6Mn bn1kKLlAFneTLfW/EijF5pgqXzSe977B7kMoSu4LxYROi5O996gux2EHMVr1GdXFW4NLW4oIVGeb Gao3+1s+xpo4dPXITJ5vBtlr1/JoFvilbxgWj4VsutdClozDhYPpWYZnFujfZkbI+E8iDJ5q/zPb Wf5A9kt01+ojTOddPhFyKO20YkVMtX+gPv6fSU5J5AK6uui9AVDgsE4N71GpiHkYJuZKzIzBIpro 5hHvNwv6lSZGkH4duPwH2WCQonDRIIz9LlJm3PILdRWAiMXC86EsKhuKVzsmZC8/gbLbiFbcrLBb gCuNW4gN2XSlwgeWyKofdakFMowjvWpAHDQrpedBU9NnchjhDd8PqnizBKRRsUV0s1UEoEjmZHLA +8AanZrNRFT0Se57vpiF9mkeCiqywS5I+SOdmUs4vTtgdznsrpAbgQ0N6NLN4rOkvc2JacceP/4D iCnI9Cm/VEWTytVpA3We3x8M9cDuCFxGawG1tkHmyOqvZygojOj2+jhomjJOxygy6L+owE/h1+ND 5XViOE2s2eu4TzRz403OJQo+czP3dnMh02kJ5c22uf9BX3qUXGMFO3OtSYhzGjwnpSbV+FrseVi5 MT9e/G8Nyc3sMTbvO/oc1kNwfESatzAgXk9eqQWQDEs5tF6hHdjRxJJz3lmQXGGbSMJxTT2NcbsJ RSHdqB70pkeeXe+ubb10pX6+pmDKwXOMjd2IOo/8kmHsk1525W7A81aRv8MGVooYvFz6B/rN1dUd Ce6xX6b1zAzFG8sRd9k7CMMdw4qpOqyvxMUCVCnKOiYRatnmx4rMacVn3sBlvglGXspoWhwLkSkb HVkiyZr/qMUxXT/h6AdS4e+ph2wGye26bZqGzrUwkOXuS20I6wnoLqtSfJJRzhnZ6Mba5WV9j7it wROgcMVDPUiwfEwgLMrN8txsTF2XwhG6H12H8rgnidOYhI0spH40ztgiIePI9ZZzaJRD2WRzGcOu AbzHevUCrFvJ/At+cI4uL81Y5++AZgJLJE2xX7R5hm6xWC2lTjZoOMPwT5E3ItVcVRjQZ+pLLmoq Gt0rBSr5T8GbRr8WDXjez5FZ7A0FE5LRsVhxalqW2OzJ1TS09NXpjOgsA7Fjm8RJliqf0+ovGn2u 3HA3HyL3h76CiOTls0QUk5CFiUut4nZ6y0BiPz1nSXx5qJ/FGYMrzao2B8lXS+HkKSFvick2Z+9l n+2Kxj94Ex06hSBaE+LmFqrDiIPIUPTpfCeMJQtojuJMz6xLhMP4XkE9/Kpr0Mo68IZuZsFUZAle dFJl4cQtAgO2EFL/30ieERNuFwjtFjmJ102+WBrFDFohh3W404Ywr9SFQwW+XOhZsCiAMk+lmWpx TNFCR9b8kiKj3gCSctRouxmoJEcPKLV/2bLRr2q+1WGVoyHftPWNVJmQ2BYLJR7BJ8S+0O5JLerd tr3BR2WIvtZc7YMa2sp9BdBxXlS82XEyp/Ju2fAwCsGOLOPx5hUsPCRpkEuPGP1LDfpWzi0/kMgI dlgiWDcBGjSDsP9B8Lf5Uf4Zs8qX4l/wNyWZAiRu9DjeiZnMCiusr25Vb4/wZgYexkcSX8I5DQ+8 ycquwBHNkNCJaD+DmMZc7u3xUZB1cxQv0ThdgPy0Jfb/lKuWnkZGveo03srjUTVkM9iV6a5uakGA RN+ubnOKjtS+qG+hkL/ligKIugEQAY3HxYpUCpT6JnpT7C4T/sGesr4IOq4x8IQStLG4Eyfugs+u XbN1Y0oW3Kux+dnJ+xAmh3SyPT44IY8JnADS3zF6P9u6d0Ng7rjgatBLaqIXokTW5XuzOM5N8iz9 sNT9vzSDnRSRCb+ad522MylPIkq+8JrkHchJlXi2sSPFzFbkMRjh5yXz8pHzCcQCiMVBIGZ+ndjr jub+C4G3LrFYabznIK3ORWRMYxsxdi1inamz+M9jxKVSZ74XTxgKA7OKInpwIlbHpe8IexEJb1md uvl+r+KPE5ARw53nqba3DLg5gDR/w5QCiA0/jHjW4eLi4mlql5UMKnVZtZe111G/IsWbGUEiZiBU pCteLakVQkGDETQ2O87RjS9gEQtnMPpgdV5bCvtWQRfutFF+aWfRZH8pWDaZ3eJAQoYwjppTjoCF 1Rr7kS1ZRYU1kRaI3JIq6IGS8aY05Ql1+GhTJ8eFBXeW8Kd3otDqqUP1cf0Tx7kbNPNTplZKTrb2 3QvOvJaRz+KV4WEoyL9dPsD1QpH1I6J0OXhEJcDogWqh3KfyKjdpS/fVVrGWlas9Vb0T3xU5x17B mStx7DKiD3wpi2D2VNhICP+cRBSgY51VUT5Htu3KkBmi2QbOQPp4eDXdWgL033HYseQC1bNJBiYI sv2k2eSxnH0D8QsaTg9tkT7elaK4dAaJwyqhG+Ig8z3i1iOYnzkLkJ32EV0j5tOvbkodq6g0elzn sP/cJSzxcI5ymJLiTYhkEjYk2KfQ3R+UZoPig09qPvBDaaOKciddZCeuJHILe7ZLGG6cHvqvagIv q2D80XWa1cY9p/bq8wcN5UAvtw327anVMXT6FM+UAqRvdVcLxYGqI/ZDhevJLdzRTeqiwA+hvk1e EazsnT3OcvqEOLMRcfplVjfmgemAMHzUTj4ngnU4nOCHN7moPR8dgEWP+zjqpv9CmeGU2oBg5eV/ FSWfdEocSLOz0ZipoFU9wWyvHNNZCl6fDsIMeDTv3v1oQeIEJcn6XbVs3Oh3RwvSHPOJiXcQo+7f s4BvpT3cRX74CgSCzfjJ9LCuVAXsUs+fxv+tLIaOtpkqaqhVVvlfme7TWWU8jCXJRkvFkilPqfre 5Lc4qmfwYxqqJWKF7VrN7zTtdNaehsLlJq/7DCGo2pdXJu7HTbmrGgu4e+Lm8Dj9t+a/FqY8URie cmL5/gKw/GZ0NdyYrQQ0FGBcFdXDStp8l5/ARbKTYN1B5CEPCDeDNT5LU2TcAKApFbn+Xylx1DgW oYVfWQ3FOTU2rVxlhv+v7wbcn0Mn2LG2PCfEjEnEunkGIX1UbG9JphDP5Wcw6gvcsOAkrS/FpZDc fZWlNmWYw8/Tqf047sXflqaFuoPEPcd6vWwhK/8hKGXLR2oQFN6E2LuePpVR5IfmqTBknm0L+LRx adrUkjkWRzOsSXktTIFWzjjC4E5CC3Zw2Y/qoDlPcqxClCbi9dyneUO/84eDMBDZj3r85Ud1ZL+J rR8mPNJfgclwLH4plDSf/9Z1FL+V44yEm0YfqRUXAGsw7gNwWB5QF3msqxqIWkOrjiLnLc/Ry9vO MCEgfqWJJPD5G7BBey5VqhJ4hAhVp+OH6rQ4dXobEY/qFeR2py0T6/9oUFcUT4zrOYpGyyg40LC9 p7EC6uyBf/QHiofDRdfnZ3hMmUuON5DpQF2IsJ2JtCdFUIDTLfMh0z/dWwpsU/KUZuhfuIO2fyvW Cjk6xRNP7RmExcpi4lhWV10Y6G5OoEg6ARA0T1Q0l5VNOfHDNoKi7z37tIGLza3CWtUbeKT8g/os r7fehAV73LcDwBwFvt1BTaqAzJ6ESfS3iRRAtJfAUGmzLpJC8YpgHr2jqlikUet8+HpXUVohX7oJ obmvM1lySLBBsSVRh3oJ78iPjp+Lx5x2cneIIIIcfP6Wp6kKxXjsYIqb3rXhHDCb+cwCnfm6Ha7m 01s8zod7Nk73FokdwSllllg/yb8maAZgIuZeFo6z1LZ7Z9WgvkzWsZhn+bq2WsmW6OWmLvGYMZ03 /5gIz8wQrjbk79jAnR0onPz7OSKQnTGKEpAX3hCh8qLmoVDiBag0z6lPbwVIg4ttIysWfyfpw2RO g/c6qhp78jQpb49fusLcXI9QnXfOlkt2HWDdEd6blcySGoYZxe4WZxPPth6IByTLpv6HjZ0WzjEv WwmNjBh98Sp2kc/BrcQn3kUP4aAjDDLn5iXWYVTVaBLqWHKjhfZJtwdk+ouEb70HJqGf/xZov0Gt EBb/WIPL+CnMyqJ2iHrw8yXn+h5Wz4LQY2OslmHImNztaCAtGaTg6HaiZQ48IfniGDRQJsbLS5DT NH/2hAsB2n6Nn8i40vMGMBGOROvJ4Kn/O5Ic0++44PUDiUJrgsPKOb2In4s4RYCkh5i8HZEaU1kX aISH1SiysWGFwRDBoq8KKOi0g1t0QdMg5UCVaEGg+TZ1oCgpzPEezzQjH0hWAVEKjsXdVw5rblUL AJ4BDTxVo35dekv/6n9IqXoXo55V99OeTKXIuPN7WwqQjdZb1apQXyR1Shx9D6/SeheRczDbk7MK CfW+c8S3jfef+Ah6LDnEMCTv97LIlU9MC2ImI1KcAj6Jjdgsnv2j/Cu/PhnaTPgy6nqZ0p+T+03s yiH+Me6dPfcyLkDaiNPYWkJ8+GIRscCTsD1zzo8IM1yEN3KlMWh2X3yUmtC9HmFfmo0pV7/b2GQC Y2046njGtLDglPhEM2Gd8pjxYWFlNdzqOlAMA+y0sfiU/izWcwSWqWp2UXgJ23av1DATSs2+RzzQ D+XWvBvD91rak4PwVMDfUXK3rxQayZzm3toRKt3gweOpk6Mxyt8W7NaJDmxdyha19jvTEhn3RJvo vOL1lDqYPXM7hbcvDnHy551FtQApgKQCihOGlcFx8XMuXDfywOWQ7aKKtqhpJDFAoMiG9piYheTU NX3+RkTmf0Vp4vtrEVn7iyjKuIfswo+8ObQEOszOUela1odwPiGYcBIMtCPbmfYw3is+vqwpxkxN 3VhkfBM9o9ncCpMVpDyQ6ZG11YS4Pob9LrmUj/Q1cPb++kx4GacgV4zE9PuP+4cN8jnIfJbnNTDg bnjPrXObCj0O7msOY6v1CHhdAMR5FsxJ/PfBBlNeNG49qeJnt/4ugJVJd9S+W0dB57Nh2G/6WHzV wXDu0Ugc9455b2uDWw9F4XuEdWjte3pG4kDVJ51nC67FziwRzKyfFrT1sSclI9jIATnc81P6xzkZ 130muSr8YFGjL2NG3mJZYtV4h9f/zB42ou13IMLrjuElDTLHl2F3vn/mq0y3CgpUcxYCbvixwABO xIBTKFPv18L6CEvTK5OidN9rDeTgo65lGQCDt7twrAiduXsMEYCxlp1P2fxVy3waUOnqoUt9kqkR Y6S+wtruIKpxA2r7DoDFs/RGEcaJufffxRZzM1tGUYsu+WBzhpIHqbgasZ6eFWm4dMapFOLF4ptc AP1Kwvp/E0SGSWnNK8CldMnXJk734f9kycAZZ0Uh87rq3440nZSIvCg4/X4woQb6o2pj178STtxZ q0qNgFrqbCSH6KrIxUrF0iFwfjV1ihhrtMN5XQO3ByFRBiBFqT3aKeD2/zFCOsPzHJULfogYXCmT HME6uU3mrGtGjSpL5rUrCsi+FPU5MdxfY8/RCScA3CMxcV2F7tQ/relgFtzZ9V68QxyznbDUrYv4 /V+ulQ7bIQwGshiAYfir2hwJoDWtmP2faPiYoxkX4qYoRa5bsn65d+hevAqoUy1x3xB5TE1yVfNX sg+NI9W/bZfYvjKTD0szBj3zS5sfySYAWDTxyiSVgxPBKNvLP1FmE5GEdXXxn8MiWZbzs4nftJ5U +xJ9q93lOmnmlBrFLLQvkN89d5xE7vEQvec+aDPlIwtSdG64h1qetKk9NlGae7bxsQtLkDmQoSWx sisXnRZn1IVNvCrS44vVeRyj2as4hnH+mDPBkIqu8jG3j8VPj75h6A3kXXE2BxZi+Auzy1B4Enbc QR29J2ZD9pYcyWVlUJLkvzjoJWnaE8iqRPqKsVqgGi07pwp8c1FmyCwQlcCJXh97Wdzl2IDL0ZY9 DJJ5EwJDsFeUC3RcZN5btpjbHKo2Wu3bctFTzOcWKioYPAQCdttKFkL5zknfY8ntHEXy0L81/Hj+ 24YuIBXhbn6L4kfWllHnqQnCgmvtGKbrRwRZbsghOMl8WjfvmfX1HiPfbc0wZDZLpRjyM/GVcTbW bFXXIMap9caD1PldRZgaSXP98xcsKVbQyAiYbwfdcPuMSyURVkiKNIc5XiR1YmDL82pIvlY3ox04 Fi5ner4TjjRNzHnznQ/tse7BNsFbAp2Jkfii7UG0XradZrzBHe7PzN16hIiqRPcQxs+cep+/hUYU K+9RDBimtHGFRWbuqtx8WOWQ6wg+piMx5qpNI74oV26QmHUqp8NubIbjaM7CT7ImSIbFkvl3su+U fOjcQwh3tnIjBFP0N7yoqneXqZYR/KcUTuYO8d4b20hUcwrJH0ZnKTYcP0QJfgY5F5VOfE4MWUsV qBwxUOPBfBeK/62kcKCyG84UUiCgNOLfjGdtRgP+IHRXGZTwlWtQcyeOBjgIcT7ix2rqDo7u85+t TtgvYALj1/Wlw/0G1x+DNnreJzZ/oJypJOupx0ZQlu2oF0xoNPvxmxGzEz5BUC4cnAnmvhs6ejDK kuaMzJSGVnOvB43tIQl6/pTX3k2BhCNnE/ZL7heKvfI+oSXSBgpB0JIt/Y8chWdsc06QOXvba9Xt 2E6b630VuaDw0suqT4mNrTwie0dxyrfR/MgZmW92TRhNOVCpKwQJi6+L/vDpyNazMrP72Q1OpawA cPH6+7T8k4Zxq+3LqDd5eWh6QOGlQUVRsa2mQuIP8aNWXxBpCbQi1Ugp17LwlJ73YW55CTLYRP5o dyddhuVVsYUqxuOvQ+lvIc1xU0gox48z7XhRlf4oUQWMSmJunQfwKEh56VCejS38RCwandNvE4xZ NablEwHtjhaLIuk0XA2PKz/2aMu+ILflnfZ1u7ThtNjygE35ntplh0nVNuo97N7SE4Sr+uQxgmmm bly8gmgEeKsNEqjLcaN0w1o3MLbLQSOVVppD7oeK/hohMd0Zx2kucrwQ+ziEDegdxFPXDPnlWCMq OccswRWsVEXFGpoIu4AxX+9/9cxRdyW5WEZWj9DBoNAVWh7EFkiZeGAu1+2sIZ2a1vWZIobL99wA WuCg1J1Krde/qJqsXD7eguB72b+NSh4Ez8ANudpsd2uXlf3iagl146j2yRHrd+FhbKHvirmuSa+4 Th6IqWlNLkfkFKirlEPswDc6rAEfaS4EM5yGr7arOOXnV6IEhzq9PBgVcImQFjLDsuWZ9K/HMIeh B9AEmwxzNTrhYruhfRA9a8hA0dD2OEYyLa9F/YH9M9qHpZrehmGdS+MJ9Gk19ESRjObDuIs3MTrj s2UpOej3cWhPjdjar/OildS6v5pnViSKiiIz9Phbi+UbRvViEgrKqz6ILJ/FmpFCfIAIPMSEzhmp ARJgy5+x/XsT3CG8L9jkYutcRkA0+QpZhQWCNlTsuHKR/bJnh6wmxKqGre6k8S9U2jB1/EqimccH VoNl7hfLqHx6SOZ6JDmVuIPh0DbljPtBwRGomT3vMrbfsk6aa11p5b9zG/+yG+UhV+ee+EUOxZk+ TdpWkJgJbkr1IwozdE4/Cb7nj11QfB179I62uTCfe1NflCoDg6DZC5YKlEaCMDUUaDmEPflshPgH 1ceG5HsoXRd8UKfDv+i/rREMq6i5+2Uo12VbB+aASfO0is5VoQsX7GJSogDv/5RTrLZ2P3DLj7GG eD0ihaCE8SZSuacJVK9BgEFGYnOCEbD2KURdTFa4zZf1dzu7m1QdNXGYtoqiJ1AHm+sTXcoKObCC UPi+uuB4W7KNMKkPnVaWLmE5i1RwOTXicMx0Al0br9vPzsvKncq7z+IDaDer3WLAlhdEOWd/3o1t tD4mOtyWjBYDoNDpNPPV1wDmofUGaAtJri7pBf9wYQ4Wf8vGF8z7vYryuGqOYfFeQwlE0+6ihpIE DG4tR7yTYPqj16XzrjRHCt9ovoJmgLn4If5n2UU24wz2wYlLlz58oIK0DqsXoQXB/u0eADKivQcz UZkxMYsWdwXznLR8HZJmto+xI3bjQ82sc/myOdreShdSxj1EAi/OXqgo4EzzoDPlMpHy+z3bGZQR iADvGd8hjBFCURmukazHWsSA6Tl8nRn+sVD4RA8TIa3KoRbwy6809+CWj4+wYAOvbQkUCFKHWrcu aYdvVSyny0Sf8rCRtVF5uk68ZQtHT4C/nO9us2K+qCJL/wRxNHV1c14919R6mK2zG0zyehdz/9RK /crjcikT/H988FpPhvYmBUXzm9eU2USiBRXOeVKvII660kNtt6MdgmtQyPestA3N3vpmbNQxYGRV aRYmP1VEW3k/hsewG7mMk0TfGyNW1Zn5tUXqF5Fm447fvGYBaLs0UgWk17Ns2yAvpJNNX1/IgC3y PYJ0vBsTui20wxdtOayZhzjdSZJGvV/2Pdxaevp6vHxS2f2Yu5shVrdSq5s1fPPQZZVSEXtntRU3 xCqEw/aKXhO4qYFhLb4m/Opf3hnj9iUhrmVIL0taxUcFPqqD/c3V4F4AbptFsriohaD1iTDDDioe AJzvixVES5eZ7j7zUTQivg70PHlwjggcCEtTTnmfbKW1MmGkI5Ptel2Rofll0AqlIqKnVsh+V9DU Mr4Y0TetdleHRfcR5plMhNzXKvE9Pa8DYaXXFdDOJKVEedCagzdDg4TSOcKc4y3V+W20TgicWJDa jqDWWMXbj6c/L36rdnBkyV2ziawsa22Izt5RRyro67rZju/ifpfgEwaYUlxdMb+shj47An0uYJM3 tVnRo94TeyV3EPARAiGgIWyvyf19cdMkYbdATAIdZAdn87mfQtKxq7oPM2il5OYkpQ/Mb4Z+pdBv AnQGOHPun7RZhzsM9dY73E+51uDAI34J8U204dsam7fC+cuTTVV9/FHXWsSK9qdeQ01zBYLojw22 mXL9tDFxywrzOHC471kK1WoDpdtbipHHeLmZ964le+485EQy+iFPE1v9kmJFF8Eysw9uBh3WRYhm xcmS/Ap6Q9ngE5XKDDoUgWeSMSTD6jRJ4hqLPULBJiUjJnkeQoFfalRMfMKtOnOF5Mz25KEKxTMh Y6BmCa84/rp+6jnontD2gYTwTrBE93OupwUlvYKAOho9NO5CQeenHRPlUOzJiDzfFIoAM2C+Ekbp nTSIkIa5fIirtcuLIDPOfC5EbELU6LwXuzg3rlm1qnLlK0HjUbqIMNMtOBsAfZIlQlMT9qDH4cwj kfQZi24RZQ225GLXjJKcoTExPPAIre5+Ip/j7Ic76jKNBpLJA0m1NYy2CZ39qYIOqFqMi/15jHNU C2G+ijG67YLUbJoebwMxtWhNB+L0jiig9qBx0vQb6yfXzLAAyjMaYHXs6uoFn+0I9DCXb/ZS0Ddy LQpshGBu4hs+paF3ymVerxg8G1tozF7Z9QCNfd5P9Sm53URH9u701saxdIJI7RWr2Se5JcMlz0B0 y0xL77exLvaaj0BW3/kR99tcKXtqAMZWgsVlX8+sR897pyexpcKPz8Z2qD23ukhVO3C11GrtOVM7 1/DOG9QaH8pR8tO5cyrT9BQGrzz61gq+wEckO0OqTqcllC4p7Ws6AhhWGc/n0p5IS6p9lNBiQ+DW 2i9zs2Xj/rCaKaf/6kLDcu24EeWDqsxqpuehZVOG87TJJ8dz4yG5t3L4MZFrGHWekF/kvm45wBdg +VsWC+GCAoOLY1oNU8ANyzur2fWl8t8zw6zD4W5fkxKXpokUMliYSJYgs9QJFDsS+P8bM13Gwwe9 7qenYSaTvsqXx0LvAnlYFatK2if0/GJe1b45L3rmwmHiz4He0JBUg5+veK1TJZyADwJv/Fyt/TzM IMlE9HtPS8BDIzGYVmVWHHWKIHUiRN0oNEsGvNDawKZFLU5eFiTvflOtTjFdHjKoexES+TbdpjG1 bfAaXGR7oyItZo2I8eu8gY4sc0VNsfaCq1TNoxchAsQUQThMHXUwkKZP7XZJ+aKSMgbpyADQLkwl H97dRYew9QQc9NyDJyLnqBw8YaWnCnInORx1/AeeEjBPOcctR2SJR/3CD1GYLmb0mKDhv+oxB5ep XEfs6IYhZE6WZExRegGY8SSWKH1d4lK1ht9okICDoFcD6aFQdghONEZpjnXwKS0S3MtEy81gib/b jlBnGnAiWA73Z9It3N1sTfWZGI2atthgBVpy9AGvNxpZEHPjQTAOqcn/VBvaNA1HlQJvMnWAyAsU GRJBjAbGS0pGzPo5d6qkX4slprdgV8s+VtN2iXBwsh2uOn84U9Fd5vQ5QMQKK46YeScergKqPpy1 gBis4FvgcaiUdoNlFreIFvKj1WeYwbiBl3b5RJVqqrSPv+Z9gDwMx+0WqUqGNtJFBFE43CG/6KkJ AcA3RCI8JYSfjNyL39UEl37KuvXtK3Blc7M9/H0JJdKnONu7q7yOFfu9fNFBBzIT+XNerX0r1lTA NZRh/mtB0TwjidZrDrw/iMj1mcgKQXiQ46EpMkH7oRrUW9UIAuI/TpQvEGD0u4YvMNQ2+Qu8uyJt FXTIcMg/Mp7NNu/DxAQI1WfiE9bnOq0DNwDGKeT1XT7SxUGQqxuGUSVZ4p6/70OL6tQljc0y5xmC ttxX69CXoixVf/VuoEqTrXhirPM3+TgqbJ/Y9if+CL1P9Z/rWQju47tIbPlZMj5Xpc+/1J8z83ih rZVU3kQoJ4OEoFg/+7MYX/MrrURAA7uWBmYf5cr4Bi0Xx3CBIhKr/AvwcakzbCmbrgjsoJCGeFAO aZW4P+HdAuhyjLcnGuac8x107TNBmX8QGeoN/d4+mEvTKObQaokkb0TlHb/b2FEk7tt/oSBJQMWa 814f0jFIJYWkWSqoSzM6HdrFPXs7D1SMHvplFlO1ktexYNqnfogwX8/rSCX+VqZkhTKuHPFeSPgl PkfNQxP3+C2fvTXVF7tT5UJKd7HVY1bI0MNVzF9sOHQuYPBh9rHQ5quM/bZRxac6CisTvcuwQU9M LNe8gCpZ4VWvzWHAnJP6j+1SqwKLBP+DM1Q4jUZZQe0u5qLERe1FMuffgm5I+FS6hVmPOqAg9uNr OMfQyTmlfYPOR66ohLCBaYTgHnLwu8mdBIuF1sa3XbRbKvetIRmfWN/MXZLrkz+OltmQMyW2xvdK TrFnsY75xhsyLtYjUMBNxqNXWpzkPkNJvW75fVijaZLnAiEybCrBvWQgnFcXFufICd5VQ/Op4sG5 MEBkIrH979hhj6GBL8JIq4SR8kkyTWCogCT4DjocJhGEXAsweTbY6kYFZAooNqosPa5a1ZasrPJ9 KR8iLccWQx85ujsURiiht8mqheFyNr3In7FuPPhFgt0xHP2A1f9Hdlr+3LBd7l+ptfQpjnXaPwYD adUapAatBx1cpdXqAW76vrB2/i1YP6kXhGNrNmRjTfYwv3hVUBblH3vgr6SqFSxHqW7alHP4zHIC bQQXuby9fmrWIghdBEBetGrU1YAfF9oxyXtBjblxsCewgW5rBI94PO2mapwzHPIy3FfXaQvIUpht Xc2jYHhMoQAXZDQASMQ4fMF9S1SapZh374yQTLj7G+m2PfF+Gw5ltdqr6a3p9f8FhxOb07Atbxkr Zm172W5Z62SSQa9Pgqm1XtZvEgncfESkN9jou9jywi0RbMMFZziuui082KsIYpSdRIB2G31js2lM veMyoPp4CHDK+WBv4UricxKqrOPJLVcuUTQQO13PPm/bN1BdpfjxtdauMuWbhv1ri58+FwP6KCUg EjUyaCu5x/pj9qOmn0+5j9iRUp2Cuq5bdcSiCyVT5SLidcyPnY9DNb3Tb/kwh2lqAC9nmGNrzasz TzC5Se94fHNqyNtU9Mm68fqXIl+eQNMugBu7s9JF8nxS2xzA349fgvFDJzp3m2uNmvWAXfg5dGme 7p69zyVvcxWIeivVGO5jsCk3ok1NN0lKLx2vLHkeiigDou7rG0IuUzHqNgyY9nxbB+nwJHUrTOL4 cGCppD/Nk90K2+B+vuoFsRW45G5CEPRdtchUyTP8ZjCz0EUa/QSBBVWPiLVofBihAEOS/00jl/GZ jruO7YGhhn16UlKvJdACa7rWqerLpkTXHG0pNnVwwynuBmw6yzffx92tbNs8bXjHnm2XBUItGTH4 Nrp/bSt2H4vMPl5ghpzb0FWN748bW/fw95tILnesbogBQqrwp8K1nktbq5Kk4GVQFHIVsIXJmEyc N6vXWUeK5d12KDf5VJbsWBpwYVaT6JrSlFsKERTEqKo6D4Lb8YWbwIRjMXuOtnH/CP+d8bMeOcoe 1NHawKvaTIVQbHvusIStSSLX6Tv/aI2jsFSLz3OQI1liod+GjwP35mTrhVTtOyMzy1wKOIXbiuNU WfP7xPBhb2HdJy9vqxhKl2sSMvG6nM7VjByE2Az5TjskhxTk9h7N6e/2Bar3hUCIritgOcvQWQAY ugDYlK9LJRNCZvHj7PySQLnQNXlKr5YM6NnxfqUdXbqJL7NZn1iXFCJRxwVqKr46zXULWFz1ZaIM pYcUPZDJUWls0TFJr2sLYF/oxRV8AC/j/8xu+gcKHxnYdWzJTERiRcX7E5miL2mCAkKoFV3ChPhr gWsFkY6ez3tKgmDPGbNiGMaSninwVtZ41EikOVeM3bDF+59tSnBzlNO+h1tZcReDQSAmXQktpySx AuTcEDpiM1MjCTUQPPiJmyvDBUR0xJ4TpSI9QTg5yZhb10FootnsTWx/40JzCfrdS4AOcTZmfJnI gGIfmcBUOiqwF5VNan0xOA3+fY3lQw3yMFCwG+erYWpFdDI605xWlRxjllFM7dBMonW/Zo8N2Dfh vKMm+7aDsUSvA59SSNBrcyT3BhAqJ6s8BSKnfyFFmBRhs3hz4mS5c2Rf0jwwRHQjoJdYY8i2ofOE u47sQDFKnfcjo9gqw5QP1TL3ead6G4LSBDSaWreSh+aQP9NYYpVs8v1GSUqNLqBXFSerq4fP/Krk e4Sx0GvT7lL5eT9pledKyJXxljztybUkrhtZvf8ub9AXBy0lxiWEWNxXmFb4fc+ZRVLiv3Wdj1xA j7/NqMhQruR06auKMqZ9wSUPMyQmFonOXYeo2S/yyszqc1AT3nRj6lKI0jOTPIg8yphWaqxib7e7 gEqHmM14pg1u4oOKLb1tTjhmCrySeLqJ2pR3ilqhe/03sPdCeAK3cG0vzWFdO2Ela0dczUPH3wZy RX0XwFjNXb/f1K46M2S1EqQx4C4I9Qy4CK0hOq+IljjLIScQ916eQEEYe4kTUGpO8rzuLxQ1SRYk 8HcohvEJ3ixdOzvIgfr4ZkSlGvnGxW0TeBq63bXgnsEUqR7a7MN2HBV6rksnU+0ErP2GOeHtSq1G Wp+nMSnSKl/dzMpPmZstI2UtZ6GCjhvhtNDS1MNcjxtprGCa3A5ecnpuA6ab5kbVwrYNcMV1EFlU HrYobMyxlR5VVyFtr1U6SEoBQsSYvGSa9/74IDZvxCzUtQOUVmzv6vnEvYWUuY6jVkBGeTv8THIV 3TSY9ZArsgY+IyNZhf6MYjTP7WFFgQS/mRd618mpfxZiS4DaJFQRsOpYCf6rJzKgBCOkZZSOe4j8 QwrAxGnctyefhGO10gTiC8AS7K/1gDnfiCdF/aSumqFB40asOthXfWJtg62QhcW/c1h8UlkJsSvD 5z3L64HuGMrFx435y++L0cUqQrMF+peMLrWxa2YbqNTopsV+9s0WJLxxl99EBceEQdlVtH/Uf7c+ xijZhjhHUun2JICEgS5BvG3Id8B2y1VCB8F8lM+hegi3TP7oycN/3oVyIoyFJy1avikIhfXEK5nQ PBfTTnKAIPCNVby5rmLaOtiDFZpqJtjaj8odtvEC3z1jnHIiqwN4CU27DtEEmN1rDbSpf1D0o0Lv z6K7PrLZPH7ZzXrb8gF03Qv0oN0tVz9w60uvdSj4qXOoI7PeHVjZ8aXHhLEF8Ub/jKRU7DovG6UJ oyXp2yYUcifhKSJn6l3OKW/9vQtzjdl70DCtaaS0jGh1E9wJqiH6YRmsG4DBo2Dx8o6liAtmS9aN MUOyBN6O+FxxxJxKBkU3XiXRvtldFBX1hC/TQNX0LDegXGYEFZWPEwNTPSdLS/ncDpb1d+A60O9C TZ1oGBNoIwiDVUcj8rfaLu4QOwSjUEQtvzFzDcWm40DUoMX4JlojsbLDzyp/X700okaEEPo6ywPy UZpEgOg1pev7Q7tjBbeaCuSVGSksZDar7L3en7euWcV0TGFCVJYL8yl6Fz3+0zt5gQoJQneD0pyj /q+79I+rmxb+RoYJzWqL8Z63Ydd21ULUb6PflVigStqpP1veDapVgO51I89N+sbtZlD8O2Aqm+wD fM98LVLNc0+etrADc1zXX+y87zEoWUE88OgLiJoU9WmNxSK3QqefjOzpCQiyuMcKZM6xAbM/X/r3 m2pkrY52hZ2NWjeMF8Y1iOyopCbX0bR0XbulH0hB8z1FzlSlo6MILooCp/15ePTRXi2Ulf6oqr/Q NYcO31ORyEpNNq0jvKcn7sGKJZ6FH/4hshsxscivHw3DCyZlgIeAR9xK1UmCpoWWRs58JOKzwIbX AZRE9XGoJLGiZ5hagIi9i0bBTj3PdANVW9Fxb2seq0ZkDGioO3JK/HQ2waTiiUuHSYFTT2f8CyMz XuTqL4G1Iv6aQWrGWiGHk5T9uvifA8KHVx5SxCD2WQtPLlt1wUZwYmIAzlYAM/E2jziBnfpPU0lL mxukfK9CbjIaMOtpmuF+fDh9iqKNWPQ+jwe6ouCR2Mo+goJ2jtREXNncr0Qi41O+EyryGyMnZobx FgssBy0LmyZvJEOv6dPsZbZnyPWALDjAbYt70Tp3WKwyFs4JZrtk3a6NusOIo++gbAT5Y7v9zLBE wl7pIRbKYuBBwEnfKmeQrtecnqlZ9YvmvDa/VX2ItDPder6FnkII/ERAM/J+KL1JFIfTOV50saaM YRj70hsRpMYB55q9/n5eYuJ5zcAqsTNmELioahjFEZ1Jb7WrjdN0DvtDRBCYiILPOs7rXGNBPJqE 1QsZ+WmO2z7tZetfGQuEne78g4mbfynugV6iR/67TquQwAox+o3lLH7KijOIcotwWZbe/LrceZIC QXM9Pqol9Vla9W/tHepEmDqQNh6MyQFpTf4V6aGP8dw0p6FWSv1VXjSmyeGP/UEhvOZe295SzJX5 LMMVeSTgCD8Mpz5fWobJakWt7EyMUZSaI7OY7IlvhSB6jewfxWa6bUCYkMQvFrewHJz8HaiS4GeQ hk9sn0mOL3vHaOVueiqojhqTVASbdjDIfsBvnFMg7TuWXD67ovxUDYHMQn5UALKpnb+IcO67doNw /NidH8BKKHOXn0NE7jvNLymFz1sG3D55gsTy113+45e7abbWq3FJ8dFnXuPhmWtCwfFxcJIbd0+C U/PLOIKnF6yQY7cKqdneBBDNf2LXyutUFCl+Ec0xnRHxtWLGGuMkvedZhyar3coUpUDN0GJ0 `protect end_protected
gpl-2.0
545/Atari7800
tia/sound_interface/adau1761_configuraiton_data.vhd
3
7458
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]< -- -- Module Name: adau1761_configuraiton_data - Behavioral -- Description: A script for the I3C2, which sends out I2c transactions to configure -- the ADAU1761 codec. -- -- See i3c2program for original source for script ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity adau1761_configuraiton_data is Port ( clk : in STD_LOGIC; address : in STD_LOGIC_VECTOR (9 downto 0); data : out STD_LOGIC_VECTOR (8 downto 0)); end adau1761_configuraiton_data; architecture Behavioral of adau1761_configuraiton_data is begin process(clk) begin if rising_edge(clk) then case address is when "0000000000" => data <= "011101111"; when "0000000001" => data <= "101110110"; when "0000000010" => data <= "101000000"; when "0000000011" => data <= "100000000"; when "0000000100" => data <= "100001110"; when "0000000101" => data <= "011111111"; when "0000000110" => data <= "101110110"; when "0000000111" => data <= "101000000"; when "0000001000" => data <= "100000010"; when "0000001001" => data <= "100000000"; when "0000001010" => data <= "101111101"; when "0000001011" => data <= "100000000"; when "0000001100" => data <= "100001100"; when "0000001101" => data <= "100100011"; when "0000001110" => data <= "100000001"; when "0000001111" => data <= "011111111"; when "0000010000" => data <= "011101111"; when "0000010001" => data <= "101110110"; when "0000010010" => data <= "101000000"; when "0000010011" => data <= "100000000"; when "0000010100" => data <= "100001111"; when "0000010101" => data <= "011111111"; when "0000010110" => data <= "011101111"; when "0000010111" => data <= "101110110"; when "0000011000" => data <= "101000000"; when "0000011001" => data <= "100010101"; when "0000011010" => data <= "100000001"; when "0000011011" => data <= "011111111"; when "0000011100" => data <= "101110110"; when "0000011101" => data <= "101000000"; when "0000011110" => data <= "100001010"; when "0000011111" => data <= "100000001"; when "0000100000" => data <= "011111111"; when "0000100001" => data <= "101110110"; when "0000100010" => data <= "101000000"; when "0000100011" => data <= "100001011"; when "0000100100" => data <= "100000101"; when "0000100101" => data <= "011111111"; when "0000100110" => data <= "101110110"; when "0000100111" => data <= "101000000"; when "0000101000" => data <= "100001100"; when "0000101001" => data <= "100000001"; when "0000101010" => data <= "011111111"; when "0000101011" => data <= "101110110"; when "0000101100" => data <= "101000000"; when "0000101101" => data <= "100001101"; when "0000101110" => data <= "100000101"; when "0000101111" => data <= "011111111"; when "0000110000" => data <= "101110110"; when "0000110001" => data <= "101000000"; when "0000110010" => data <= "100011100"; when "0000110011" => data <= "100100001"; when "0000110100" => data <= "011111111"; when "0000110101" => data <= "101110110"; when "0000110110" => data <= "101000000"; when "0000110111" => data <= "100011110"; when "0000111000" => data <= "101000001"; when "0000111001" => data <= "011111111"; when "0000111010" => data <= "101110110"; when "0000111011" => data <= "101000000"; when "0000111100" => data <= "100100011"; when "0000111101" => data <= "111100111"; when "0000111110" => data <= "011111111"; when "0000111111" => data <= "101110110"; when "0001000000" => data <= "101000000"; when "0001000001" => data <= "100100100"; when "0001000010" => data <= "111100111"; when "0001000011" => data <= "011111111"; when "0001000100" => data <= "101110110"; when "0001000101" => data <= "101000000"; when "0001000110" => data <= "100100101"; when "0001000111" => data <= "111100111"; when "0001001000" => data <= "011111111"; when "0001001001" => data <= "101110110"; when "0001001010" => data <= "101000000"; when "0001001011" => data <= "100100110"; when "0001001100" => data <= "111100111"; when "0001001101" => data <= "011111111"; when "0001001110" => data <= "101110110"; when "0001001111" => data <= "101000000"; when "0001010000" => data <= "100011001"; when "0001010001" => data <= "100000011"; when "0001010010" => data <= "011111111"; when "0001010011" => data <= "101110110"; when "0001010100" => data <= "101000000"; when "0001010101" => data <= "100101001"; when "0001010110" => data <= "100000011"; when "0001010111" => data <= "011111111"; when "0001011000" => data <= "101110110"; when "0001011001" => data <= "101000000"; when "0001011010" => data <= "100101010"; when "0001011011" => data <= "100000011"; when "0001011100" => data <= "011111111"; when "0001011101" => data <= "101110110"; when "0001011110" => data <= "101000000"; when "0001011111" => data <= "111110010"; when "0001100000" => data <= "100000001"; when "0001100001" => data <= "011111111"; when "0001100010" => data <= "101110110"; when "0001100011" => data <= "101000000"; when "0001100100" => data <= "111110011"; when "0001100101" => data <= "100000001"; when "0001100110" => data <= "011111111"; when "0001100111" => data <= "101110110"; when "0001101000" => data <= "101000000"; when "0001101001" => data <= "111111001"; when "0001101010" => data <= "101111111"; when "0001101011" => data <= "011111111"; when "0001101100" => data <= "101110110"; when "0001101101" => data <= "101000000"; when "0001101110" => data <= "111111010"; when "0001101111" => data <= "100000011"; when "0001110000" => data <= "011111111"; when "0001110001" => data <= "011111110"; when "0001110010" => data <= "011111110"; when "0001110011" => data <= "011111110"; when "0001110100" => data <= "011111110"; when "0001110101" => data <= "011111110"; when "0001110110" => data <= "011111110"; when "0001110111" => data <= "011111110"; when "0001111000" => data <= "011101111"; when "0001111001" => data <= "000001111"; when others => data <= (others =>'0'); end case; end if; end process; end Behavioral;
gpl-2.0
545/Atari7800
core/cpu6502_true_cycle/branches/avendor/rtl/vhdl/core.vhd
2
14532
-- VHDL Entity R6502_TC.Core.symbol -- -- Created: -- by - eda.UNKNOWN (ENTWICKL4-XP-PR) -- at - 22:43:05 04.01.2009 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; entity Core is port( clk_clk_i : in std_logic; d_i : in std_logic_vector (7 downto 0); irq_n_i : in std_logic; nmi_n_i : in std_logic; rdy_i : in std_logic; rst_rst_n_i : in std_logic; so_n_i : in std_logic; a_o : out std_logic_vector (15 downto 0); d_o : out std_logic_vector (7 downto 0); rd_o : out std_logic; sync_o : out std_logic; wr_n_o : out std_logic; wr_o : out std_logic ); -- Declarations end Core ; -- Jens-D. Gutschmidt Project: R6502_TC -- [email protected] -- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG -- -- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version -- 3 of the License, or any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A -- PARTICULAR PURPOSE. See the GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- CVS Revisins History -- -- $Log: not supported by cvs2svn $ -- <<-- more -->> -- Title: Core -- Path: R6502_TC/Core/struct -- Edited: by eda on 04 Jan 2009 -- -- VHDL Architecture R6502_TC.Core.struct -- -- Created: -- by - eda.UNKNOWN (ENTWICKL4-XP-PR) -- at - 22:43:06 04.01.2009 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; library R6502_TC; architecture struct of Core is -- Architecture declarations -- Internal signal declarations signal adr_nxt_pc_o_i : std_logic_vector(15 downto 0); signal adr_o_i : std_logic_vector(15 downto 0); signal adr_pc_o_i : std_logic_vector(15 downto 0); signal adr_sp_o_i : std_logic_vector(15 downto 0); signal ch_a_o_i : std_logic_vector(7 downto 0); signal ch_b_o_i : std_logic_vector(7 downto 0); signal d_alu_n_o_i : std_logic; signal d_alu_o_i : std_logic_vector(7 downto 0); signal d_alu_or_o_i : std_logic; signal d_regs_in_o_i : std_logic_vector(7 downto 0); signal d_regs_out_o_i : std_logic_vector(7 downto 0); signal fetch_o_i : std_logic; signal ld_o_i : std_logic_vector(1 downto 0); signal ld_pc_o_i : std_logic; signal ld_sp_o_i : std_logic; signal load_regs_o_i : std_logic; signal nmi_o_i : std_logic; signal offset_o_i : std_logic_vector(15 downto 0); signal q_a_o_i : std_logic_vector(7 downto 0); signal q_x_o_i : std_logic_vector(7 downto 0); signal q_y_o_i : std_logic_vector(7 downto 0); signal reg_0flag_o_i : std_logic; signal reg_1flag_o_i : std_logic; signal reg_7flag_o_i : std_logic; signal sel_pc_as_o_i : std_logic; signal sel_pc_in_o_i : std_logic; signal sel_pc_val_o_i : std_logic_vector(1 downto 0); signal sel_rb_in_o_i : std_logic_vector(1 downto 0); signal sel_rb_out_o_i : std_logic_vector(1 downto 0); signal sel_reg_o_i : std_logic_vector(1 downto 0); signal sel_sp_as_o_i : std_logic; signal sel_sp_in_o_i : std_logic; -- ModuleWare signal declarations(v1.9) for instance 'U_11' of 'add' signal mw_U_11temp_din0 : std_logic_vector(8 downto 0); signal mw_U_11temp_din1 : std_logic_vector(8 downto 0); signal mw_U_11sum : unsigned(8 downto 0); -- Component Declarations component FSM_Execution_Unit port ( adr_nxt_pc_i : in std_logic_vector (15 downto 0); adr_pc_i : in std_logic_vector (15 downto 0); adr_sp_i : in std_logic_vector (15 downto 0); clk_clk_i : in std_logic ; d_alu_i : in std_logic_vector ( 7 downto 0 ); d_i : in std_logic_vector ( 7 downto 0 ); d_regs_out_i : in std_logic_vector ( 7 downto 0 ); irq_n_i : in std_logic ; nmi_i : in std_logic ; q_a_i : in std_logic_vector ( 7 downto 0 ); q_x_i : in std_logic_vector ( 7 downto 0 ); q_y_i : in std_logic_vector ( 7 downto 0 ); rdy_i : in std_logic ; reg_0flag_i : in std_logic ; reg_1flag_i : in std_logic ; reg_7flag_i : in std_logic ; rst_rst_n_i : in std_logic ; so_n_i : in std_logic ; a_o : out std_logic_vector (15 downto 0); adr_o : out std_logic_vector (15 downto 0); ch_a_o : out std_logic_vector ( 7 downto 0 ); ch_b_o : out std_logic_vector ( 7 downto 0 ); d_o : out std_logic_vector ( 7 downto 0 ); d_regs_in_o : out std_logic_vector ( 7 downto 0 ); fetch_o : out std_logic ; ld_o : out std_logic_vector ( 1 downto 0 ); ld_pc_o : out std_logic ; ld_sp_o : out std_logic ; load_regs_o : out std_logic ; offset_o : out std_logic_vector ( 15 downto 0 ); rd_o : out std_logic ; sel_pc_as_o : out std_logic ; sel_pc_in_o : out std_logic ; sel_pc_val_o : out std_logic_vector ( 1 downto 0 ); sel_rb_in_o : out std_logic_vector ( 1 downto 0 ); sel_rb_out_o : out std_logic_vector ( 1 downto 0 ); sel_reg_o : out std_logic_vector ( 1 downto 0 ); sel_sp_as_o : out std_logic ; sel_sp_in_o : out std_logic ; sync_o : out std_logic ; wr_n_o : out std_logic ; wr_o : out std_logic ); end component; component FSM_NMI port ( clk_clk_i : in std_logic ; fetch_i : in std_logic ; nmi_n_i : in std_logic ; rst_rst_n_i : in std_logic ; nmi_o : out std_logic ); end component; component RegBank_AXY port ( clk_clk_i : in std_logic ; d_regs_in_i : in std_logic_vector (7 downto 0); load_regs_i : in std_logic ; rst_rst_n_i : in std_logic ; sel_rb_in_i : in std_logic_vector (1 downto 0); sel_rb_out_i : in std_logic_vector (1 downto 0); sel_reg_i : in std_logic_vector (1 downto 0); d_regs_out_o : out std_logic_vector (7 downto 0); q_a_o : out std_logic_vector (7 downto 0); q_x_o : out std_logic_vector (7 downto 0); q_y_o : out std_logic_vector (7 downto 0) ); end component; component Reg_PC port ( adr_i : in std_logic_vector (15 downto 0); clk_clk_i : in std_logic ; ld_i : in std_logic_vector (1 downto 0); ld_pc_i : in std_logic ; offset_i : in std_logic_vector (15 downto 0); rst_rst_n_i : in std_logic ; sel_pc_as_i : in std_logic ; sel_pc_in_i : in std_logic ; sel_pc_val_i : in std_logic_vector (1 downto 0); adr_nxt_pc_o : out std_logic_vector (15 downto 0); adr_pc_o : out std_logic_vector (15 downto 0) ); end component; component Reg_SP port ( adr_low_i : in std_logic_vector (7 downto 0); clk_clk_i : in std_logic ; ld_low_i : in std_logic ; ld_sp_i : in std_logic ; rst_rst_n_i : in std_logic ; sel_sp_as_i : in std_logic ; sel_sp_in_i : in std_logic ; adr_sp_o : out std_logic_vector (15 downto 0) ); end component; -- Optional embedded configurations -- pragma synthesis_off for all : FSM_Execution_Unit use entity R6502_TC.FSM_Execution_Unit; for all : FSM_NMI use entity R6502_TC.FSM_NMI; for all : RegBank_AXY use entity R6502_TC.RegBank_AXY; for all : Reg_PC use entity R6502_TC.Reg_PC; for all : Reg_SP use entity R6502_TC.Reg_SP; -- pragma synthesis_on begin -- ModuleWare code(v1.9) for instance 'U_11' of 'add' mw_U_11temp_din0 <= '0' & ch_a_o_i; mw_U_11temp_din1 <= '0' & ch_b_o_i; u_11combo_proc: process (mw_U_11temp_din0, mw_U_11temp_din1) variable temp_carry : std_logic; begin temp_carry := '0'; mw_U_11sum <= unsigned(mw_U_11temp_din0) + unsigned(mw_U_11temp_din1) + temp_carry; end process u_11combo_proc; d_alu_o_i <= conv_std_logic_vector(mw_U_11sum(7 downto 0),8); reg_0flag_o_i <= mw_U_11sum(8) ; -- ModuleWare code(v1.9) for instance 'U_8' of 'inv' reg_1flag_o_i <= not(d_alu_or_o_i); -- ModuleWare code(v1.9) for instance 'U_9' of 'inv' reg_7flag_o_i <= not(d_alu_n_o_i); -- ModuleWare code(v1.9) for instance 'U_10' of 'inv' d_alu_n_o_i <= not(d_alu_o_i(7)); -- ModuleWare code(v1.9) for instance 'U_7' of 'por' d_alu_or_o_i <= d_alu_o_i(0) or d_alu_o_i(1) or d_alu_o_i(2) or d_alu_o_i(3) or d_alu_o_i(4) or d_alu_o_i(5) or d_alu_o_i(6) or d_alu_o_i(7); -- Instance port mappings. U_4 : FSM_Execution_Unit port map ( adr_nxt_pc_i => adr_nxt_pc_o_i, adr_pc_i => adr_pc_o_i, adr_sp_i => adr_sp_o_i, clk_clk_i => clk_clk_i, d_alu_i => d_alu_o_i, d_i => d_i, d_regs_out_i => d_regs_out_o_i, irq_n_i => irq_n_i, nmi_i => nmi_o_i, q_a_i => q_a_o_i, q_x_i => q_x_o_i, q_y_i => q_y_o_i, rdy_i => rdy_i, reg_0flag_i => reg_0flag_o_i, reg_1flag_i => reg_1flag_o_i, reg_7flag_i => reg_7flag_o_i, rst_rst_n_i => rst_rst_n_i, so_n_i => so_n_i, a_o => a_o, adr_o => adr_o_i, ch_a_o => ch_a_o_i, ch_b_o => ch_b_o_i, d_o => d_o, d_regs_in_o => d_regs_in_o_i, fetch_o => fetch_o_i, ld_o => ld_o_i, ld_pc_o => ld_pc_o_i, ld_sp_o => ld_sp_o_i, load_regs_o => load_regs_o_i, offset_o => offset_o_i, rd_o => rd_o, sel_pc_as_o => sel_pc_as_o_i, sel_pc_in_o => sel_pc_in_o_i, sel_pc_val_o => sel_pc_val_o_i, sel_rb_in_o => sel_rb_in_o_i, sel_rb_out_o => sel_rb_out_o_i, sel_reg_o => sel_reg_o_i, sel_sp_as_o => sel_sp_as_o_i, sel_sp_in_o => sel_sp_in_o_i, sync_o => sync_o, wr_n_o => wr_n_o, wr_o => wr_o ); U_6 : FSM_NMI port map ( clk_clk_i => clk_clk_i, fetch_i => fetch_o_i, nmi_n_i => nmi_n_i, rst_rst_n_i => rst_rst_n_i, nmi_o => nmi_o_i ); U_2 : RegBank_AXY port map ( clk_clk_i => clk_clk_i, d_regs_in_i => d_regs_in_o_i, load_regs_i => load_regs_o_i, rst_rst_n_i => rst_rst_n_i, sel_rb_in_i => sel_rb_in_o_i, sel_rb_out_i => sel_rb_out_o_i, sel_reg_i => sel_reg_o_i, d_regs_out_o => d_regs_out_o_i, q_a_o => q_a_o_i, q_x_o => q_x_o_i, q_y_o => q_y_o_i ); U_0 : Reg_PC port map ( adr_i => adr_o_i, clk_clk_i => clk_clk_i, ld_i => ld_o_i, ld_pc_i => ld_pc_o_i, offset_i => offset_o_i, rst_rst_n_i => rst_rst_n_i, sel_pc_as_i => sel_pc_as_o_i, sel_pc_in_i => sel_pc_in_o_i, sel_pc_val_i => sel_pc_val_o_i, adr_nxt_pc_o => adr_nxt_pc_o_i, adr_pc_o => adr_pc_o_i ); U_1 : Reg_SP port map ( adr_low_i => adr_o_i(7 DOWNTO 0), clk_clk_i => clk_clk_i, ld_low_i => ld_o_i(0), ld_sp_i => ld_sp_o_i, rst_rst_n_i => rst_rst_n_i, sel_sp_as_i => sel_sp_as_o_i, sel_sp_in_i => sel_sp_in_o_i, adr_sp_o => adr_sp_o_i ); end struct;
gpl-2.0
545/Atari7800
core/cpu6502_true_cycle/tags/arelease/rtl/vhdl/core.vhd
2
14532
-- VHDL Entity R6502_TC.Core.symbol -- -- Created: -- by - eda.UNKNOWN (ENTWICKL4-XP-PR) -- at - 22:43:05 04.01.2009 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; entity Core is port( clk_clk_i : in std_logic; d_i : in std_logic_vector (7 downto 0); irq_n_i : in std_logic; nmi_n_i : in std_logic; rdy_i : in std_logic; rst_rst_n_i : in std_logic; so_n_i : in std_logic; a_o : out std_logic_vector (15 downto 0); d_o : out std_logic_vector (7 downto 0); rd_o : out std_logic; sync_o : out std_logic; wr_n_o : out std_logic; wr_o : out std_logic ); -- Declarations end Core ; -- Jens-D. Gutschmidt Project: R6502_TC -- [email protected] -- COPYRIGHT (C) 2008 by Jens Gutschmidt and OPENCORES.ORG -- -- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version -- 3 of the License, or any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A -- PARTICULAR PURPOSE. See the GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- CVS Revisins History -- -- $Log: not supported by cvs2svn $ -- <<-- more -->> -- Title: Core -- Path: R6502_TC/Core/struct -- Edited: by eda on 04 Jan 2009 -- -- VHDL Architecture R6502_TC.Core.struct -- -- Created: -- by - eda.UNKNOWN (ENTWICKL4-XP-PR) -- at - 22:43:06 04.01.2009 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2007.1a (Build 13) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; library R6502_TC; architecture struct of Core is -- Architecture declarations -- Internal signal declarations signal adr_nxt_pc_o_i : std_logic_vector(15 downto 0); signal adr_o_i : std_logic_vector(15 downto 0); signal adr_pc_o_i : std_logic_vector(15 downto 0); signal adr_sp_o_i : std_logic_vector(15 downto 0); signal ch_a_o_i : std_logic_vector(7 downto 0); signal ch_b_o_i : std_logic_vector(7 downto 0); signal d_alu_n_o_i : std_logic; signal d_alu_o_i : std_logic_vector(7 downto 0); signal d_alu_or_o_i : std_logic; signal d_regs_in_o_i : std_logic_vector(7 downto 0); signal d_regs_out_o_i : std_logic_vector(7 downto 0); signal fetch_o_i : std_logic; signal ld_o_i : std_logic_vector(1 downto 0); signal ld_pc_o_i : std_logic; signal ld_sp_o_i : std_logic; signal load_regs_o_i : std_logic; signal nmi_o_i : std_logic; signal offset_o_i : std_logic_vector(15 downto 0); signal q_a_o_i : std_logic_vector(7 downto 0); signal q_x_o_i : std_logic_vector(7 downto 0); signal q_y_o_i : std_logic_vector(7 downto 0); signal reg_0flag_o_i : std_logic; signal reg_1flag_o_i : std_logic; signal reg_7flag_o_i : std_logic; signal sel_pc_as_o_i : std_logic; signal sel_pc_in_o_i : std_logic; signal sel_pc_val_o_i : std_logic_vector(1 downto 0); signal sel_rb_in_o_i : std_logic_vector(1 downto 0); signal sel_rb_out_o_i : std_logic_vector(1 downto 0); signal sel_reg_o_i : std_logic_vector(1 downto 0); signal sel_sp_as_o_i : std_logic; signal sel_sp_in_o_i : std_logic; -- ModuleWare signal declarations(v1.9) for instance 'U_11' of 'add' signal mw_U_11temp_din0 : std_logic_vector(8 downto 0); signal mw_U_11temp_din1 : std_logic_vector(8 downto 0); signal mw_U_11sum : unsigned(8 downto 0); -- Component Declarations component FSM_Execution_Unit port ( adr_nxt_pc_i : in std_logic_vector (15 downto 0); adr_pc_i : in std_logic_vector (15 downto 0); adr_sp_i : in std_logic_vector (15 downto 0); clk_clk_i : in std_logic ; d_alu_i : in std_logic_vector ( 7 downto 0 ); d_i : in std_logic_vector ( 7 downto 0 ); d_regs_out_i : in std_logic_vector ( 7 downto 0 ); irq_n_i : in std_logic ; nmi_i : in std_logic ; q_a_i : in std_logic_vector ( 7 downto 0 ); q_x_i : in std_logic_vector ( 7 downto 0 ); q_y_i : in std_logic_vector ( 7 downto 0 ); rdy_i : in std_logic ; reg_0flag_i : in std_logic ; reg_1flag_i : in std_logic ; reg_7flag_i : in std_logic ; rst_rst_n_i : in std_logic ; so_n_i : in std_logic ; a_o : out std_logic_vector (15 downto 0); adr_o : out std_logic_vector (15 downto 0); ch_a_o : out std_logic_vector ( 7 downto 0 ); ch_b_o : out std_logic_vector ( 7 downto 0 ); d_o : out std_logic_vector ( 7 downto 0 ); d_regs_in_o : out std_logic_vector ( 7 downto 0 ); fetch_o : out std_logic ; ld_o : out std_logic_vector ( 1 downto 0 ); ld_pc_o : out std_logic ; ld_sp_o : out std_logic ; load_regs_o : out std_logic ; offset_o : out std_logic_vector ( 15 downto 0 ); rd_o : out std_logic ; sel_pc_as_o : out std_logic ; sel_pc_in_o : out std_logic ; sel_pc_val_o : out std_logic_vector ( 1 downto 0 ); sel_rb_in_o : out std_logic_vector ( 1 downto 0 ); sel_rb_out_o : out std_logic_vector ( 1 downto 0 ); sel_reg_o : out std_logic_vector ( 1 downto 0 ); sel_sp_as_o : out std_logic ; sel_sp_in_o : out std_logic ; sync_o : out std_logic ; wr_n_o : out std_logic ; wr_o : out std_logic ); end component; component FSM_NMI port ( clk_clk_i : in std_logic ; fetch_i : in std_logic ; nmi_n_i : in std_logic ; rst_rst_n_i : in std_logic ; nmi_o : out std_logic ); end component; component RegBank_AXY port ( clk_clk_i : in std_logic ; d_regs_in_i : in std_logic_vector (7 downto 0); load_regs_i : in std_logic ; rst_rst_n_i : in std_logic ; sel_rb_in_i : in std_logic_vector (1 downto 0); sel_rb_out_i : in std_logic_vector (1 downto 0); sel_reg_i : in std_logic_vector (1 downto 0); d_regs_out_o : out std_logic_vector (7 downto 0); q_a_o : out std_logic_vector (7 downto 0); q_x_o : out std_logic_vector (7 downto 0); q_y_o : out std_logic_vector (7 downto 0) ); end component; component Reg_PC port ( adr_i : in std_logic_vector (15 downto 0); clk_clk_i : in std_logic ; ld_i : in std_logic_vector (1 downto 0); ld_pc_i : in std_logic ; offset_i : in std_logic_vector (15 downto 0); rst_rst_n_i : in std_logic ; sel_pc_as_i : in std_logic ; sel_pc_in_i : in std_logic ; sel_pc_val_i : in std_logic_vector (1 downto 0); adr_nxt_pc_o : out std_logic_vector (15 downto 0); adr_pc_o : out std_logic_vector (15 downto 0) ); end component; component Reg_SP port ( adr_low_i : in std_logic_vector (7 downto 0); clk_clk_i : in std_logic ; ld_low_i : in std_logic ; ld_sp_i : in std_logic ; rst_rst_n_i : in std_logic ; sel_sp_as_i : in std_logic ; sel_sp_in_i : in std_logic ; adr_sp_o : out std_logic_vector (15 downto 0) ); end component; -- Optional embedded configurations -- pragma synthesis_off for all : FSM_Execution_Unit use entity R6502_TC.FSM_Execution_Unit; for all : FSM_NMI use entity R6502_TC.FSM_NMI; for all : RegBank_AXY use entity R6502_TC.RegBank_AXY; for all : Reg_PC use entity R6502_TC.Reg_PC; for all : Reg_SP use entity R6502_TC.Reg_SP; -- pragma synthesis_on begin -- ModuleWare code(v1.9) for instance 'U_11' of 'add' mw_U_11temp_din0 <= '0' & ch_a_o_i; mw_U_11temp_din1 <= '0' & ch_b_o_i; u_11combo_proc: process (mw_U_11temp_din0, mw_U_11temp_din1) variable temp_carry : std_logic; begin temp_carry := '0'; mw_U_11sum <= unsigned(mw_U_11temp_din0) + unsigned(mw_U_11temp_din1) + temp_carry; end process u_11combo_proc; d_alu_o_i <= conv_std_logic_vector(mw_U_11sum(7 downto 0),8); reg_0flag_o_i <= mw_U_11sum(8) ; -- ModuleWare code(v1.9) for instance 'U_8' of 'inv' reg_1flag_o_i <= not(d_alu_or_o_i); -- ModuleWare code(v1.9) for instance 'U_9' of 'inv' reg_7flag_o_i <= not(d_alu_n_o_i); -- ModuleWare code(v1.9) for instance 'U_10' of 'inv' d_alu_n_o_i <= not(d_alu_o_i(7)); -- ModuleWare code(v1.9) for instance 'U_7' of 'por' d_alu_or_o_i <= d_alu_o_i(0) or d_alu_o_i(1) or d_alu_o_i(2) or d_alu_o_i(3) or d_alu_o_i(4) or d_alu_o_i(5) or d_alu_o_i(6) or d_alu_o_i(7); -- Instance port mappings. U_4 : FSM_Execution_Unit port map ( adr_nxt_pc_i => adr_nxt_pc_o_i, adr_pc_i => adr_pc_o_i, adr_sp_i => adr_sp_o_i, clk_clk_i => clk_clk_i, d_alu_i => d_alu_o_i, d_i => d_i, d_regs_out_i => d_regs_out_o_i, irq_n_i => irq_n_i, nmi_i => nmi_o_i, q_a_i => q_a_o_i, q_x_i => q_x_o_i, q_y_i => q_y_o_i, rdy_i => rdy_i, reg_0flag_i => reg_0flag_o_i, reg_1flag_i => reg_1flag_o_i, reg_7flag_i => reg_7flag_o_i, rst_rst_n_i => rst_rst_n_i, so_n_i => so_n_i, a_o => a_o, adr_o => adr_o_i, ch_a_o => ch_a_o_i, ch_b_o => ch_b_o_i, d_o => d_o, d_regs_in_o => d_regs_in_o_i, fetch_o => fetch_o_i, ld_o => ld_o_i, ld_pc_o => ld_pc_o_i, ld_sp_o => ld_sp_o_i, load_regs_o => load_regs_o_i, offset_o => offset_o_i, rd_o => rd_o, sel_pc_as_o => sel_pc_as_o_i, sel_pc_in_o => sel_pc_in_o_i, sel_pc_val_o => sel_pc_val_o_i, sel_rb_in_o => sel_rb_in_o_i, sel_rb_out_o => sel_rb_out_o_i, sel_reg_o => sel_reg_o_i, sel_sp_as_o => sel_sp_as_o_i, sel_sp_in_o => sel_sp_in_o_i, sync_o => sync_o, wr_n_o => wr_n_o, wr_o => wr_o ); U_6 : FSM_NMI port map ( clk_clk_i => clk_clk_i, fetch_i => fetch_o_i, nmi_n_i => nmi_n_i, rst_rst_n_i => rst_rst_n_i, nmi_o => nmi_o_i ); U_2 : RegBank_AXY port map ( clk_clk_i => clk_clk_i, d_regs_in_i => d_regs_in_o_i, load_regs_i => load_regs_o_i, rst_rst_n_i => rst_rst_n_i, sel_rb_in_i => sel_rb_in_o_i, sel_rb_out_i => sel_rb_out_o_i, sel_reg_i => sel_reg_o_i, d_regs_out_o => d_regs_out_o_i, q_a_o => q_a_o_i, q_x_o => q_x_o_i, q_y_o => q_y_o_i ); U_0 : Reg_PC port map ( adr_i => adr_o_i, clk_clk_i => clk_clk_i, ld_i => ld_o_i, ld_pc_i => ld_pc_o_i, offset_i => offset_o_i, rst_rst_n_i => rst_rst_n_i, sel_pc_as_i => sel_pc_as_o_i, sel_pc_in_i => sel_pc_in_o_i, sel_pc_val_i => sel_pc_val_o_i, adr_nxt_pc_o => adr_nxt_pc_o_i, adr_pc_o => adr_pc_o_i ); U_1 : Reg_SP port map ( adr_low_i => adr_o_i(7 DOWNTO 0), clk_clk_i => clk_clk_i, ld_low_i => ld_o_i(0), ld_sp_i => ld_sp_o_i, rst_rst_n_i => rst_rst_n_i, sel_sp_as_i => sel_sp_as_o_i, sel_sp_in_i => sel_sp_in_o_i, adr_sp_o => adr_sp_o_i ); end struct;
gpl-2.0
545/Atari7800
core/cpu6502_true_cycle/trunk/rtl/vhdl/reg_pc.vhd
1
9349
-- VHDL Entity R6502_TC.Reg_PC.symbol -- -- Created: -- by - eda.UNKNOWN (ENTW1) -- at - 18:39:48 08.02.2010 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ENTITY Reg_PC IS PORT( adr_i : IN std_logic_vector (15 DOWNTO 0); clk_clk_i : IN std_logic; ld_i : IN std_logic_vector (1 DOWNTO 0); ld_pc_i : IN std_logic; offset_i : IN std_logic_vector (15 DOWNTO 0); rst_rst_n_i : IN std_logic; sel_pc_in_i : IN std_logic; sel_pc_val_i : IN std_logic_vector (1 DOWNTO 0); adr_nxt_pc_o : OUT std_logic_vector (15 DOWNTO 0); adr_pc_o : OUT std_logic_vector (15 DOWNTO 0) ); -- Declarations END Reg_PC ; -- Jens-D. Gutschmidt Project: R6502_TC -- [email protected] -- COPYRIGHT (C) 2008-2010 by Jens Gutschmidt and OPENCORES.ORG -- -- This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or any later version. -- -- This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- CVS Revisins History -- -- $Log: struct.bd,v $ -- <<-- more -->> -- Title: Program Counter Logic -- Path: R6502_TC/Reg_PC/struct -- Edited: by eda on 08 Feb 2010 -- -- VHDL Architecture R6502_TC.Reg_PC.struct -- -- Created: -- by - eda.UNKNOWN (ENTW1) -- at - 18:39:49 08.02.2010 -- -- Generated by Mentor Graphics' HDL Designer(TM) 2009.1 (Build 12) -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; ARCHITECTURE struct OF Reg_PC IS -- Architecture declarations -- Internal signal declarations SIGNAL adr_pc_high_o_i : std_logic_vector(7 DOWNTO 0); SIGNAL adr_pc_low_o_i : std_logic_vector(7 DOWNTO 0); SIGNAL adr_pc_o_i : std_logic_vector(15 DOWNTO 0); SIGNAL ci_o_i : std_logic; SIGNAL cout_pc_o_i : std_logic; SIGNAL load3_o_i : std_logic; SIGNAL load_o_i : std_logic; SIGNAL offset_high_o_i : std_logic_vector(7 DOWNTO 0); SIGNAL offset_low_o_i : std_logic_vector(7 DOWNTO 0); SIGNAL val_o_i : std_logic_vector(7 DOWNTO 0); SIGNAL val_one : std_logic_vector(7 DOWNTO 0); SIGNAL val_zero : std_logic_vector(7 DOWNTO 0); -- Implicit buffer signal declarations SIGNAL adr_nxt_pc_o_internal : std_logic_vector (15 DOWNTO 0); SIGNAL adr_pc_o_internal : std_logic_vector (15 DOWNTO 0); -- ModuleWare signal declarations(v1.9) for instance 'U_0' of 'adff' SIGNAL mw_U_0reg_cval : std_logic_vector(7 DOWNTO 0); -- ModuleWare signal declarations(v1.9) for instance 'U_4' of 'adff' SIGNAL mw_U_4reg_cval : std_logic_vector(7 DOWNTO 0); -- ModuleWare signal declarations(v1.9) for instance 'U_3' of 'split' SIGNAL mw_U_3temp_din : std_logic_vector(15 DOWNTO 0); -- ModuleWare signal declarations(v1.9) for instance 'U_5' of 'split' SIGNAL mw_U_5temp_din : std_logic_vector(15 DOWNTO 0); BEGIN -- ModuleWare code(v1.9) for instance 'U_2' of 'add' u_2combo_proc: PROCESS (adr_pc_low_o_i, val_o_i) VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0); VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0); VARIABLE temp_sum : unsigned(8 DOWNTO 0); VARIABLE temp_carry : std_logic; BEGIN temp_din0 := '0' & adr_pc_low_o_i; temp_din1 := '0' & val_o_i; temp_carry := '0'; temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry; adr_nxt_pc_o_internal(7 DOWNTO 0) <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8); cout_pc_o_i <= temp_sum(8) ; END PROCESS u_2combo_proc; -- ModuleWare code(v1.9) for instance 'U_11' of 'add' u_11combo_proc: PROCESS (adr_pc_high_o_i, offset_high_o_i, ci_o_i) VARIABLE temp_din0 : std_logic_vector(8 DOWNTO 0); VARIABLE temp_din1 : std_logic_vector(8 DOWNTO 0); VARIABLE temp_sum : unsigned(8 DOWNTO 0); VARIABLE temp_carry : std_logic; BEGIN temp_din0 := '0' & adr_pc_high_o_i; temp_din1 := '0' & offset_high_o_i; temp_carry := ci_o_i; temp_sum := unsigned(temp_din0) + unsigned(temp_din1) + temp_carry; adr_nxt_pc_o_internal(15 DOWNTO 8) <= conv_std_logic_vector(temp_sum(7 DOWNTO 0),8); END PROCESS u_11combo_proc; -- ModuleWare code(v1.9) for instance 'U_0' of 'adff' adr_pc_o_internal(7 DOWNTO 0) <= mw_U_0reg_cval; u_0seq_proc: PROCESS (clk_clk_i, rst_rst_n_i) BEGIN IF (rst_rst_n_i = '0') THEN mw_U_0reg_cval <= "00000000"; ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN IF (load_o_i = '1') THEN mw_U_0reg_cval <= adr_nxt_pc_o_internal(7 DOWNTO 0); END IF; END IF; END PROCESS u_0seq_proc; -- ModuleWare code(v1.9) for instance 'U_4' of 'adff' adr_pc_o_internal(15 DOWNTO 8) <= mw_U_4reg_cval; u_4seq_proc: PROCESS (clk_clk_i, rst_rst_n_i) BEGIN IF (rst_rst_n_i = '0') THEN mw_U_4reg_cval <= "00000000"; ELSIF (clk_clk_i'EVENT AND clk_clk_i='1') THEN IF (load3_o_i = '1') THEN mw_U_4reg_cval <= adr_nxt_pc_o_internal(15 DOWNTO 8); END IF; END IF; END PROCESS u_4seq_proc; -- ModuleWare code(v1.9) for instance 'U_6' of 'and' load_o_i <= ld_pc_i AND ld_i(0); -- ModuleWare code(v1.9) for instance 'U_7' of 'and' load3_o_i <= ld_pc_i AND ld_i(1); -- ModuleWare code(v1.9) for instance 'U_10' of 'and' ci_o_i <= cout_pc_o_i AND ld_pc_i; -- ModuleWare code(v1.9) for instance 'U_1' of 'constval' val_zero <= "00000000"; -- ModuleWare code(v1.9) for instance 'U_9' of 'constval' val_one <= "00000001"; -- ModuleWare code(v1.9) for instance 'U_8' of 'mux' u_8combo_proc: PROCESS(adr_pc_o_internal, adr_i, sel_pc_in_i) BEGIN CASE sel_pc_in_i IS WHEN '0' => adr_pc_o_i <= adr_pc_o_internal; WHEN '1' => adr_pc_o_i <= adr_i; WHEN OTHERS => adr_pc_o_i <= (OTHERS => 'X'); END CASE; END PROCESS u_8combo_proc; -- ModuleWare code(v1.9) for instance 'U_13' of 'mux' u_13combo_proc: PROCESS(val_one, val_zero, offset_low_o_i, sel_pc_val_i) BEGIN CASE sel_pc_val_i IS WHEN "00" => val_o_i <= val_one; WHEN "01" => val_o_i <= val_zero; WHEN "10" => val_o_i <= offset_low_o_i; WHEN "11" => val_o_i <= val_zero; WHEN OTHERS => val_o_i <= (OTHERS => 'X'); END CASE; END PROCESS u_13combo_proc; -- ModuleWare code(v1.9) for instance 'U_3' of 'split' mw_U_3temp_din <= adr_pc_o_i; u_3combo_proc: PROCESS (mw_U_3temp_din) VARIABLE temp_din: std_logic_vector(15 DOWNTO 0); BEGIN temp_din := mw_U_3temp_din(15 DOWNTO 0); adr_pc_low_o_i <= temp_din(7 DOWNTO 0); adr_pc_high_o_i <= temp_din(15 DOWNTO 8); END PROCESS u_3combo_proc; -- ModuleWare code(v1.9) for instance 'U_5' of 'split' mw_U_5temp_din <= offset_i; u_5combo_proc: PROCESS (mw_U_5temp_din) VARIABLE temp_din: std_logic_vector(15 DOWNTO 0); BEGIN temp_din := mw_U_5temp_din(15 DOWNTO 0); offset_low_o_i <= temp_din(7 DOWNTO 0); offset_high_o_i <= temp_din(15 DOWNTO 8); END PROCESS u_5combo_proc; -- Instance port mappings. -- Implicit buffered output assignments adr_nxt_pc_o <= adr_nxt_pc_o_internal; adr_pc_o <= adr_pc_o_internal; END struct;
gpl-2.0
545/Atari7800
Atari7900/Atari7900.srcs/sources_1/ip/AST_ROM/synth/AST_ROM.vhd
1
6750
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:dist_mem_gen:8.0 -- IP Revision: 8 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY dist_mem_gen_v8_0; USE dist_mem_gen_v8_0.dist_mem_gen_v8_0; ENTITY AST_ROM IS PORT ( a : IN STD_LOGIC_VECTOR(13 DOWNTO 0); spo : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END AST_ROM; ARCHITECTURE AST_ROM_arch OF AST_ROM IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF AST_ROM_arch: ARCHITECTURE IS "yes"; COMPONENT dist_mem_gen_v8_0 IS GENERIC ( C_FAMILY : STRING; C_ADDR_WIDTH : INTEGER; C_DEFAULT_DATA : STRING; C_DEPTH : INTEGER; C_HAS_CLK : INTEGER; C_HAS_D : INTEGER; C_HAS_DPO : INTEGER; C_HAS_DPRA : INTEGER; C_HAS_I_CE : INTEGER; C_HAS_QDPO : INTEGER; C_HAS_QDPO_CE : INTEGER; C_HAS_QDPO_CLK : INTEGER; C_HAS_QDPO_RST : INTEGER; C_HAS_QDPO_SRST : INTEGER; C_HAS_QSPO : INTEGER; C_HAS_QSPO_CE : INTEGER; C_HAS_QSPO_RST : INTEGER; C_HAS_QSPO_SRST : INTEGER; C_HAS_SPO : INTEGER; C_HAS_WE : INTEGER; C_MEM_INIT_FILE : STRING; C_ELABORATION_DIR : STRING; C_MEM_TYPE : INTEGER; C_PIPELINE_STAGES : INTEGER; C_QCE_JOINED : INTEGER; C_QUALIFY_WE : INTEGER; C_READ_MIF : INTEGER; C_REG_A_D_INPUTS : INTEGER; C_REG_DPRA_INPUT : INTEGER; C_SYNC_ENABLE : INTEGER; C_WIDTH : INTEGER; C_PARSER_TYPE : INTEGER ); PORT ( a : IN STD_LOGIC_VECTOR(13 DOWNTO 0); d : IN STD_LOGIC_VECTOR(7 DOWNTO 0); dpra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); clk : IN STD_LOGIC; we : IN STD_LOGIC; i_ce : IN STD_LOGIC; qspo_ce : IN STD_LOGIC; qdpo_ce : IN STD_LOGIC; qdpo_clk : IN STD_LOGIC; qspo_rst : IN STD_LOGIC; qdpo_rst : IN STD_LOGIC; qspo_srst : IN STD_LOGIC; qdpo_srst : IN STD_LOGIC; spo : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); dpo : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); qspo : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); qdpo : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT dist_mem_gen_v8_0; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF AST_ROM_arch: ARCHITECTURE IS "dist_mem_gen_v8_0,Vivado 2015.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF AST_ROM_arch : ARCHITECTURE IS "AST_ROM,dist_mem_gen_v8_0,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF AST_ROM_arch: ARCHITECTURE IS "AST_ROM,dist_mem_gen_v8_0,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=dist_mem_gen,x_ipVersion=8.0,x_ipCoreRevision=8,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_ADDR_WIDTH=14,C_DEFAULT_DATA=0,C_DEPTH=16384,C_HAS_CLK=0,C_HAS_D=0,C_HAS_DPO=0,C_HAS_DPRA=0,C_HAS_I_CE=0,C_HAS_QDPO=0,C_HAS_QDPO_CE=0,C_HAS_QDPO_CLK=0,C_HAS_QDPO_RST=0,C_HAS_QDPO_SRST=0,C_HAS_QSPO=0,C_HAS_QSPO_CE=0,C_HAS_QSPO_RST=0,C_HAS_QSPO_SRST=0,C_HAS_SPO=1,C_HAS_WE=0,C_MEM_INIT_FILE=AST_ROM.mif,C_ELABORATION_DIR=./,C_MEM_TYPE=0,C_PIPELINE_STAGES=0,C_QCE_JOINED=0,C_QUALIFY_WE=0,C_READ_MIF=1,C_REG_A_D_INPUTS=0,C_REG_DPRA_INPUT=0,C_SYNC_ENABLE=1,C_WIDTH=8,C_PARSER_TYPE=1}"; BEGIN U0 : dist_mem_gen_v8_0 GENERIC MAP ( C_FAMILY => "zynq", C_ADDR_WIDTH => 14, C_DEFAULT_DATA => "0", C_DEPTH => 16384, C_HAS_CLK => 0, C_HAS_D => 0, C_HAS_DPO => 0, C_HAS_DPRA => 0, C_HAS_I_CE => 0, C_HAS_QDPO => 0, C_HAS_QDPO_CE => 0, C_HAS_QDPO_CLK => 0, C_HAS_QDPO_RST => 0, C_HAS_QDPO_SRST => 0, C_HAS_QSPO => 0, C_HAS_QSPO_CE => 0, C_HAS_QSPO_RST => 0, C_HAS_QSPO_SRST => 0, C_HAS_SPO => 1, C_HAS_WE => 0, C_MEM_INIT_FILE => "AST_ROM.mif", C_ELABORATION_DIR => "./", C_MEM_TYPE => 0, C_PIPELINE_STAGES => 0, C_QCE_JOINED => 0, C_QUALIFY_WE => 0, C_READ_MIF => 1, C_REG_A_D_INPUTS => 0, C_REG_DPRA_INPUT => 0, C_SYNC_ENABLE => 1, C_WIDTH => 8, C_PARSER_TYPE => 1 ) PORT MAP ( a => a, d => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), dpra => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 14)), clk => '0', we => '0', i_ce => '1', qspo_ce => '1', qdpo_ce => '1', qdpo_clk => '0', qspo_rst => '0', qdpo_rst => '0', qspo_srst => '0', qdpo_srst => '0', spo => spo ); END AST_ROM_arch;
gpl-2.0
545/Atari7800
lab3sound/lab3sound.srcs/sources_1/imports/dsp_base_project/adau1761_izedboard.vhd
3
4578
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:47:06 01/18/2014 -- Design Name: -- Module Name: adau1761_izedboard - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library unisim; use unisim.vcomponents.all; entity adau1761_izedboard is Port ( clk_48 : in STD_LOGIC; AC_ADR0 : out STD_LOGIC; AC_ADR1 : out STD_LOGIC; AC_GPIO0 : out STD_LOGIC; -- I2S MISO AC_GPIO1 : in STD_LOGIC; -- I2S MOSI AC_GPIO2 : in STD_LOGIC; -- I2S_bclk AC_GPIO3 : in STD_LOGIC; -- I2S_LR AC_MCLK : out STD_LOGIC; AC_SCK : out STD_LOGIC; AC_SDA : inout STD_LOGIC; hphone_l : in std_logic_vector(15 downto 0); hphone_r : in std_logic_vector(15 downto 0); line_in_l : out std_logic_vector(15 downto 0); line_in_r : out std_logic_vector(15 downto 0); new_sample: out std_logic ); end adau1761_izedboard; architecture Behavioral of adau1761_izedboard is COMPONENT i2c PORT( clk : IN std_logic; i2c_sda_i : IN std_logic; i2c_sda_o : OUT std_logic; i2c_sda_t : OUT std_logic; i2c_scl : OUT std_logic); END COMPONENT; COMPONENT ADAU1761_interface PORT( clk_48 : IN std_logic; codec_master_clk : OUT std_logic ); END COMPONENT; COMPONENT i2s_bit_clock PORT( clk_48 : IN std_logic; pulse_per_bit : OUT std_logic; i2s_clk : OUT std_logic ); END COMPONENT; component clocking port( CLK_100 : in std_logic; CLK_48 : out std_logic; RESET : in std_logic; LOCKED : out std_logic ); end component; COMPONENT audio_signal PORT( clk : IN std_logic; sample_taken : IN std_logic; audio_l : OUT std_logic_vector(15 downto 0); audio_r : OUT std_logic_vector(15 downto 0) ); END COMPONENT; COMPONENT i2s_data_interface PORT( clk : IN std_logic; audio_l_in : IN std_logic_vector(15 downto 0); audio_r_in : IN std_logic_vector(15 downto 0); i2s_bclk : IN std_logic; i2s_lr : IN std_logic; audio_l_out : OUT std_logic_vector(15 downto 0); audio_r_out : OUT std_logic_vector(15 downto 0); new_sample : OUT std_logic; i2s_d_out : OUT std_logic; i2s_d_in : IN std_logic ); END COMPONENT; signal audio_l : std_logic_vector(15 downto 0); signal audio_r : std_logic_vector(15 downto 0); signal codec_master_clk : std_logic; signal i2c_scl : std_logic; signal i2c_sda_i : std_logic; signal i2c_sda_o : std_logic; signal i2c_sda_t : std_logic; signal i2s_mosi : std_logic; signal i2s_miso : std_logic; signal i2s_bclk : std_logic; signal i2s_lr : std_logic; begin AC_ADR0 <= '1'; AC_ADR1 <= '1'; AC_GPIO0 <= i2s_MISO; i2s_MOSI <= AC_GPIO1; i2s_bclk <= AC_GPIO2; i2s_lr <= AC_GPIO3; AC_MCLK <= codec_master_clk; AC_SCK <= i2c_scl; i_i2s_sda_obuf : IOBUF port map ( IO => AC_SDA, -- Buffer inout port (connect directly to top-level port) O => i2c_sda_i, -- Buffer output (to fabric) I => i2c_sda_o, -- Buffer input (from fabric) T => i2c_sda_t -- 3-state enable input, high=input, low=output ); Inst_i2c: i2c PORT MAP( clk => CLK_48, i2c_sda_i => i2c_sda_i, i2c_sda_o => i2c_sda_o, i2c_sda_t => i2c_sda_t, i2c_scl => i2c_scl ); i_ADAU1761_interface: ADAU1761_interface PORT MAP( clk_48 => clk_48 , codec_master_clk => codec_master_clk ); Inst_i2s_data_interface: i2s_data_interface PORT MAP( clk => clk_48, audio_l_out => line_in_l, audio_r_out => line_in_r, audio_l_in => hphone_l, audio_r_in => hphone_r, new_sample => new_sample, i2s_bclk => i2s_bclk, i2s_d_out => i2s_MISO, i2s_d_in => i2s_MOSI, i2s_lr => i2s_lr ); end Behavioral;
gpl-2.0
545/Atari7800
Atari7900/Atari7900.srcs/sources_1/ip/MSPAC_DROM/dist_mem_gen_v8_0/hdl/dist_mem_gen_v8_0_vhsyn_rfs.vhd
6
172455
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block iPxxPypVCUW8yeh4r0TXaP1PVyUvWyQkHgDZGUhe0pa8M1R3rYERX7R1S4th1FmRzHsW2xaNbSg6 S/GCDHrRIQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block D9LrMZ3J4hrsJHsVKPw/OWrZWvAPAAPvb27Jw7BMKEa9Gb8q6gAmobWMrPMlrO2i0s3COYBWEtaI SAqKgiLkha2AUtchKu2MjDY2dygXGTKNoKI/gghll7dCglHyJUIfMK7mqWGdYeBO5dpsueodIdzQ AJaoe29nd63kG8seK/c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block r1g4j1h3oPTZnsZ/FmJ4m2WDeVRbdygLOkDfcbsagXYMV2dWTvvCGVEIRlA3gpsDPWVa6zTi4Zxx z0ZTG+4dindajQk/kZIqYly0Yz67SAhkWkocNOPJDmNzi0lc0CSlVHLZejY7+amu8xryOsAbVXeH nPrDH0R2oZ1U3vEKeJ/CGi4/3/+MLJ93IQdvqtikyUunHUMO0U0eEiM276DrsR1vaSaQBVUlFI/G cKm0ugrprcOkHkFvjtAWidir694I3BxdRLE7DhKbMLyoQz/a4m+6ItSoJjSuNVJX4/o1c1Xl0DOT kwDW17SaBZXARvcEwalN9GyFUqLgyMDukos8rA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block t5ztOKAZjRD/jw7mGjWAMoCBtF2Nhtew8BzzXzhiN8MaXGevsBsKHQCzkw1TIn8zzWcXBtbe9aON gSK+aElHY8bTYn+BPsQq3I6bwfdFXpuSWLnUVt/R8qrmJnljHTVFL+wqrc1kTNQWtCklN1PYMEx2 loSj71xoMcHEsqt+BXA= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block amINJi6Oi+IW4e6kXQsBYwVEt70HQRJm7IqR799zgCM4HqIMBYfp+JqWBaj3cxt2qQ6GsZfCqY2Z ax3PuG9pGFS5/oOlCfJD2eRvaGlDItH4yerJO4jz0SIF+68mQ3WIBoeBAj8/AuxYVjyPV0nMyn1Y EopRP0Hm+HOUmNM1JR0/hTZyTNvgQjjyK/E26FLd7ItloIH9A87oRaPCYCmEy1zB+lkDR/O2cEdv m++UNoBeclJxDtGELsQIq+S+vEesxUkqouDErvd0Sgsd7qs/F3+oVAUJ4viahl9qQ4v4fU+WLg7l rH8yPUFhOCI7x040OnLVF7G/6PfXwlb1nfuhHg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 125920) `protect data_block 72ArlJPDq8eAf4g+ElJQS3lA4vIrJ158T8hFUZwQpYd3SdsaYPslpcHCi3oJNE0GYd7NeH07NqfJ vbJCr5ZM1eKgiBa4J9aJtsont2jxgmCVP0Bm/YDlWr6zJLGvFacN97rttWmBep/YI6s4B2snivkc r1tCXFPUD7N8EhZatMBPwCLos6zvIjBqHIq5TREvkwaSFL11Ivip0Uff5W90lHgwrkWsFht8wmPu E7sdBk+m3/a2/kWFL3RG5kxZlAA/rUNCiZuUSlbkV3nhlS5ggpNZ9EXnJVIExd3nYug/Z9BGT38h iQKUiFRIqkbHV/CZLFBkXZGZi6AF2S5JFARqP8/ZyrUZ1jFm4YIW08i4ymudM1Nno9Mj6IlvLtwa 1AuzOM0ZF0XIzIbKlRCGYm/iOWe/NfzQHst7+XBVS128A3cI0Z3meRuLUgEmkgskdj8sZ/Z+1ox0 MPVfqXaI8VYwvEJAhzuhsH8OLsXlkzlhUbjmLlveA1q2bGMU41peySgQf12Z+AYqW/zdvTz7LIMq CNeDFa9ERlbod4J8ARKFw5VssYBo5AXpABKPkpaTpBk5Qbbdhei2xg7K3ih7/3d9Vxc6R4G1QxS+ ovuPJ2BXfroW0vw8ClhBoHJjP2x4T+jlaH9U7PIcsCpQZT0vh7a9K4nWBFrGOg6ym9wvcUvDE03D ZwDZ0dOC7pQsgTwhm9chHXKBit8iJZAdSNm8BlVGmQmWj8jGdv4o1guBMuPlJuC3TmEyWQf/tTZx fSomEYcxgk/4/vLo9+yBJ3cHs/j1GgZDtyDxbtyOkiBv1bp4yz4h3PR6iFmTbd7E6alFhTN506qP FK9KAaMv1ROTs7bJYsf8EHVnu4qASRXK2XNb/ERzIxyFTkuIDD9sNRt04yjaW9nkDttOIafF/a2f VWqRd7GQQ1uyES4NDWyrpFWKOLtRFPPFDwilJHyLPv/7nTCZnqlPADmUE4kr9gAiNiK0pu6r+BlP wxhw8u5vKYB9uw6HpSJb6TCQJ72E7YEC97sqamnLIi8+cXXkRqyGoN5ze0HU6dN89ZRIp+zSJlEd yiwKYsq1jBAnv9l19c8EcyHqdrU10htw9lQTJ5MIOu0XBquRu4NkzLd0qFmCN/1dszrm8qa51LvT NdaksRQvxFpZpQUS7D+yULAkHUUGQ5Q5Twza9AJyWSd2VnVpMLfVx27tHjcdCmrjL+rY1T4UEvIO zicb8m5hayI5CnCuNYvCk7aJCoFav7VICcluFufI3hvp3XVnMyViH/IqXQ5yZZsQ6KetRsimQu/N jpnlb7/r8XnHKQWvxJn1vrj0RJ8ph08q4UmfpCu503Z+W5+E+HkqBAtIzhsVI6/4mlEMoUeLaV8S 3y5DNQySS82KkwuecOvaXHr5bmh1GZoBupB+HPTQL0Bwo++Fim7F+dqSuTrblKqsiDMupIHmBrb0 clJQOfbf5czDuXaNf7Of6u02Lx3l7OT8GASSHurqFkoUjvmPgio65z/Ee5IHNSjBGDnPLUu5hyKZ vfa8Jk3Sp5zzsP/nsbiY5FKdxfvPzxJVP1+N4BzQParDeL5p1r75VCxb2vwrWHabAbl0DUukvKnq NvApkXpVKonvkzuze0lqaX1A7N3usAWcU5IWi0YTIGGpkWKkBlVPj3WjzRBbP2AgK/c2ewWS+/Iw iRS/AVZSR+obhDkwbNQpcF7w5opUJf7butDvMlim+NXzEKI4GC0GFlldfJliJZOXRfBeAKUtTtwu RkFnAKhAz75HvDmcRM9jXBKfIAlOeyZdneQZUyDanwnn3masFcPaxxTNGpoVDSTFkyH7EKm6Trkk 9DfjmaTbp6n/blAxkBGi2AiHW9Vobgzjhe/fWW76O90Hx8RfMnrg3jnYJtdYlEhM1t9IKyL6Sy6y 1QdLFhp6uJ8HkeSyZHe4671WwP5vDqvydUJg+mlQuc5FQUWd52ZUG6a0ojr5vQRliH6CURTYny3i XGvU/1KMMYoPH+KR2b6Yayl00jbbnRPfSKZvGwkTFcVhtMVOXWWn7L1Eh+9GW59EEH0ctEyD33px 044/2poodtm3eZGVbth7m72lrLZH66Da9zTSggWExp8sOMvqg1/CgER9yqBBKlFFrM3OZHkEtsEp s3boZkKx+u3BVJchfoa2TCbcybbUZvbNcORFrxqR+kwUNrTUzDMD1Q4lxT4Avpy3U3lKMX3/Bmkq AbF1thts3h5HyyHLalK7lgwJW9tKgjbHwgEbrVgNn6lwpablpyKAIuBmxceDWFhvvocp6URAzVNo vU8k/VCyyhFpr3Ohmk9k2EjHfJZ+NfZVhbV/vDNt94aB2M0mz0tzLd65FYcpdAfuda0durjjEPg3 XNbQ5/r63JBFlSQN8Ed6sYy1ZGZmGtk8hOaNU+qPWD9F+pX1ZUSnloHixK0wjkaD2o/esp6IHYjK xEhMEGnCW/GvEF4NrLfl7Fjhb6a/Y7btWlkwQq/GRSGvUeAk+9zBbZSc1G0E8Vl4IqnGltm6cN7Y s67AHl3d3Iturpy81XWKeRud26EB0boBIVBIV5X22HHc+Ck9ck9O9l+bwskM8XD6euYZy+lnioLf H5eki3cu7f0v5mA8nTU3Ezbu8/U18rAuBMFAS0V3x/+dW09nmkT9DZun2MkNf6ATs2bCcajtl/ib ODBJTBJoHHUUOJR1/ihlC4tkWkT/0/6EdZoaX5eOWtduBZqCuzGJbYiQdP4DCH1ywMcZzUTdLWqa D3T/ut+jWuY+g1fe+/EXgzSRALx3tS6De5LRHFIVXADROucW2GX7LR96WfIHulkGm9+LxeaZN0SG yPX++sbSkh9jBHID3DW5z7iP4guPfOZwbkuOkpzJLsRKGz8WkHNL2IP7dEuxLgs95NzdRpbu4A0h oTZG+k1t6kXuodI1a6FEG2ANLI6k+m/sLX5rmIVFgq3JrZR6M3PQoPQ9poaFOF0o875rnk9+dxg+ LeNXqxjWuCE1PIVo47zQSYsN7OvcPTE+Df+jshrxKwuw1IyTA1/zNnvFrJfdR+DIJM0C/bltA02m 0rccRbp89cPEB2sU7TCp4j4WfMB82fJm0eS33ruGrbOpebrojKCb4bIvn+bykKHTqY5Thy+k+kY1 tABn5cySDL3zzE/BRPx93Xp+6HYE0wsH6MzT6A5taLDRaCyzMhEMzcabfSCwvWpVVtfdPImPBdt+ 1WJc0SF5/Aq93OEO6MpYK8GlJbBNfr1/tF5xCu1qaH8mRLHoP/SmIUBBfZEQGbf2kFTYF5n+AHFz vHrZcU5IZNLvzXN76xxVbBhDf+g6n4Ok8s95wuCrDsZQw2jamOprW/ZYTXa3oQHjh1EyNHnKBw8e jq09jOH7dkIDZs979uTLoaBeqL5rK3uU/cJdILu3AxVDgyFKHoe5ix2Uxcx9DAUkYcROOP2iwDgL 97F3VJ+J2pe2AicTYJ+TGYQU23S7Hcv5zWlqo1q8wRtFfWwZZE8yY78pLTOTh3ArNFIrd8sIEzsO vFFGLJT1PArNPhsgwiSChCyaUv2U78cLRsKV2ODBgKvJv8Jhyt09Z3HKpVU2pbBeXN+c0LnuxRMA TJvMsbQbTvWT+7DEZihxq1gRSKou3EsQgQP2vmy0rfYF1BC+7Uf9VHZ9UpNWhAB7viHWlu3YfIEU jnMmlZSQ6MH7yVa9FGsLRYSei0Trom5mXvX6RxsiEM7imgpOKOKD+7eQpy4bb+G2/BOjiH3q0S40 el/d7a/Cl1C2Mo1JITUvZIrB09wl9CaLYzVPofBiDEzppxOsmW/Br/LPPjWGSVQ2xFoKrCzkuHeA j3xrgpk3vkXBTRAvFr2x5cNZBmHK8UGsknbLvXjC66eyDGWrwEIgl4VxcqffYolDdDWcTojENCNO yicoN2oUO8sD5199n0Pga5NSa7AGdI18aPWGnkldA/UbGMyUgqXliiqIagsE88IEDpchhxmjgbdT KMyZSJfCfrd7DIpL0g3rSTlT5/APs9+nMo4tdInnJ6WzqQjczXZ0Mo59YqtJW3OrpnsA1HJyiral /BbxzKiExaPsFnwOHajJbaw6oK9i7QLk04FL6j9dWEMUT4oIkReCSsrNNjmfeHJ7U0pyOZe+7cFd /2X3wQV6Mj8wtWn8lz2w1QoDozFBRz8tqg0bUa1tOjhabYAoArxnsHPGsJqoxvz2AoEZHXMFZbyw dLSwts7qPPOpeIf3iP+eQP5+c7eZtVJ0yIipjej6wj/Qhzk06HdChhcBFNAKZF8xBfOggAhOShQu 10kim5iQrkjTJZ9E3F93volWM9CkQbzVZXSWv/6FHQuCUwN1TgtCdsQ6KC+N44lqQD6jsLtH37Co T3D0iDbW/jQmnrbYdIjJloxgGoLXWwJnxRNYPDsYXio9zygITMM842pngLeSxmpoMXFFo6G/edP9 ATjmp38OZEN6jX74irDZ0KhRTv2iE0uCSa+DztQOPQKjUegpdl5G7Iowpofeii6/rtoQM5ocdGdi 30tqFB64JscC737qcg3SXz8fSZoLP0Ipcdai5UljzSsO5lZ/kFMVeT6P1htIphY++VayOTQixXn/ 3p0W3Srqam+Ro4X11/xEha+y+ncCRQZkGaWbfh+AV5AfyGjXD1bqpKFkb6R7bPKR5fD9Jz/SDAsV R+5++7VKOQoWNhvXKOqGT82GrUp6vreaMvf8mPoyiCp7yYVrTZO3F0x2VnA3EQXHRan3rfFrGMmE vpzbsvWd2O7xNBvkHso/EAZqC4dLnsFe3aMeUjK8tgzMIJ47nH7H7sI2V2EpGpplyXpLuW+L88AD 24oBiu5JDS/Ty7ObYcI4GxE3fgJVvhMuPLMOJUbChJKaCumOIcwhHFJi9Sm98rr8WU/iJ9lkCaQj 0mvbrm1Gu/VKJWvXBbCXjuN36P4Cf+GlCOyzCxtmql+viCNbnLKK2pedGCUM+Nji9mmo+CJcaI1G hAjouLNyAvzux8BfT7jNWngT/fahvlVvDsCE5YgI3MwIKn967bkqZXDOoI2p9F1wtt1L5OGKM+78 Tkq/jTxuiJSBi25EkCSaFLgNamc1j7dxYkZlgoK0ZcBBExNouacnp05jg6fX2j4VHnYdofslNVR4 FR9CVMFzSCM+vo5rgktXKnMheUcBNKKNlDuVqYpRx8w7g2hFItoCmGiJYxyZujGweTqPwJaGs1Jp fMGoH1uUIyLHZ0Crn2I/W4zDjeyJmTd1mbhtrkkDaheK1ywTDM2EfOdBtwdLzzwnljTq2b0OvL6A vARpM0e4W2HqkAc2C5tnc9cSRGnIceCvc/gUtPs+RsNbV5OZl17yyMRxC4Yb3RLcCR4Flputxnxp S8d6kl4BIs9WviCPmeuo/VQBZGwhdhAzlzvlR4CLzkkrrz7jQtniMOdVsjnfMLvSycylkoX6WwRm PcNK5xAmXeznlravUB2+M3me+5WzdkvL8NoGU9Y8Rmrv2O0dOZejflZlvA9ABTO0nodCQt5vDcHx jsypy3PhoOzHvFypNbUdm+1OuHaocQgPBH27tRII/6pLr3Ui2zzdIRU7Yd+DOVYQHLJGwExWuhpa t2mRi5LfBhYuOs1DdJwPulIusQMPwjhsIOMWqCllHXQXfo9ud6PE3dOTGx4el5ANmKpRP/DyeZ45 DlCsAVTEJEJfEPuL+pGwxx/cf+8tCZoWf3hSjD4T0TKblr8UYj/Ns4RJN4dknmUdj/zJo0iY4lSf uXeOubqRIR2J46sIlwSZIJFeeLuKhAzaWE2WOhThL30fJDJ5Y8dstWHRnMQBXX/qmUxP082c+qbP NVHP+dG9myH3NCqMe+CeeYuL7dIc7WDJff7VewrsnyEFf4/iLAkmsEfsr2wk5yMcpicS1r0SgNV+ 1247cS5hkBijLnUUbNJ0pyy8YwmkOp8HfHfoXAPisDxIBTE8HMvrQLprbCRA2eiTjPkurS+dsKuj sjMZqiOGB4kMRKBI0sVrFxGtrcjUMEDcoc0VN9jM19q/v+uYZOmE+fv3mjAdcUfmnUvBYUwsMf9t z6PA7CDwIa/zlv3QSCwFwSVt+hsJUbo5n67X983zTO7OwldrJkOYn6Zj7BMruYRqfA1eILkbIfd8 CFrnu5GXHNW+8grTQPx0zfAMXoAalLRW140sDCyfunOZ5smWK2Mhsj184MEjzfKJP3QmyF9PUQtZ V9NvkcnKn5proSiPC/lAXriWtwi/SY+VMGtfO5CTi6uUyIWngr69QdrUCVrQ65ByYsMF8hFfe6Gp erOxmGl2z02NxRjMbV3ocGH8omPTn+KNKv8porfKkCPTShKyzdbucwwf//ogk1sXqafPyqBvMGLB yYCPDuoTBSR1ETynfKYWmOuw3CVq6nXdCvKy39pV/bHlEi8ooBMtm7LoPluH6NT6sdiOXkzmlQZo Pr3yiawYo4c3SAc841njP4x+5CxDe/dzTEDOO8yGjJFxp8MrXUKF2ws3iVf8ufI7qvXw7OgJ39ED oe/R+H4b21JZ50ZCHqv4PsoRI4qLRKBLUaJQUNuhmikU4UunbAup1eOpcjecrDiH5QvOX6mcoc8U a/qOIJzOPKR/hMKZS4m9rYX4Xhwm28dmh7RwRR7EQXSKUPu8oLbMAhJ5Y9SJ64JajSOG2z8U68VP cqsnhRe/I196WkNck91HH9tSSZEGQWvhC8TcSHxfb8x98OfO0F3XfDJP+qXk+diKQkTQnsVajW8m AvNODgq6ALMVfX4rMD1wp7kUMDkCdY0qtvuHEfDafTzaYXvLCvshntF7xTYTEcsAm6BlxzDlKWVZ L3ZMZlHMO8mQDFY3gVKtysJgGW4SjnAzGjkCNOB2pMlWJCdQiZYOcAkS6Th4Xuk8+v1f+gqIPJdz BIK/ecqnimhzJvSAfx/SRiLds9dwk2rG1xtRbDAhGlbdmdB+swK5GK0SrwAxoTTaB3XeiXWT8pSG sk5+qbqHU0ornWEw2r8Tr0kfqwUHbhedIyP3S+s7zG2CsuCw8BPm8nHf+WixOHC8eq89wLVJBBrF /CPw0fjv90eGbIVrwdBgRQnjOPm0k5ZcyFnAf7PK6mX5ffxlA66AmICrd/9gX4Kj5fz64vn3+Wvu 7fI3vpviuW6/RlKWi73RVwZX63+S1FfCuKFsnEpmKAsHv5vlDvt7rTidpKK3xnvrUu/WsNPhf/0B Dd4Fwu978Djdk+wi592Q2g6LUU62cvScvwJKOmfdpdgIM8KdzoIRN6E0Vj/aT22IzmGjVYXWObbQ jMLw+WdTJBJgqWKvs3kYVrd96sb3ovdzU9HLsGjwo3PmugfZJspvGBGqUhfe7fIvADaKwp73fHHw fobv8mKJ0WuXMmaIF8OnLS90FNekO2Zde8wSunbNu8z1UKEUrloY72+WVavtApSGSrUJq183MHZ2 0owbLLdbUScczAee1hsaBMn4tHEfSFQCqWJ4/aIgSWN3X33dRJTjXm0wFxXmLsjpqGtPi5XMT9r3 en7XI4a/0mZedCkoC8Z2K3quCUJPWM3YPICmq9v6KdpxyLoUi7kUwvCpQpNTEy82gwuvudZojUAn 5TwvTcLpj2KjDf3s9buXsMucyh1sgxLln4f2ITMhYqRG7eDm9dn5/x4fN8VJY1NBZnRWBNJcE6i1 lyzvHlmahouNOJbahYzRKMJ24EBIOjg98sr4kKQBW/uegK3ZKgjmrOpM7ICbzJ8xPALkfCf7NT3/ jWNaFQPYzHH8APXCV1Wz2enFo4hAj/sJhLIV6tZo1orue33wDjGIhDbKDpqKtWNQ2p82TtWRDccc 3jnEc8T9vAMcOzEG738+J9M+Y8r0ijBJDkVnZ8A/5RjPk/V7lRB9Io+m/GO7QMN+JeBVfwZh2N9w QZAVu1/IAzY0x93+utw1QZ9AuXbwl+dhHY8GNGpsF9RgR9BlVA5xjdqLpt1fic/1BE7IFj67dhYy UEmBNjL6lgEsvAN++8Z9x6Y78s6b1cCHT+NDZwdh4ho8aChinpkiA0ntCqzDPabTSRy5TWSxGRVf C1D8cPuGwsQ4W5J2ElEcD7PpzVPugT9w6dkGY99MppjualnsMCvOdoeBXTyoUY1qgDEO9jH8ea7d H5JvKwesyhDtxhYw5ME2Aw9+p7eoXA+wn0ZjmrXOiIyxAYNzutQF7lYE6/qdmkDf/ykmtzy5dKYk ZpsYdtXScDGI5/zHU3MZSGjttzPiHwR7ggKzu3DSSRMXexx1hVv4WYooDKczxkCmeG/InEtiyeCK ZOXfUVan2xZ10M2z+3NxSamsJRhFeL/rVhyo9yrINnJbYJaE7pFWNHb2hGXrVy2wPy9Xq1SRwOPE 1thqvrD7wMfPHdKpqKoNEEOU22fi6deuBdHInnms94qiboxOeoYoItjgq/1olC4UL0sNYkdv3lSI 0AFgMD8TWM+Q7A9xtBhUG7HWkk+yxwFgCVXuBFh9Wuo+9cZrMwJB6+WOLD64h7fSG5p8QbBf9T8A WERqhzQNX+u4pRlD9RkYKJDz7Aw1Ye2/zerSGGQhE+vJ0LOP0YIBKpz+0JXFdpp9fvhOTwoKWsbb kAAC59nG/BeWZT8HvwcLjFDiUaPE57nxuFy70BRu3OhB8RaDJdCG5p4AXp20QIepWlhajmtzffoU uTey1xFXD36GcISSyN5V8ylolc4nn30kWNgvodvA1cD1P+GuJl3Rv5imWB/XsT/1E2GAuE7oOoo4 zAVeeswyg4wMb0DgibmC3KET9ms9zyDxMivFLvp9z6m486gSh8Nd8yVcpgw/crWTOVf8voIZjTcU vcgUDzJO0rAQuEpCIzX8U3Gpmdjmx7muFos6+1h9/3R/kY/FKi7Dj2jbt+7dwRHRQONjQrLIAP4O 8wxxvBihat4SYpSNbs+X7uJuCwq+jIoVHmj6VCIujN3uEtq9hKCtsLebFkxAIk5aMfsL6VoUu9ms XWMal1eMpXphF6Qv7Kq2i3TYygAKH4oZ20cajbfBxnUDtSKmsVd9IocJouC3EMdkSyWJhGTrXnx+ oTkUnh8TzXP+4u1zpZVVP1QZHz9+/qYcZ2/jkBIYUTGAT3BofuQ3kDERBstHZcYytvEx0FG5nYiG 3ZdCSsChgva9G12nIbLl0aqpYJ1kihMWDtbcZzEXW7PyHmSvvI6IT1AErMm/UJH/Jkz151qsbg+V VJV14M8dzC+PZJBzu28JMlKLrfnpSgfVwZgp4zgYdsWFv2i8+7x1+RkrJRDiyZHOQJ1H4A/M/Gi9 sR3eUDNvyjFhHIDkDoVX2Suy6n7NzUE7jj9yN19rqnSzRu+nXmrrnQg9p4pqyuJUAEZDYKty/GmK /MnW7ptlXNoaGKRD5MOYxM/NRebpeyvh8jN3MKC5AmELtdwezF3ggHlQFFI7R1BeKxYcyC3JK3NO lHQ3MP8l3Xq45E2QABZDq96gIBRFrZEGOS4ER266s2v536Bu/bymjBaFRQYKo23uLpv45GzfbmZc PiolA32OBUkOhA0idJrgsJvhiiVmOF+2BRJZNY6J4shY9FvONpv7TJoVSQ/C2fYg0aW9R4PuOCUA uJzZEPB4YBq7cdpZVFX4gxk60vU8YapXox+HYnabE3v3rpYObxmPlVtaUJ0loJmGnKyjdGWFURPU Cbgeri9ZgOYZP6aqbZFotr0bn23wpVjpU41LujzvYwclAith7Yw1Ac9kdR1oBpLWBTFIlIncKsOo 3/XeuQ340vAgzSmXO2/Lx8CNEbsCA3GyjDfssr6ZipBaKNdYWOHnHd0D/5YeGwQGT/BZgZl18QvY 1VRD2W67XRBUI+atLY7JvC5r/XrUeQsYAcgYJ8vEE+z3B3sQl4k1XgMjha48SOSz5FrDjtVDmA+U SOMEkLbnXk27pPHv1EE7KddWrh12ahyHp0IsbkGLYOr8UOnVJbCZKO/JTL7YLZZFH17ndWVdqoDx Zg6qKeUBBAzEwPIFJP/2B3WyzI2lvMrUBzhi0VNkqtE2cxxI806oWV24L5MP8xAc4TebLzaMUnnp GvIvcFpYdLk9oC5dQ27+oMbDVTTPW1qByOdpVZvYNJL8HTK4IyKksmPjbv97K2qNFzQybu1pP9tA 0moxKv+kms2Gm+7xXSQxIoKr9ET3qSF5QfIEeoaDXFVAUAKJ+UCuCSpycRdyWUpBNW6Oy/wdtmWS bzEqneYn8hR+3eikUfNVfPhUJS/Z0ykS/KjGr3DB9AsJA3GONGcap/CYEHyXRY9yMknHTLWYQ+8q 3cv9JCTFTAHh/VIaBRJHcfhDUFoI1P8PG7FdnBwsVvL0msc96XjS6Bca+GVyeulC/fQGx0DQdz7n dCNYT1NFx6LnX+0nebpCbR6GCHgm84mpcqJfeDwDlHc1W2CzM3gXY7MeLs0ht3TEmL7JILUGBdez im6R39YYmEa6x17aHZ6qGKklQeglCaga+2uGGENCpJblK+hQCVy2qr7IX5qRhGft6RZzMIaF3WNg wFyd1+60iYyO8KWsMOzDoc+4SMhQivXwoVtiumHcvjE8u13znhyjrW1M5tRAV6jLSqrAc+09g2Ow c2qJbOtDiAcMxa+IS3R21KEAiPw/eI1bR6BG2lx/7TzwPZqiep9qfnFtTcGU0th7kXNlqZjYszub 0fYpxJROzuij0SUnW5hH1Sf50EtfxIhFnI1ScnISImp+gbCa1TzngYWT3dXH3PCvfP3bOGgcay+u 3nhI+i4T1oqdml7xwYN6EH5LLFlQ2DERhB/rPrSDcaMZiXfHNNTA/E5nBiX6IIRlFJFjMu1XrGww xHy/XsxDinN+OKPBPqyLG+oi5mmmIqn8HAJLL+55UhTEOPagXM0wQ9nH17uv1tkSeDeag88Wjssb T527RaYXYh8JZE/qWj0gSzblK4+EsMWw4mOd4zeU2JcPL66YZOvRwReRukCjpMqgOwfWvVPAqQF1 WMn4ieW8+3tQPAgCNW/DYX5kGLSy0ICMUiFtKPt4sOoepun7e/Yb776egfEPbzNaBGjqQSvdl68j 7oS/+UcNymCf8lwo4i/KHsI05rR/kcjUQ8s2rP57eVzox2+Au/Shp3On2VSCm8twBZIgWL4Ntzpi ToqSb8k9Bi69T/YBTrlJAuqjFUajk9f7EKcBrjKy1zORVzAyKSr8pHBIodCVnhgmKairA0LpZX9o nxJTnvicqg3ksNL2C3oVrAc88aPO7A8n2nzwBc5cEFefAlg30S2uFyeW0JkJuzFzKbGqsJv+MeVT TKdz3LF+w5xvfTBqiicdNIXNsAZk8ZS1l9EfzfXck1DrWPxWLeiT+mjeD3/gGWL398U2MFDtinI4 tucrzY36UckBmM7MEkYUB5kcxxeYqo/UCvEfywpbXZ1DpIMMJPHP/XqidrhCGUhZEElUFEIxC7V1 qNUowoNP8JLQvalKwUfJ7HLn1ZLUXwV+w+lnUxQk1ULmo0nivr6loSFKukqKgRHJEYoLzmI081ax dXejd/MbOTjZIQCVEpmgq7b9K1J/SrTfyxKXZTPTO52g8EpYs36GGOKwx8v5e8tAxY9wn6jKZdxW dtm+qPhCzKK7a2SoRRp5ZNtBvQRhkabgPt48tXp44/7D/e/Z1pXkebsxZ0H3rPThDiMxmNvMF00x ul9b8LzVw6aQkmiJDIbjMPH8umVG4y2+p769zTJNNK2e9S4r84vnB9g1w+nu1R0jogHX/duQg5He W7D405++A4S/fHrVcfV6oTeflzmki9XJRzHEe8WfMWsUjoDcbje3MvDlOEJh1/SStBBq2o7EEDZC zVOb6sGV2NdZ7N0MqdrrcfqIB4hPrOqnoFhXSfPH4/n5pgIjqMmLnJQpQke74RG8pQ3hN9zOUXey r+zq3dMFJWqZJt9oG3Nxi/r53XzFXXKJdnZODGlxuL3a/AotBXJTd/mT+qux0VveRzOf9xzSILvY 4hrkc7+nM0h4RCQ9yuOUl75UHv5XsIAkyIr9JsRo9fRqL+zrebqOfvxqGmvTMf1MYu1b43SwTpGv hxGvDjpUZ9HobwUbtrl1HoJUR/2a2XLxkDpY9O1VyHU7tqnfBQjOmFqvZS77WJtq80Vt43reGri2 FsPWUVFlGvXiHtVcQ5REfgjLWYz9G8pIXtkFotZm5T7jseBj+A9SHoNDztqfSV43nUtDiq+lSDOk 0LyMAltOI2hnP1kIQVpp5vCmknm08C2P68D6Rch9Oq9ORo1l0Bl/d4sE4zcjOLSnEmzaK3CQhKrl AfQ8YA+bQjgOlE6eTgTJV+VlEISWvHq+lfNOEZKc9GoRejBa8N0RxxKMnRbAnBAOr4MZdnCE4uJ0 Hhg++R5pOpqJQxSmJjI0dN7RksqxVUFkDhr6fp6Uw/4CKyG2pT0L2dXsrv62wpoITtBAUJe80VG7 uOgDHKmrnbib4qv/YB2/nXBs/DBEByJnj5vMohqLElf6ABXMdoUkL6bwRoZtyAAv/YfvyxPtV6Sy znQyCwTRuf53UWrC7dFgy5UfiBCTSm3PHxYgAB9lpC5wC2znL7PWXcVuC34JPhXpdwOVPVzeBH2I tdh2z0cWfcJX/spSqFOOtByNM1YKKhNv2Zt+XNFHjGt8cIepfZk4H4u9MVt3q0SlJu9vlbEG10lt PKifMCzskOhvzjmeAA30e1wmcqGu9iAr8iVZ3cCKM5P7xL4ZU9YbrNrqRT3vt7WeUhSbvSQ14p98 ypO6dc1WDV90hBjpq796GW6Zk+k8xyEe1ZHNDBBzX1MNl45M96opt/5gLEur/N7B7NM2vYE2SYen 4aLeqMDA6MPfNl6+G44ZwmpSOTyWF5eMv4kZ/pDriMvLY8yPTyJZ0wcjTBle761Wn66GBlfFYHdt NJAL8DG2NZ0U0srXJRVAZrbxd0S+URqUPkKNcWIwhwN6BfgMviwXZDTTrbQisHCIic9NjeC76MHc Nl0t4azCx9auNq0cc/h5Z6r0l9Nybq4mkW2m/VRSSoGkzybJxDY0RO65MEJphGU4sQEVRnjubT5j VMgc3+E4UALqYS+cJEVDPmV3pzP0dPIWccsvcHmk75EHUZ48lJ1V/+UwFmSbQJW99Flp5iQwSRL/ EZG5zq2R01DRWE93zOPZT2HcSOjLAN8lMZ1J9o+bsTDiDwBYBkoYRh4KCg9TX5m1SCdbGPWDClQA PuIQZpdQNMgdXla/zLYW7jAbHlDF3Zeu6DbFhxSR8nFLqAY/8kdLa4pvSc29zJOsrUG+SSWPxqKr KijvOkIejrmTBuAXyEaahCB8NsA2w18fpXJY3FZ+rymQq5XpkcoNitSGBEzcROsc63dwem/KBmdZ QkTIRScgIIsq8qJ52PsqiD34P0xBFQZeqwKTJTWAPKcvVOhBMaAFFm0cZXQllUkCQPmguoeDsgCM 9W13FW2qiDi/R6nBZcMXuYsnCq8RDsBr1JTU/40wV0mPC3y94VCDeFU4yS7lAxqo54g6mPwDca4h Cz3Kf9IGqSmcNk1kZVDwWcxz9PWebl1kj/kwQrKUYv5MQUAW0xIE4qKtiLmzNIjLj5qGKiw2r11A NgqiWJTrMRvLNtMOT4KjgYFRYfUfDeO9pd4CXHiw5DhoKS+sFkYR/OSj5KwNzqgToPkh3d0N7bTx RgJrl/kFh4k7XnfZhEeX10MVY0YkqsiwSWl2MjpXrrBso2nC/TccZ+fKJWoWKQLzZ3fNOudnrTZa /BtOy7le6JppcpqXz/e8lPeXSJ4IWRPxefGYLav/sFB1s1MuRiB5O8WCNJU0oSEG6fbOfJ3QetnV Vvb72cjrcWDAVO1d5cWyE7cXubOMyZ5BAzPNfGvYP9ZZzrpMJiQFJ7csFHvcXpcTFDBqLGlZ8uUd d6K1PuuMncmM2nWUx2mjNsbdSQwctB9s8zsfOlidkUMvkHFH+oRnyaOOvF7W+t9O1DAfz54oC2Gd 0L7ZnRYqG7ZOu39495qEZZGGGdOq1CR67S18RmSu8Tgg3VPka72/qozOjl0S1sFkExY4kk/6Lay7 24BirLZ/AK4ZDldjO8hAfuBVYxc+GC9NFMKiOmw3veZ8qeL/NCnBpJ0GkhGKour1hFpSjZkqc6Hu 8HMsGi4Q3OFrv2p6zXdK55Z8p0x8klmeFgSEALsPE1VavquSBkLIhGpZ31hfRYbRxFe5Ya7T53YA xM5hO8O+rgyJ6DgNdI+HxVRbHw8i3zN10zpwSpIMUBu1em+KwB2iIxhRr7BUCx2fleZO9FKNNtMC hFNDxOXJEuBKEoTcSImQyh4bZ9Hpbr7a+FyKTxhKDcPyf8eCqqWQrx7oFoK2CcpU+vyGBrchDLyP 7Pfn6HCZKEv34wN9ghL8QEXpKhDUZdMBgjxKapZVk8vCJ3QkuUPJ4bcBzyiZbcZOPZTwSBvwUtcA 0KnnsRHVqPNa8Ii5tp+md4yB/jzmNBNlFgqF5iN3/FtCt78Pyyn7QZ2NX75dOuTY45814aIHZCFl IwVvz/1XSvgy5ABbqFAMpjKTabnbuO9y3wgAgSPDlNG4mL1jxBpwWJ982hgok6Q1sFWgRq1UmMBk ROiKdIg5dpvdxxJ3OZaYtAaPsOYpsSxgN21ZEN9qVC2cWpyCbxzjSszugpi7CtjQLZnBlC1/MWiV kkwNloqC2lP+PD+TLJUUS/OKAfHIJsT997Q4LQZnS4wZCG6vxPKWlnLBkXihLZ1cB7quGQ12qbFT 9H1f2DySrVnL5paYfS9SB5x5h6KvOgMcGhJVJQmAuYHB4GwnuukTnRrqqHJMbC+6qWYD+IW7UOqW e8ObFmWD0Vm3L0EVmEotsLhy0Hja/QvNhnfS6XCaIAwC5BK6Zc706Q/lixhidHao4gG4IafkesvG PKt4nuQujUzmQAux8PTRGv634bFjE8atcd0bmBQ7DlOM2pWwbqvs/gbJN7yLxzHeU4t1HB+TFEFw Pj2wFutnpdru9H2thiCD+J028HopaxECciJ4Rbm5z0iJFCNHMcUaB92L6Oa6+aurXDXDyZmTIKnJ NWvwrVlXj/+9lBSGeQc7dxUN1lP+gIx4hA0Fg1h4GKkmthrNZK8zOYyCJQA1Ayq6kTcLBRQGZtaJ 7pxwQhkgp8SqUoGFmn1i3UHmmUb06vmVntJ+hbd46TS2aB5FdOwLmo9GdpCNQzpefpWuvkt4LdAi OpnYlbLXxLwT9je+KxK689DiOMqQVneC+nXaLkon9YZnCMtSSbWBo0s2xbnufstmp4nHmwAJIBtn iEW73HZzHH91O+IuJU/cNnHnagSnx9S//M4xYLLHFf6EEHaZn9ZMBeZNIqVUxyOvFsjVHqY7dtmi XI5I0XPZvhk0KnpVsWLq2TGL9Lc6G0O3Z9qojCw1bffb56Aw7vcaBstfv4vjyooiFBr5tfrpd9ma jiJgUXBfwP/2nvaV3/9PfVlBV+jQxTSnfE6SBP0EWqbHjVmC5Mhuf7Wk0OQaVECt4Q7RxS11Z6hU +qImryo4QnrnAX8XyNDcTTsRnlRLTNIgUAky3azIpG9zKDlV/F+H5BGmYLgDA5zEp7ou8RCxFQcf sEh9DXWoOW6UmZGd77pNA8kvkx3blsAoyWH5nPv6j8mp1NEwa5CNuWzus995cWMEWtxKZ7LLHGDE vKq8ZpPIqo/meHXZm/V3HUajVOiZvDAa5B7cyhPEhmDzLfKStsOKHy3Y5u8bDw4+VnKpQZTBxf5Q NFPRe5OsIoosIUg4fuxy/19gFrpJpIkgP/bkx5OxzFe28xUqAMwHFbKV4gVbnMNyfl8+Az0xjczx qND6uGV7IwDRJMskg/PcDazKcIbc5qeDjTDwizc6sfRuOjrlAPJw+fALpmOXw/5j7jfUDCgwvKW5 Tm+LyKg244yZZgXj65jLpt8bzOXMXJd2FINcVeUHw9Fwy68fgDZqT789ra4vLPID7hy1WReQKHe7 0wOAo6KdMfPllW64zYeYJhkgbAzSG7lDUuPxVRq15/OyxdHlOk5/vv8rD6+N4CTPsXXgqcS39Jpm ZfO6qMj6W5ldy1tPAzOslwmiwPTJvaJSfz/gTk1Vun/M873HdTnAYjCrXZxM+YRAgZbr5O7L4VJe 1HEdWeqCo0IRGhcbH846M2wRuoHndsSVZHH06M73b057vMD6JQdhn8cDtRqOxwzB1DYvgc45aTCF 5xPMlXCoyZM4q+D9eqUrMw9SSmPIKOo1gxB/LpPrky1GT1T0wEkcE60/IQSgrSp7HqWIe6FJEVHQ NCZf8umfVOwMCzovrBGnYynklK+aqZAdayD+P/bqO12EEHQT7j46wL/fa9MJM0+J2T+zriL8ir7k eEOHGWbA62e3Xh1oDgqZxJiMT/Q7se2wBP7ZQsKQDROJn2M7UMVRnpNvGVHDTded80tmpNxtAZBQ qyVI5FCJiIErJS1rjfkezWQbOo8gDExeoxDq5bm3AzcHmDUOyr5q5y/BP3mwd1ONUQhscyITqECP Yb91cMM/71GH8lpjBB70YO2BvK1PTxjVCD1xzTUF5rLBa9CFMCyviXncK4Pqi/q/z22rmUpDtxJz NZDQMw0/7VhFuV0I7gAZTNnTRvZH++etsLn577Q8PAaA80iMnbPkLkMK7rW1nXMoIA9hYK8Nv9uU +4FQOYgyuOSQr2QtYRviiEBHzBv6LOmG19WtkwxPAtUjhZF5sFPCduhxgbyeEqqmb6IPBBYp1hUW +2Io8EKGBeZ0Ub+79BkA9oHysFmKz4CjISLYwdPzwHT5JWkyzcsfowHJWxp5/h/10ydNs8c7/QSk pjC2Q7U1Em1SP0oY1wo6oiP9V/ZGSkVlmIbO5XCeBluL4bCL8bdxTuK7K9BuzZxJOsCGQNgzYzoI IQtQ0wc7W78eZBVoCJfu1mpfuPJMJuhXZ4cTbUGAxqd1Uso0LUMj24sY2i4R2SFvaDrI+KlYiAII zVx61hQMuryX8g818Nob+IsgvUbHG6A49AMEp6KHjDaKI6wAvcd2SANT6aIBCuv4jGBioizediPp P4nvrL35mn5AkhVn9wXpAv6Vy6B5D2pZ6ePVMNMNWAsNAPlX6xUOrez6vPkN8AUkpfNDxfr9hxAf 7gYdewdpQgTkuhdWQVWzmAesUVUtDBfI7Ng5UEKLSihBGoA2AdqBv+Pf0wYvuhXQfSmRXriv/h25 E2WzUxtT+PHw6IyOtpqRj7Gl1zNl/gsToFf47Lngzb/pQJ+tHhQZxtwhiY9MpE9X4Ie1pjXSomRW I8gI2E7O6Psz8d7A5TBqK4Axb3hF5bg1l2eXZp2oUvg9wG2ytWZpRZ11qTpKw+hUfymmcns4lnlX ohPHd0lJavx/0llbuBycPtQCC9v2skK27Gf9xvnYLNV7w2XjjMRKAbpv73VHVgvAk/E0yots+1ap aYQfsY/Lvy3m6qb6CSDc4ZmkPM2Dv0Fho8y03yV9beQGAouKYndie5TodvpZkQ1VJgMqVVcNQa2p fh5cOk+2Hz7RGOkh/hnJj9WSA1Jn2ECk6RNsrGYK7o6njpOVrN1JJkDksfBNp9HRBsYiAQwlgxDs 6gYQ7f2w8iZ4cBMRtrEVDYpeTWgQa0A3jcAgTB34IxWXgsd81GDDcpvdwjt11Qd1Up/LhCmXeiO6 lA2lMXo+g/Gp9q0U/BNAXBx6nlXzTN2/IDJ6a1sk+d5gqqHAQQ+w6V+/7PDIEWxn3EB/2p+Q/mb9 RuoGTJMUXqUDgZS+9AbrJhdVJHhhsP5e6HOzlbevEp4sswUlM0fxEOyabThxkll1c3xxx1ligfge xW/U39Sj/LF+00gF39STxRJ5iaAr4Zp4UwsKYYeMR72jacOlq4fxPyuzC3C42Ql/2C+C069u55aI 3ns9hnqpZCTv2TB5TYfzbxviE8XjuIxm2gRQQn2i9hgdJtDW8lmtktWn389kdzNs14gCdY3hCHOO myy4LmhyY1id7Eg9ao4fnm05dDkZ6YnDdZMKBvFac9+CE7n09PzUi8fLjLgK84lxESwk9KRsFOcl 8fItvo8kZX6hFHa+CZi9mmwMZq0x5YP2YSyKHx/AsxLdA6VfR0UIdkdcaUOvxHH1XiH1TBQ3HRhc tOmq+d6r7GO2J1/FtsjZQVkZLMwQM1fKUtBN2ygXIM+UshfQzLGfKQdxWziV1+z/qAr/Xr1hNHWZ lpncPi8qMMex8gEU+7+b0vLDRgc9EO0xwbg7JRr8mHuZQq5n5YlpaUq3V1Mu8PlPMr1uh40ayH4P pOnAAKY0cEAgmmUjxuzbpPcZGGK6UMI/P7A29CcCKNmN5qE8lQmg7dES2WwMmN+xDg2/5g5qvLmu kaj6SJk75ltfqV3YLykfcTPk5MZr6Gj9cV1jXrKKejogoexQlQLeqnaeUhE91bgypMbrsUyDZDAV +1mwbsezuUScqwOrIaiKPVI2cZZ0gmeN6PqgY7XR3yV94zle/Pdnqlbotbi0ZyZqLjELpT33iPl5 60RyUGFi+RIJBynYftezKxBh7N4xLuoqoh1lStar8MTuWBwPrQXZSoh5eE6HGT4qAVhsWB5/idvO eMsy4HRpor56O+dF0gATGReGsLFfeYR9wzWlsw2x+iuES6ZT4aNJUumC0qeRjIkFRncRCS/hrmiI JyhZm+uHCEYbSNxmNMP2cHs7g9baOSsGh5K9dklcsyGctjuinoD/LcQXzR9crcpOZ6DlbJiG6xst KrbktfiPykXW33RCJLpZUG6Vp8ME5H6TiXq0xoRM0rjO4alHJJqFrZENrfmZOObYXQBhOwSbAGkL sLzp+u5rPeYGZvtyrQPnxgBeOGDyVHf34sC0vEZiGKzhsk4gD+1i+VvlpLiuGkOJdtu655YfuOB/ VgijepR2x2SPN7nGHztrwrEg3JE7vmNdMjfiYHYCOQ2ZfuKtoeiS6BFP25K40C7lo06bw7BZZNsD qLgwtnFBSOainFj8WPoVoAcseF9KmmMq0UnQwZcBkON7L1Ym2f8NE2IXiHGA2ezlL3vgCuP5nu96 WVdN6OteZcpmU26l9lIxiA56Tsq6lXb6iiTHU6P4tjle5q1ye2Zb4oScACHdDRE7qEhes3lXAKAG aYYOjy87qXVDbhoncgVKsaPeASlpPrdZixBX+Pyc3EUQQ8PCEvTV72pSHFe5KfxHb2DvwHzM5hfE SbaqULpug69q7Va2q1NgQ6fgPk9gANFXQTlSvlt7u1pLZIOtJu7Ru/GhDNDThoyEXdBZmgXUXEoA q2gRThQWXCk8oEwNKfkH3hOfc27R4WudeRZTp7VWVkq8GKfNQC9/x15YQHzDgaSFC8SX39ey9Nsk mRWMB0iY44Gq+ZTX+fGQiV6FEzDXpvrKpw/EKdpL9Y4WiXNcEVbpAGgtFo3FhmHg/WjtIc18wHOk a2KsfhdxNCGoDVZh5YGKoqjLDtTn4WJi/O/KjN05fhALV3QuOE79WVTwqomQymbO2iePLhOJWtn4 +RW6ofk4k4A/wsGdQaKb6x6zHsz0FyR1xljtNpNi+s/NJ4O90cNJrgQ5kA+VdAuLBa2yOalDCAvO nkqtGwMpZxvGClAHuVRXxPKsmDgH+A9K1qIOrLo2j0Pvj2lOMhQMmRLDK3c8sykwD1mUuITS2gps X27JLC5R3lvia1lc+kCeSimBVqi57fJ0khdUnhHiOcEwM14qzWI02Rn1U4kPxgKTe7PsxMo6YmXr O1MzTtKnALIlzrJNsa69ZesKYdNn8Mqi1jyI1PYTAgZl8fmRKHFIV6/VXpK5BXnMYO6+E3i5qtBW z57lo7KMSqwEB3/2/V0q/YbjlQUynZ0lsuUN3yclaE0rdt7wexv4zbQxVuqR3QKPl86OagsAnP4I H2DyjSNs4O08kC9XZF3uOp2Lvsfj54zc2+KWlmmjI2mfSyCp0PeekdoOVgHykthRFk2PetA2I4vL pIZ520xXOzbtZQP4GxWjIsm9+5UFUe07qrpWbzD+fvBXF2TKkJZyw9CYvOAyvI43iXIwWRjPSa8n lpTEZ+Sw5mJgS4tHk2JjyaMNhjKKXMvWdpNY0hYz6SUovqtBWlEogTQ7o8PBTVQd8PMHE3SzQc4I YtTb5ZvTe+n6eaXt/lXxb2+/audTtXPTwJHfbxKHmTcdkjdpqPELqwFp7xzokMW3M0YLAqJeo0f7 eDxkp/yFscRHK6VkJLHp46pwgnq8ph/OazTV9EDfdmGspt+BXquIEA3BppAUqxlMnJe6OTwpsB/i KMIySHFtTJs1Sb+PdQMCLoCWIS0+zphsFdKO2J6ORGAjgKyjAgZRC4RSV9OhBmkDmmY0upwPIv/r ywqNKH4suF2975egl7HAWk6+zmkccrPyZk4Yugk+FYy+pSw0M38kZ6Xa1+l/Q3swFHWTDqFg6dQ6 uaWGx7hHMHgeCxkyaQbKc6bXAtIuIWzJmnE+vqy5ybAl4c/JxPr7l2QG51NwUAXma0A27OeKydu0 JMjlM/tI3jRSe5T28/4GG8TZxC3+dM3LH4OkNa3b0Rvwc6mIO5ZAWVoVbn4oykTfIZBde/MyOgPy giTwiWD4vbQ9Xd5MbyY4OFS+YKzOJ9jtbqttmOPF7Sqw+W8Yo/jAg6au5x37cR6oh/iD1LbYGrXU 9aOADVdnEwshGnkXK0BpV1U3DOCvp/Tn8ERVVkKu5zH22ovdgY9NT5EAZx+DtJEzGnZ0r6kx4npz 60cIbbZGv6ZJWtyQahScAIOIA9NPEJ8qKy496pRzzpqivNwl7M36Ps2Aa8ZwTIivYUO5hydMMxuk YkrkgfLypre1swdy8LW7mKZx4eCGfhN9r23nIgPkBP/4iBCgf2aSXULh4NdtToxxR2IqlF3nl5ee xuDr1oGfHU5EDa1GxK/RFxNtPF6ThPUUne4JoPdaABPn4qn1Hx/d87kEaOM8UyIqLrYu5EV92SW7 fxMFz59jgLLM83z1eF8PbAyJmyM+rZ08tWXiaYSWtMqMbm18jgx31ExcI7Cd07uC7B9VK5eu9LeI HBVR8HegQoXrkVsTuYbHzhvFsYKaheRLC17K90freoX57uctBAWmd3EsDDg9kCb7kxRXqH5zCGDX OZTZDgcxO6Ko64evTpr3rWHfu16TTHm1EPzMhgfKlZt6WGwq3Xye61dUFASHHACT8hyvFTyqPwrD cXvhl2BoGuBfse6tbz98C/stsRWgFe0OIJV+BLvQYCRQ1fTNb5q+mG7PkioKf3SnzJbis1ANQRjT j5PB5iCHXcW9/CZhKuOFFGo7tpEHudRScLi3gV0/4x8YXQrZHg10X01Hh85BZS9jzJ94ueuGnGq+ HsLeXlH+D8kH7EascwiVk++xeyWXabc3zCiwgQLV8kAE6XLUFLIqnwG2l8G32fln28FUeQDFZVAU dMcXVgrrLV16DHi6DftGKPE9xXhJ6B6aJ+pUoltTRBJjT9FclircWLqKCJbidOgillhqcJIXpN89 hNgV5qJUralMPFWzvWGJksoDWB4q1LQjECGTnge+idAApvfu9litPmjwa2Ei4TNAbjRnbDfCTqyz dWA1F/b27P/zKU1hSj8tQD8ad98q7Rq82YJzLvtj2WsGAXULAU1mAE6d5M9v1CEueQamjzipw4Gr SzbYrXUnDPnGVnyfWAquJm2yf5CGrQl3/bjjnsS5YwbxcasdoSR5gT/jftqzFSMB9yu6aBAsLhkl onMcF7YpAvq6hNKt8SrUr1NJDDmcWdtugRYy0whi8/hhvDuK2vkUzWefG2cwAVeQODyUv/Eyjaxb 9W7kv2Cd+KSq/0aE0v4TVBzYaVquOvuFqyIjFkipqn6VcOaLlvbLfy44dPqzLj4w3DFZoqKL+iJG u4aJFy+yc8xXyYAb2I7i9TktKAWdt6IA24oTYm4QLNPeni1uxLr4fvAyYeyBqIhWhYPv5t3J4Qs+ glI1svhqFw/RRW8VBF/m89KEr9r/3c8AKnZqxKZjJBIUHCQYChFlLdWaw9unEkbJsmJBQhcURZLI 2TV+03abZmjVNV49DApkV+7jsiXfAho/551kWwG/kwPxqUexhbCWv49k5Jq++CsYLFQdT/QCaL06 cHXa9Z9klfbVKLlwNbSN6Diy5wAmAyDCHkPiMpYyYGi6FeYj47UuT/+bBcB5Riuz+kTjO6IB1bC9 IeCOIwsR2iOOss8XPWiHEKNIghXzZBMu2AOgOOzfd185l/KTaUP/xEUJ0WZTM4aXsnwE3Xr5A+rH gOv3sd1BY4iT9uDbtUJOE/w4IsuvA1rlnQ1u3Sli4lLyexmakogS9ypb6Q5Pl+Vzysz/0P0k5Wbw 1+7gT2IxBrg4HLl/rMgMeQPX1CE2sol374/U+MdynKgDfee0XNRwH3HfinjLf0No2Rmyne+CKXP2 DKRqOUqzfVAcccFLrBdegXxI5X+lZqHRp74V2xDPHQNCE96NKRlKK7ZYziK5rBY90Cb702eiQZrD 1iztfSxZVPGWclulgVwTM6ucBquj2Gdt2PL8thtEwJ1w4HVxFNgs7s75s3iGrhjWoebzD/KLWGB7 Br8SuFA42M72LXa0VYWP+MyQwpeIBNna2TlwYHgz9MJctrwPpY/9ywhQijoPxDMxRYQg1CAj2BlP di4PgPq3rQTmdYnr7fXctGK60d5Cb3sirqc/9XU7bjFerx0IAZivAlp3am2dn6qTn/HDmx43qlnr EjbjLJd7qNVsZVytpQSnQGGBA++0sjC909rVsfCMAJaRwpFlZGyryRXTL+yJFUGLfA9BQcv/x6+t PODfr1/JLN/T9UEiZ2nY+4o8rYdonSbzY6fL3j1baAthubbCCvoYHzDcdpiKuZr6UrYnvXW1wF36 TfsRhq/3Q2mcKvRCyVVBkvvpQO1USu8HDuZG6L5RNiLFd69hFk5Yk8zGnv3zAvkOQ9GUFAHOMhjC eUCt8hgkUyexQnScOM2POdy1YqC1goiz1AhCHYPLXy2q0cM4v/hcXvjqv5HUkP3+/T1tNZwq4axy HVWB+R7TXfuZ+8OAT/D+EwhwYZq/wzrKSsNLOtxpJZc0aEsh5K7AXWar0LiuVUJtIsD3N0iyuFVp KBQSXzZL/jWrCf++vPzLBikm/0UWJh7sNL5D1WDLyOUDNhNnwhw5ik8MtGQbzu+Ei80Ys3diAVMD 96Di7sOLyb3KYzPc9shN1wxDxTc1TwU3q2UqdjFKNyGID0bRG9RvWP+z+uF4Zi6n4KF329D7GLo7 E39wFYopC4MzBxQsyec5FTBMMB7KRFqvfP1ULbjqt2NPER9fTG/EG8tTUtol2TVYzYzv/ba5Fm2k 96xJ6QfFN7mMU++SJ4grnyt8M5awNp/qjQQGbHHblW0frf4J/3ERWYLHv2dqXlLlX50mD/c3F0wL aSxNUHThwLWJnOHHL6w+h+XzcJhIsNbgZgQhkZmPbOqaGMUIcyUMpVSjkreZKpF11ZE54hlp/kBo T26uLocKhfnv3Ocdzw52CC2fS1cff0R7aqwW/+Tb4yVjZpa9SbuT2epzZ7HQhQTIi06bFLt0F7mM C2BXDsphRDSYUdSzYChyKjK6Uyy1DLe49ZXWbMfrXqnO6r0+q5K5P2AQMPgDxJWfGBJpg4SMyBdv KsP9C7W938aRRkMoLYp4Dbf81DB/LCf0gpg9E7djyQpcwNYF/4tSI54d8otPyYfiH4u8sW4a/9O8 wLfMgSHecqTqPngyhf7E/KadkVbKnt1h/r5bv4HuWVQfiVQT6DM6LddHo1zTQXDVHIeti0Y8QXaF /CMiTKWwFhqS821uWk/lDatyTT1VNu2SbF99mLnX0O0bEmZNb5KQbLu5Da5OOASmmr2Th8ciffKH //Ip/ITGCaaKQeUpmnbJz75KPEBRZlNNKzz1zYNW3/3FgbiGrJBRfGUf85qBjyrezmBx98gAwS0B 3xDiDRucvnwO+T8SfA6g1hRQQB4anttjap0qhF+sEpuQq7u52R97eyXPJt9CAU0iGrsnG30eF7SV 2+oksbGYQovsSIlJSBhiovLDkuhqPHFR9YZLvGFtEonjF0ImP1Zr59wXkDYA1aFbg24wsjnzxfk2 8iBsKRULeFVj7dhVd75zFiKEZyynLQoyc/mRP5bZ35lDih4g4kj/5FuDICt6HCYV6lURcW6ymHIY dtXegagP8bV3uBJ2L9/V+vRNETd1CDEXN8M1PuC0GGogqbn1t12Y/ud1Fbxy3++BG7nP9px8MoLU JPd1ZA5DVDuRlX5Mv+jZUaM4DL3E3vmPt7SeO6Gp3Ms0ysWuOgFxejFE7gviwohzmYZ6/UbjzUZj ebyLiE9TPqBqDevsU/lK8/dsDqi0DgLfCBJrH9+s1OktUJzvE1xWWZzN1SNP4zNlp5EqOjlxDIp0 vbQALNBlJwIbUe4ujf6WcgMEWwziDXso7lnPRMjs6lHv5Jsrdy8s4utdu7DTjzVQpCCH3tRPiTUD 0kMh4HH4Zx3lf/KaxpgUxzyqNKtfonyn4Yv96CjCStVWepDHV6Brl0cwYgVQgjWZ07aECBuOkWiT gWE/p2Ly/bZuv6V9k/dukpK+rPzfRhsLWp7yAhK4WxqfutGYMDG7szgtXuKkzLV9VfJQs8mPL0IQ hdSQRdk1/918ywniT+3y0pvzVLrmfuL+Jxoxb2cabBDe7wMDwKLsNRK1L2IpV6AKwrTH5BpdBI9b Y3sSG7pXNqAqecakAmAstBnReV47jc2q2H0JpYb3lyXZidb4E7Yiwghvd+qn3npQBRwp/mtXf3hl fu53/QdGnTGogCSs6xVteDyV2p9nsLBCozL3mCap4tLUzrh4DJb7l5NyaEaD08bciahHs5dXiPMJ JLlCLK/gopHDu7BdykEbN1wyzgbL0h8RBNO5CNjEmALGQJ8Ocb75KbdqmAnykxM16VEtCKpcCWqT OBjse51qsH1ll+7ntgxfUwNC0wJS9Cs2Bc+faHj4O4hcy90m+dUZ+6UtSIeeZ1JwU84KdHakgNUr vq0CA4hOhfje/SS/cwqay7gZaGXl+158Rhe9WRRCvIBOZXTQ2QxEIbWXaOndGS/7G3Dtz+KmUdkC +WIKkrOnUK1Y8WuchWg3vdQN8zZMyXE7lxh5caNs3l9G9VsxBG8Xa/QufZhL/SVnitiH0wRnZUtz zPcww8CY359nk0Qe13e2s6SXDL0qAhruhZ4JxA+ow/c1OrPoBsRfn+GwCflQX408HJN6kMjEp3CC plFK5V1cYtrXGbxpWe6L0XIoReU2dIfslEwn5n6SbTaW0h28llp5SBzK/t5ABgVq9+Q8O4NI4hB4 5pt/eHV/KvyJaCmjDA4kNRQbwEC1m/6Q1I6tcmV5YW3d9oWnA+SAM4ArhMaUJYMF4IYo+3xoCseb 5K5MOWeXjgoWC113oHB5g9FnbrTc9ZhDDI/qJINj7WsYBQQZ8cw0lfug78/WZHxkhHIuLGufp15Z F+CneAvH0kzszSSfba5KMXV1VMzTXgHAp7FDEpdhqZji5Sd+V9+13bjZ3DtPZW955dNQHO4LB+BZ AYuyV23draQRdP4iCkV2LjxDFTj1Kjs107mx4eWgbuZQEL1tEjehVNSdx0kIcgZ8ayQukqQXsqrc wVDKvACCqMiqQCKwU0J05DXa3jYENN9zLPw4Lazxq/g0USM3kVGUQ+qOA03H9n58fwfnCJJneUPl DWYOUQS6NM8NfQAsW/iqLZWEeHTQ4v0brLSwhXLrQmBqkbd/E9Dow5QcE4gHmdHnCAvKkY2Gl0v+ EaOMrRWPIYFgXsmUv3y5gASzvJrXyBTM1eekW2USKg4CYhNrmLq0rZU9p5Wr9jx6jgN9Q3YVx5Gt plter0XVaO3IJfR9ItiFbHIUxnoUqkftHYIwwD/M/qIpPFayU8EwBB8htx4GIIjYnxnOxvGzOfhV Hm0QXrGMTA6nqOC4RrrVYvbEIqXWnthMl+svPtr8g70ydoXs7a9t1tNowIChI4981Fo1xfLGRSHj 4yFCTAaRUu/k32a0y9GqZ2oIAEla5uNmY9bAqqQEqVdlx5KjIb6xmSuE3jhaV7rqD1bgr+xxEtQ5 TXRVTS0+AK6wgc/vORZM49ZwmxFFFfGFZiK3c5X9Xuh4+Gg+IHaGTlgN2YETDkbzzrrpxBRVjFKB gXxdRcDSxUyanLJSv2afdvmdw8YNOD0byLZh+VKFmy/3SNC6BaNGrKCJe9+vYrOK7NIy+9N6YU7i gm1L41fw0DTiUL7qgUZbXKBvd81meyNfpkr7zR7mWngJIIDNwIQjY9NejLP09YPlQHXI8dNs5Ieo pypVC3h6Z4t/It2q7fk0Lt4TqKdOcR5+cOAVfMU+irTBRUSM3WQPaBa5+opifxGwkjdTERhSIwAA W0J8s8rJNK/C7bjvrRer1iNL0xEahGts9gfp8ebdYsCrXBpQC95tUcAbwcA5zcQQwTBAusYGrRS8 5lDAabyfeN6QQz4uW4w2rcgRkKtWsLLtxHc/CZQdSOsguj2USj7ACGTII91b0zD8eD7gqk58Ve1p fFgEhqhRke5XJgZkO65V6vpnj0qQD4/TVGvSupqDRp5HC85TGc7tiE4/KA/v4bGB/wT+HLk+DGrY b73TvnexUhisFPTNP4Q03IJdi3MnkVUp6x6LkHPFTxQXa7WV7dX+cZwWu0Mq8tCEbYnsbr0cqYaZ xECp+iS9RMniM4rnjVm/16leYOMho2BVxCR16bZ6e0/wsnbLn/FZV1IsrU2UAbJNQOue1eqK9eKf 11lrQKiax57lJWOsS1xWGwXTMKxGpm3tkLV3eoRjCjtMWqm4uFjlHTbK81ZBbP/5VrMl7cmHbh78 5i2oRJbnchhC9FhdDGSpZ/jdE0nc5lOE7iZ557DrQAo7Wqwh6Dw1KcB1FDZaME8Oh0eYMxHiZ6ky JjhnQqJJeG1gPhi9tXIKG2QeMBChM9gScClsKYDEJsg9cRkJ1Lt8t4W9Fj2MCk47kCH7nwQRpXlz 9yMn6VjUqm6Ec5CwE4bRIKjunGYYzZH9jHF06dqs0Q2Cz6EDR5NafUJdwjze9PEPx+onlTcnKEuJ Xd9l9iMPea4R41GR+3Mc13zWS3/mOx8KtFNvtFuBpKeQt/RoSOcjwGQDLiFH5I1bEPzMvmOnjiU5 f7hBPRFTVSQtaoOH9eT0hbWcUiuvGEYHz0ynEo3OX+uK3uC1LmdzL01C3FsEiFxNZy2b5kTDGJXz gMCeOL9zwWR5KXIS+HRvAA7F7CDMz8hS7u/aR2ncvopWQ1aO10/TPBBAT3Fo+8rkihcolhiSkRaw jM1gRRbqfE6xmIgNU+ryzmQ0/kqXB9hH82/61IAjRINRVpxLbKJdOCkD64VuFzawXlSkDj0ycmp4 HeiNaQ1C4mjrzwu6/p9Nghs3AhbOGRmeoTLpwH+gmVj8QXZWggHHkzUrfbWksBEaP3BgW7hrZSvL AlD2XptfJCSlQ01n7hCKhFYq3KuKPBr2paVC1gjq9UtfPuDrMJT9SGZZIU77SLlfH0kQEeIdpzdj Gfqk0kDK6Tvq4P1v5w6x03d/gmfy23o/Fg6PsWpRKkUA5HvHDAPNG+p+JoVfclYH7MOgzvWb2js3 Tv5wdaJK6lz49NotsmqIKzIb2GW77w64x1+jzgm57b0PM5nM0aXRx0nnKX7CWMksbG8ig90Yzurc 6RpJ1UTxn4LD6/nl7ukRuqP+m9ElhSIJJ2W2NTgkh9960mRsu1JruPFdkN4r9y54aO3Qhj1ILpfj VGFZ2IkxdQ7cNYy8MjsUBkH80Bj9873T93+aV0hNF7MXCGZ7ZDYNoz0qCSMFBcRgrjQSOAUlQi1R 9HjDlxTca6ifOQyhWnuotmo1hk8cXH36JwyapejYA9sHAfpVb/VVFoRPa9ZVwhmNShmgCZUH1upl ijnWreCBJ0wJoMmHSKNK+2SEIhZt55kMjmlWzBVey2Rw65JM7bS+XSEnQsrH1rMOzq63c492lcau 25RoNE41Rqz0Nlw8p0t5ApYmjdYf/McnEiyRZ05SZr53sLgGsSYThkVPKQxLxGjoc6jkYJ5ps0IP HtwObBCVrRSjhrNKG8denemNi1rpNk0z/4vNCVI8fqgIkr2lxbB6PGXro4VJ9nURf1QpG2wWNvqt DoNzm7ImuuXwxo+tTaE/vOnwDKuvCxOcFVltkGkQ8ylQQJbXUj7c2LbgAvcs/k8e+rkVXzSZG6qt SxOIvGkp7xNsxUOI49e6unST4PnCcWB4ghckJkD4bABPN+bsR7fkp9H5gn+1e3UZynis4IhpfWBh nXyMy6vkkRen4aQmxHRsXUvyixKhDFyj+C5DuuHZim/cEm3hu3QqiL+arEe9f7bgZifb+wGUj7AO lkKX0hCJn/yHNqHBWKHI6Bhi+cwWvdQrj4PWWvz7/ig8hwoMotfEgBT8FZkYGMaiC3+vrD+sre42 ZWlwHFcgzAf+CE5cQiVWiD/VbzZON1BP51UVKK5+opY+t7vxDKdbeqpRGAYDh5R6XQmwri2WrDjW 4gopME67ImXvx0Bhq1EPqq8im4Thr45ubiZgeAhMprqINAM9NtHHApIBScxralOQJk+En+zuG7Ij eOIiSNW9O36DWeHU6MSTPks6fuzMXHyKjstrhR46mhaWR4iwi3BCBAwgLm+GwECPkq7KRv7CoheS oiMmoy5V3dtymP4NdPEWMftOR5uY598d5U0+FdCjaeWzixwf+Su/S+Pi7eA+pgIp+2zOZFG09RRc +wuF5wIFNkMtmTFOgZtKhod/Ydw06ChUaf+P6h6Izker5HPGo4CvV6XLa6b7/14WHG5lARtxnGI3 Fnj165l2vbjSRZXz2E6/bP8P9uYFRL0vqd9Q0FrztrC/a6gLRo5yNv0tlFMBJf3cslY1Ef0mhU/f NxEVUenO+dXmd5pAIgbIGS1iJBFlBcwyMoDiDuWYM9WH/e8ulncOFqBgGhav6gJqFF9bE3ETEK3A iRvWJ6TRQxpDIeBXlYZMGOjodrYtalmGdqZoodWgMZ/Z9YdhWZhcczQDGm9jRQ2oMqDg966jxKc8 w+DNbG5QtU6Pe9WoF41kvy5j1sRZL56aEHGZNITa3kIpsKYovXLCezZ0wR/YNqfHZ+7z8B+kDNYQ GV+kpXI0WDmu8SnkayXQhpPAWWKLqBdsqg/90IWFGSJ07ZX//hDoPtl/7eLn/Y4+LK7FyW18q0ft rGOmI7t0qSDh1Xj2LWh4Wb31AXKULob6J6P00kJ5M8ajNnSrTQWmutnBYCRYVPHapNlzme2phbdG k7XZ+aZLc51MD16IuqWF0AprQ+G0v/0yK+1GCLSAkeNq6EH+3C/QVi2d2nFYcR53aJ9UDX4daE2U df2zYkAVgc0/9m2aVrE/HRsXQOufNz5MmP1tvVw3ClPCb+0OY1UERL6qqv4fc9ia5zVLj12x3YQr n02q691YISDW888jgc5pDUmSjDkow+LCX36kaaq3oKtbyrWfhUYZZbr0HJ74G+3s6UXJgy50MVCI sr765tHoJzC6QCCAF5ET29WEnkzF05RGEaBUh4J1ORs1J+XDgMsKhiHabknOaBBCddB36KlZFyfm iMP4HovoWkm3l3+hDvwft3hhGyPaakOOE7Bwcfw8RB3WjIV+VIiW14sj1c/nI6IH7U4PtiRoZxid /aTzC0584FmDmE8BBcbp9j0TUx3nTJFLvxuIW38ifxYPZ6xDmgclc1WPxO9K51Ato7j95QMBMEJI sWyrni23sskP+OPUMOmwoTFOWqYv32a1U9Tfks+SosRoiCNACHJ9jKszOZTg8lNiEPwHN88kYyPt WBGYD0A4QEueRJ208ivg2C+Qn+c1p+kY4OterfH/Esrzr00ACYVEn9CME9HY9T8dUkVV03BkEsUy Qqt1POfQ5qfnGQ0TabDTXToV0BWdib0HqXSwVmAL8fHT46Ooh5TW5rHqO4SPoD/InfZVjsZOwaKU aB+0+ga5Fya1kodyYUxZlDuG4+oqjix81pzI8w701cl/s84p0/DCVTPCsAPU0bqRZgzowMVkNRax AfNsx6JiKbxjpggTh4uSSOTV+FhcMqcDqsYpn2MW6vZ4VRwMTBcEhJh3cy8iYK6ELlxqEkNPIQsP oLjmIf/Jk+irsNyxhR5Uk8Eh4+aTdq62XKLzEdr9e3VoFZDA/UGFF02pWxmNBB6CwERKteGJlReb K7vQvuQ+M8HbxJVXmmUJfzNhAfVxS/cJqwUx85Ufa12bME0AqyUqwMwW5hUKwL7ApAZuxnX5EsCR nmlGGofe8kUqSDkIxm5Gfey8ukgZYMvz1YFMsuZDR9bFc9j5JEnMPReOhZNvwa35QPWOJldqXaP0 qR/4s79fT927VY+5cC1dczR91VLw/4dgXBk6qSFNJSC1/LMcmB2cH3bNHpYhus1VPtctUtis4azj bbE8S1u6qlMYlN6TdG0Mvv+u23NBi3MDkHP2sWBPJwQGpNgnxNTocBrxurBKJS0UkCwRH/GtXzLa BVrsXKrCgoCW4hWZxvGA4251YuAERPt72g8S3//C4/pQRBvWwZlUKBIgD65FccwpmdC+3IKz2Gjv QeEBthYab1wMlB0KCHimrnPBPivx9QFzMjCz+wQewFWu6RptFpsgPEwfsxzhlaYfUms2uhUNWhj6 MC1mZvJKrSqbXKkpknqxV0H3u2jSZ5xEi9nHdFibeyYI8Gr5AI5ESyEAd7rlbtFfKK8AZ1p/qYjJ MAv66w3t86/aNFX6IzmIAvjRPS2tRp+Oeh/hXdc57RAhFOor30g3l1UKYkulre9AoMR0n/8q0nAU FkIc8415+D7gPcuTULrsnFgG0F7lEff39WutqC/rOh/wweNGtaROGG4DFi/7GycCeKAU/9l4eR8l LZGoO4gQH87bBbNJWyKeWYiHA+u4pQ6g7ejFKPQOtvSyYnL3q6zJ6EL2ardmLs1STPtI5l3z/vfg d5mqr1sUAFrNs9DuS1jXOx5tISw78ygDV235toW9MHvtLQjMlzq/9Tfg3KSNXMOZlE2L/tKYIhEw NQJ9l8rdAQ2u6h5K+CjnE1Sdbs/AaKR8f5jEWgi/+xaIJlTkrNvl1RNKNirv6Z+G1QVKLhHnV3g4 u1JKLoRsVPqGxqQR9N8FVPKis22V37jQ6PoGnMSw3fgjmzIzmDh/WGclBuyVIlQebUiD4GTid6M7 cJOhyrUyUT3KFjIzyMHqUvbnSemOJW8NJQJip+s8vn5fOwjRWiE2Dnzs3YRg4trWAvqrgDPnhvwK KPKETB7BHDi5aF0npf0afQWzaUE1p2HuhRaKtyuN+p62WQY6hRlRyYfI4ycFV1w/iQeAjJ6yrdQJ xdxPujy5amcLWv4pWRv3nTyHSw67r3UFIoso2QwZnjigSq8hnBsY4X/Rw5fl3EDn+ro+fa6UbLGc oBu3CE9OYoXiR6TRonlmwGzJIt3HW1EUZNl7sFw4PdGBEjKLih05I+e1triego4shZIf1UWcHnbR 77AnjgbMGhraEfLr54Gfq/EQWBKsfnJyJ+7LnLxHxhaq6N3UlQsUi4eyqwNpOf4MaxPQ70+DfEr2 qlGeXrX88d9OclHvAbaDVinFIJdjDn4PkHuAoxyPi1sKwlNcQoC3+e4H/NDNyiOTytTMiaLWpGAg Mmq+SqBu2V5f9BPXyUeLCbDTC8YBbLhLi4E/ztOspi1f7o9k+v9LRSErvyKxnSwn0xln9RSywhVG tWx0di8ThDqEb7n489zYv5FzT8BPcVjknSg3jhvfMzI+8+Z8WlfazPkLUP9Ai2ltV5HTsVGL43jU cc46jQxDlLFQso6tUq8L2GptAMPsJLBb43Mtbh/DiQroyX8FmJ11TuTcPIkugsGou6mWLb3l5IRZ daLLWBfxk6+hHQM7i/oUbpXLAUb8JDFzoLY6NgASaj6Y7SVdAgflTYaBs19Uq/CqD1DLccbJxGf1 3+dHDaluV4QWeZacblnjSZOrSoIIdxo13YHUN+ecjAdbm7saWpLXJjzF9w19OOqLaBhbeDavf3g3 6sgw+HTdf7uZ1Pgwg0EiYwr3eoVu+JbuuXpg5mQZfp/s79he/diPmzpHPD4QBxNYZZd5k6VLXG2k mv2NcUfTEsa4L0qPwqRTCkXu047uHjK39H4AMKTYRnutcopn59Qeq5Vy86OA43VSbjnlkjLei4sw 7waOp0mL3LEtfVVepPtsnwFmzGfKsBmvCljFYmMkLLhjjqeCmv7icb8GOTi8LLULIMHpaL5PuPvC J3tOUV/VycoyHcbmGaTS1s6jggOaoFThwKphF+MiBkPgvT3SCQp4E8eewBvf0E/e93WwmbG4kNOQ cMo2wEvfTh2w0BS9kyodqU5iPGEzBnpHqNNdsGHoE8hg2xHn1DQYB5nOi/JR7Ojjq2JLf2jcXap8 lxYy5UWK39j+OVhSJGjC3CShwucSaaON5Io89v4moA7bZj+oSFu7ghHMT7fwlZvHbGOdq7MYq+gv GtY1p9IEALfv8HeZErNmmaLjfsOrLisHvyhFX19rL7w0TQsRdW4N1CIq3797XJedYITVO0V5AFWk 6QhOvcbw7ZIP8E5YSzU5lwqsek3s3pCzL7vcdlQ0uL5F6C1D5hRuvh19TmBm4MTzoo7y1XHpLfET +FO06BTqSU7+jGj+efjQEgQ4cOxhN08ZH7Wi7E7R67os+WJ+KysgjWuj54wqD+FvAa04cO1LQFps uHIV/yGPG/9Wlm+dHDbIWpR+PcSJOg+7pLazUAiZIKAZWTrt7/oSm1WKWs97IdhpxeJOj6sN+3sM BQEzGNTOJt6mR9RqD3PftU1kQbw9EyYZI9vF/RRqbz9iyywbgX344TJ2BiyU2mtEbcztsjFDnf18 DeE/3Sx6W4RDypv0ah7lEnVAA42Oh9U2NhQ+wlXS2lOEALc3fQxfaTwvTj9GhPhEuniIw2cYBE9d 3EhGPFqWy0P/NO0Mj5k8ojK8SEMC9gEAUNPDCQSI3knupKsFGwGEDjUpGnfXmJ8Mi/3Eqb2zXSyY RQoXlD9DjGk0uXWiuOq0d2AQPJ3KToLxjDzfwcXPoPrfXp5q//6BjRI8ZVCiQWgnBXn2W/4tBqIe xH8hWTHX9YshJLaG2HvQlT0HE1ZGORdieg5gzl+7Ag67XNwsYgxJfPfRc21GO6JzuFGIS4TEuABS E0xSN8dk88mVdlRtLFSOlYteWttS3Jn/YWOCtZTb1Q6Z5M63NiyB+8wsoI5HqgNwylShMrbLkqbo 4q3rkfbmTHyTxDSDQn9HWwF69jl/SG5otBk2qJynMOIiMAd0tUJUU2VIXsWtU8w+f0tTFeHV6FwN M1cwMb7XTfXTCN0t505WcYL182Uag/nOlraBhyjebs1wbBdmhQiC6M84/PXeH25XXYmlMUAYwzsR SuxeFYcXspjExrapW9Z9czvchukxkm+XOdKex6pqoPNbXSkyFwGNBSvJlHZ6JHsWm5TxYBKNXOB0 w9lYMSbh6uyIL8GIJmKVblC49RjMKTv8A/FLSgyxRok+Bc5jtoND3lQRYIwOUTqx32skJCc4Tu1D 7vCzZKtlR1ESssEWrPlhOBIUMTL5tM0HeXZXvcpFqBdy/yX3A1Mp4/QOhunW2mb8UomCykiOz/Ub vdacihsEEc8eINE+fyxaxEbtdgl6nUvkvgowsb8hvo9ty/IwIoqHTWl6Cz4a3fWk9nQKJbyr8jTn BnMwesOh1RNSdj9DGNfQPhGsiW5T7FPiDMMOiO3vUsBrmTzrbB4u0fpGN/7+PK1iFqaOzDepxtuZ NuZK8P7cibB0itOVWZgUy7YvrmyKSeky5vvvUYbuAJ7lP7RoJ5CSszyd8FmP1YOg+wuAbq8AfZdA 0C904jVbQkuAy/8faEdZfBGFbBduJMO5Crl7PQZAtrliURYGbVDRujiw+9MrvLQcqIE7XM3KolVG 0QcatB1GDcooXJcO6aXdwef5bu/dpKJ7/XNUffEwU+bQ/kpYQj0gKZl4F4v8CNNthojFc9SqoWgo OZegPQujo1+gqeQoOQToziUFsRULBXfHobhPD5+xI294/MaoUN2UUHaxfuhHpUanlAEs1Xgg+A34 p3xUQI9uQuIJ/xdJWDjWazn/OF4TNwkAZ4wZMWz8DwcIL6XDVyf1gJmPCj44KmW40ITZuYQJwPjl XHhtpqil2KJOitk1cafwnKk1Sos60lo1ZVVJBrHnqT8lPUVGDUyxZ3Rj/uj2xuYGqmEPr26Z85oe u4fUsmKL6AQ994gHdk5ZBUKx1UAp3wLAqXhnCKHfuW15zcQhbavizUKtmSnxm9X+GAL6cEWH6dJ1 rzLhi9mfVyLYcogEBGpvHfqedBk+j4wzSsgIkVGNGj1wRbtT2WZ3ytyc+f6q3W5jC2oBgUoqB+EN fo6vjVX551AcJgtugsrVc5zzsKc6OoeYMh1Gxw+jKwDzrt7x/9b3WjUMIwpHrWtEbYLoSn1Dc/p6 dgvW5zWR6CtUtBcum4HYQZHSsAc+k0VgFAzjBJORLCu6BL9hgbNFzZXHIy7d0bT1c0qI/zS+kJdR r0MGNcBeoRvoGVBmIjkh7ZYOxndY4gmuTA/b80OE3LcBFZRxTpvPrDNH04I87mk3YhLt+MPNL8/w VI7h7r818WRtqGlkoLTJD+GVh/FEKxhGxtpX8wTSRmwt7AxycUE+qj6SukeJSC3V7WXLy1xEdiCx dpbaNPSOQ0WF1YL8+X0RxjIp0GwbhbhT4XeFNFiT+Yy2C5v/VcsWQmyLl2wFV7OVspwfSRlUGRt1 R94Iicd2ItZJur7iaYBT7WTyxLHTpuffGhjtu3Wt08wLoqbqJh99lxvY0JbuA+dTwHZaDjAPiGqi DG15qfeLtn3+9GQnJhnkzAWLUzsFlTigOcDKBPxQTYB87XlM4IaSV01gLfQnRIGr7QmnqBO2yj7Y h5GUlpC9hlW5CSbkBIoe/rmFhqcUhBqa85pKUwEHOS/TkuGL0LHPBkLkwGBmmEXIh17Ul2tqjF4P wlVyIY/4TVLaDATfYEgLaTDK71n3T89jxZV2in4vr0P1+00HKgzS8DkNQCZq6VXejcsNrqy7QOYQ BdDO5s5iBkMxeJ8pMfLnqwHF91wLugnCP7aA/edt1M9E57s/bAMaMndiEieN0dIAX/Uu1GRXlQaI SjRhalu37Dl/Rgds9XRRsQPvynlGNQB8tQclK2/DBKN39MiLpgkZqqJEA+HkhH+GPVRlm2Ofhi3q URkQCKYFaiK28K3hLO8ubICPaiBilcEnLkcWg0Gz6eWpqRfg506R4iE+QVmJG+bbfTZ/K9CEKWE0 9Qpb8eBbvAHizAxTwu8k2rbfS8rtGiLiWkMiCv+rIZDKEHi2zP2hrT8Q+Z5sHqXFvXZZ6fiNeXJf HaNgw40DzK+g7cg02Rf/ncdE4UrPSpEKr48P6eyuXLre91U5ZO2t7GmuVM7/vtiQDiLqEkQirA0n //Isy+pHQoKWEZXZJj0x4xBysXwogQF62bpKb1fxe6apmNZfayFiDz4J/KXMX43R4dKSWCpExW+1 O75V4KFUy28SsfoI029UbVm7KIwNtyw/tFTrVw/9eU0qMIFJg5HARMy4BZOkwGpZOAQo7wVNpELi m0ne1utNpgdTyUtcuEE6pTG0cDl/k97xcJjLqI+KOFK8RiCSXspFPPH+WVbi0dMccr4GcX1oPrvb H9B82nsIVzqMXF9i6msMJftyZls2RbSX+uS+g2+6XFqpKBUWgZq7YsCF2CVhmPNxC03c+FYJbx7k ociHSdiQEfj9pOB2srqoxoSW8xNcfqTjrVQgHUHrKy9VkYzQ4j7OuzNXn3ctrJJPiEFPVISRXeXg kjPKWl32r0/ke39Dnw3+iXEWIfF0rDSZFMkI3wG6cSL8Vt35ns3R1JX0wJxWxd2Pd2KO4iBME106 VfmYgkCoOTlmMVyUnXBZfNzu/CTShHnzbN57bvzcVHKvHjLLD0rKU/uM/2/z2w4/YuxMyLYYKIk1 gm2FjeyK+vk48kpyqm31YcV49WR0qPklexRm4eZcp8aCHv6cUk6S22p8CxtFhZZ2mS/IWz9naKBG cXeRr4+mFM8f5Qv5o6QPglpi/Q3/qeYE7nw94FFBqVwd64QOCw0g5uFmzB3g9o6Sae66L+oxtJ4e dvZ/J1974AQJJvKVuxzB/4yLZmwZYSBUrRT7V1D25CzrqbG6QEBEpI6AAHSX35I85u75zq3/dGjh Kd08Z37RVdhYajzMoYL9YKlYxhqerkNXzO6SYRbeh/BtVqf05qlbh7WulwOuMl0iIyCBXA9YC+8W gQ2qbkPSEbs4nJOLIy2tCh6MsiicCKEiPNNjgCRoyHKNKYZfMdMcngdnnhV3eTb7D8v+1Hi1Aubj KW14bolZWNvRZS3ZeVPlo58VWXfi5TPvr+wWmFpBwOtqJZJ58sYnzEi8kqHcMDF5/+BG5I/Y+yyU u2GI8kzNimQGl9anA2AIKAy8H2NGKIw1X0J84F1p2LGFqUp5MqM6WPH7jPLtrPUiLwwDm2S63+J2 bFT/jY/31zIREgSA0XpDHvm0d9mFDG52kG3Nlsgv3YPbkQCLKcIOLahk4uz2zs2bLs1emPFHunpN YdI6HQNHzZJ1aBtF0vd9mk2jlCMfmmICb7I9T89i+K72E6dt0+n6FX7fT3+qx4b8PqVcrpNE1V7U Dsq0l0waiTIX4HVVZIDAa1+uE7F50jMxqzYvYjAw9jTrWf4EKzGuUfZph5sQ+z7G/uUkgYcGIPoz zGCvEei4fGXhoyH3yVpRm9C9FygvaFgR3IfmGULucXddNybUC8EZaIEVzkxqk8GH83B+eZ2l4cLs Ke9JpDxvolXZwDLqDs/3j1ntnFuqA6lwa5pBFdLPgKzaGk8E8CqHJL85BQ0BoVcS9HTYUDVFRFJi lccyUzJoGnyl7ngSxiZePYPaexyP90g/ldd80kAYUzUZHTaE+lacSfaEL1xVOxtA3nqfOtEJvjLJ X7k/0d2I99dRB36EA6H9J+/VXh5bc5vDECZBTW2JwfS7gnHPoYQyn9fw863fLGSM6LVjtRjW7/6m 0pZcNjE+spa9AYdNqlX6mXvRkX4Y8YaOFyOJfWqVbU674hTZXVCOZMWSp0mhbxwc8TjINLNaLQQ6 6Dlfc6DaIt9xn5G7GTGSpLrUN+OUQh+mOfyJkZ0gNYv/i+BlptVdOv2lQC3zWetGae4hu50LUPec ZSLwW4QGPDq9kT5J9pDF4k2ePywEpJxg8BfwajH2rGEbHMeq7emDVulMfSFfeJDYjUyUdZBpvo9I UdrXGgF+YOHj3T225s2mhYmZJyoNs5JYqD0mFCSgLyT/gORxe/unJVSkKMelo/IIKcfDDu9a7FjF H7iuwV10c7NSIEGacx8v0QEx0X/5OKzKitRVg9h9B1mVlk7HeZw/RBQI7ZQN5iOn3BgFGsZ9zGBk QcZxaZ+SPke+XqLwn7rTQj0YA6goS02NvBzeKrMzeTPsemaab2160Lj+bavaqCWzIMSaRDUlOHfJ 8Rphs9heC6LgVG3gc42hvt/OGQ8RVEbJVV9LQPS5E6Kv/ht9nUeuEcUEZBIHpmQWJrOdfCTWdLiI WD+wyzsnzf62DFl++XsGfue6hcNEzHuQFU6f8TJ9er9VMKnwACl8e7IRK2LpYZQokEnDZ6bK+oWV /UVIP/TNtSa1LVBmXh6Lv/7l7Oh6X7tMcUTUT5uQa0ZcLhqqQGIrupi7B7SO93krHuMtDzCS0D4A evDz+MnHNP0LsOzny1WNKEBZiGqWyQkdWVPGCkYotjc2GbPblixOHTZBFyviNyb1JxJP6iSlzIa6 bUpdAdEJAbkQVjBmQiicdVKRG64x13hVnOSiqwLJ1iXUwlNXD60FkS58iDTtJczNOz0eF9goAgD9 b4/pd6TmDFmSXa/xGC5qitW2xJgjQ7iRj5J2m0JKQwUVvfkSQs2nm2xtb3x/Tus7iHzQ39Lnddeg fb6RB1AOeQwJH9JiColZrOKtoFVg9lmlzzbwFq/tnALqLplJiXeQgdRU+E+w4HRcprtuWLczmesc KPnECWXxyg2/s+udF9bQ7HoZYjXIyuAzgdEP1b4emPEvkprIYbAli4N0/M9U8rcs3nK3bgMww6T7 ogH7Kn53ac2l/ISsV16bG1zPNA7mlmdNsbetPyUQCL70c0D7t/w8crmYKpJctuStf/+Uy5pd55ds vKHrnBUG6j4E0aCj5I54Zj9fL6P3mtol87PyTLSM8uE6D7EGJgsuUDjqElHy32yxd+vSHtfC11wg sdxv/8BXlb/B97yAWosWZ4g+syvoXuTrK9559reEWp0k4vUoZMfa3C72L/Ma4vjAS6UUfMh+m7gQ BzLJbbmAOfElV1xe317XSZj4Sct8xivnUTYDxOg+HJwKLf5iPyFznVkiysy47Abr7JxETP1DRmfE RDz5kdbLlg7P9M7JbX2DCynBjCMz5kL/85fvhs5fXp+Ql9gsMXWY4XH8GnAKEOn50vtWs35OvIIL AOvavadpCVtRETqSNdk6bvKQQXO2zjM/8MRtWaXSMpLSPAcK/iaZYQfIDbC1ySpMkro8CtKi4OUi GzqEfPO8ZHPfCFhNoy3SxXFhzXPMgJFjCbEWLAkRMlel2ucWjDcMksZRfPioAv95HqUHJkW6D1E2 fvNEd0UVvVm/zQBfp8Yb56q/rkVqSsGim1kRZAuaV/VNArYpRypQ81tzsFnH+0UZGzxR9j1kM1tv lUHwJ319iNMMPo3uaejBNCWkNN/CCIxFv6KPJfZItaTojikJyWqNRSZ+EL8a7AStFjgEm0SEmPbR 9vC/8UtU0NOTsspG6LqghWnjw4uJA7Pl4f7iV1xB2nq4c41d5yPBKzK21QRoZiH1mxNLbOe6wPnn Nylbn9NwTj7dSUAAAY2Pws8+Jui3NkaaGnjvF36rj67V1tc/Ge8uErhdm8Go55K/ZTbnISZTZLC9 3x6a4x0KbBEPk7g2Tdx83vifxJ/2rADx2XGHm0B67xeKhVdfmEiauPRI/y+Zp4//yXe/+Jm2ca59 6feaXus0JOgSj03vexf+1jsMqt2+rQQgJjXF36fAt6hvdQ29sibOrAZO6kbFt7DemGzjYBoaOIUH 5+MBjrKjS1zVm2UyVzSdWUZ8+KbzCE6l3Zud5RAs4pDk8KSwhD7vsfxgI0Fq+v17XlcYy+L30B74 ZAOB25NC/Jeavun9fE3MNdO1HgohG5ymcsN/MMjBnbFvxsoijhB70BqTrq2tKNpXHDFlXsrr0aOf ZBKk1TtHFL9U/YB+dhMeiFgqNrRb8+Lj9UnPwcpeyZueFsrraFAfyqMS2TGWKjmRo43wG9PQ5nJf +CadY3lvLtSngAuF7N0+XSrNoyCN3l6OtKImVCllsQdx0bKQU6lAWpmAZDiDXeI0fKua/9MokjYP 68wVWiRfQvWPm1yNFOXkw2AxN/GSVszFApUxnEaIMjroEZsPRw0fDfHqBJAEGaU/W1/ak7lv2QfV SUKnDP4pB9blwYovoTb/IiY/Dvn3eC57FVkyRvn3msi0LLzSLs1+hri8rxdBfFVEKTjYx6G3HocO lJCy7KgWw/v3lJej74ZFqV4vggU8ikfENpoh9phd8Piw8AVcYEtTDUOn5wW4PwOEIo3ysUZfyMWk BHwnTCwrEAOmiXZKR2ApKziYK0iep7cozfXP0Oa+qlIVL2eX7GV3/inTV6sDWABlvoo8USE9ZTWh NHrzVh5a5cGBPO6BowP9b/AmanrytxNo9pdRrgSJn15V7Slqt8yx6E6mFKtM92NM4ppmwkjXllpZ RW/CKGrjFpT567xZZRgVqsgtFO6myDlk5fNX2Go6muZc5+2kjmuzGjKjSRqvLq6WJY3omkypu8TC 33B0FKecNxTlHyNd1PiM4rG/W4wVq/XHssbgzGRllLaUSROVKxZJ6CewJMdPT9grFSOJP/3RM6ml KCi/fIgF/l+xE2wxL5icVNsrw/++opQK7cqvakSSNYS2abSwgaE3nTeKRYMGb9G6m/RrM0Z7zci7 U7hx+2midUkNIJcrM57pLIkVLUu29NPqSIEeX3nkQWJZ2TOKE02U1VysVSROFu8EXN93oyV0Ez/v aKuPsdAis2mzHxwGUQJT8pvwsFup3JdHhzyrQKKBGv2jZXdUlkZu0S7A5o8r2D3luwbMP59LdPoA X6iHI3oTjZPBXYzpov/zem3do5OwbAZiIoo6wsTq20y+iT6gHci0LwCYmdsbDiCWAq0N/BmAbfUj vVMCkXR1RV3DeauDCRCdLu5vrP6ABWDc4fVBZYIS78UA83NDTkqFnyIBvdSgpCDFI2X19IXtRuGT hwfGsm9YU5wxt7qm0rOUsRXG12r3ayyEdnt+m399K9l3twCckpLY6k3HXJYnZct8ksf8+zvuAxqv MZz/7stLQB5CPCT3jn3ic58GkuEG1Tk/xIkPRE3topqkbI+h6zpHgmadBG+tevmirUcAb2HU5K2/ 9saEP1x7TKNtI60ohHcLoV36I2liP8ZlT2e5MMcUF14NzIyaT9/TzqaSdLYuDGN9PVWy1qiPuWU+ ZAu51P+45mF4I/YZ0rg7R7SjxvfcR8vY0fAnbaj07ql4DYVh0c2D2DMspqrR50VdObH3KX3UeQ1P XUf4ZCshfTlDlah4XXzGxNIKlE9Ab2h2aD9MTVYb7oNZWOtg4et0UppYDZ40qHS8W7DWMksM5k8E bkjxH1eBcJYpyE8+ZPbYqfDUEAg1vMtd1+QbNZUgSGhW+MuVwLGp/UV2ZG9fozaGgqTUHjNq43lE REevFcC54Ks0EDU7N6i5IZyDcxv2+ikKvuAzDMMM6Nq+ujdOICUdh4VnWJwo4HXCLsKXqECxvvP+ HARSJHHgv6LOQfyReFWSfi6PFxNoDp4Ukl+SsLhiMMwx8fnPZylek2Q7yiaipFUWy3J3clF/B7R/ v6NJKzJEZheJDuPtnunSTTeWSLQp6yhC8pyULIxG6MabOjblFhhimqEQixM0hvAcazueIN+HPkV/ lZs/qUKZXWYQ1E2Hok87HKiUj/CECrjYEFoeDDNdK7ykG+XQZr+IH5Jtanscn/JMjvk+JmnkW4Yv 8RbNRjQWcrSmCJ+UG3s+LOkUY09DxB2pCJgbRLiEzDXgw2QM64dTAGT2yUOFnJfDiBS6xm+y6Flz PeXie9iAPKdwE9IxkAsq3b6mmUecT/6omW9OmAOL9gX29fkQE0KgfTC1+vQD4ApSXwP7EDoE4e/9 GmJ/VduHEmyIjdR6ciCiNXQCEPTQtLXdSSr8O6rzZ5X0qMgO/a87QADPgib4YiO19zUKZuzM8w3C 0DAEmHdxpsTXfXJuPL0ch/o17hmwHxKzy4b95vkuAdqI6Atb8jen7oA3yZs3yKKyS7acFPngmfLT jWNAJZPSaH9fhAMrkCw6UF5kdBwkxkAx8oOD1OFTfdy/gCJVmjMby1KdEjGRdof2EMlv4Tw+9Bqf A/Ihjxq4Qh9h5FwJYvc1E3mPSaSdwzWOXGeA3F/jG5HtO5nygADEX/CQHepJjH0iLNSB5JmaweJd 3futSjVOkrrN5sF0ApiIo8ZYuYXCceDelitntFEExU+sKgynJJIp4uDGLkDSdSKna3A7lFQiprJB JLM085qZvID7iaCsUOdPPC8+YHniuglqErZp9XsWq4IiuwoP7gwlWh9fZWQGv8rco0kEVKi/vrfl fJ33MwgGuvZmmnKyI9mXUCRtZTGCrgyzrqmJfB7ICUz6iuJRWNQKl+m9nKqOUE6zpZY99tA7KOeq LT8//6fNfJdYw0FhQ/Pae24yqYwuPywPu72Ou0Q52erCA5xMSfnrrMjEsIrVkzMxeJGyhYwoC37Y chQloCUDJbxSRc42rzCiJ4w9ZbhvV7sfITImc2uSx1c13pTqHkP7Gm7Aafps83tswQrWThgMwlNx zOPImwa9qiaSgs566Oat7ZJhxxTyeFSIqryFhP7heslZFDK0f4EDVbmiaO52AH4cVGfqBuqUyqwU IwKMYqDE3fW7nh9J3FWqF1NCjvnU3L192oMvHC48ah62j+nsv9bbDJ8gS7JsBK6ZIeHM10A705CY NJPd3YvUBKH+lce0SZpy4GDFX3pIec1CLChKcWMSLJ1fRQQYPZycpB6K617ZNlqWbxrroj1ZPij0 8k0DrqjzUHABcWH/pShh/1Av9fhbNNlZ1Pd652K+llprOeFgfyXDUnyiDsl4fedHa3Jow6OYm9mK MLWvMjsgRLvNi5G/l/JBjPxjupH/1/7PS0+eQXZaqI4rzd0Uaw2BtPeQMgctFHBMndppMlGkfOtc nPuni00Ju3MV8rQdub/4y8UehejAsWBh1EnmyyVqeLo3GQ9u6trUqpTSqv+7XixgBsg5caZnpMK/ czQhFGq4gTbUMKa7wEdSl3mqPYUxjn0ubWbr7QrePE3+5DPmq4P6jZu1TMA4WzGjBZuARl6t2MOm U2r97d56p+WLpSqCj4lJuF9frVU74vHgjgiaOyYkJsPGc5k42sfjBhPsOkR5xybcMtLm9AQQ07aW Rrj4wVlD2V0zrrnwrmy80d3nwPf4pZ0/PVHCVw08B8TAFzUt0adjbMPZMotBbLZ5fikmuopCxCdr dgqj4HnJR8DGMjbg7fSmt71cDtDmK/sD8cV/7N6snwkyZ/r1regSA3rn3p2CLKEaamRa94LSZahZ I4+ROaKsEhE9MdmP4MchmmBXtxQw/6NScEq5ONQY0yfKrXQtkVPyBpxgNjKpwUmUm3Fd1vLZPTke PerRJ6m2gRCgL5L/M7iTpSaV6mY5Qy0qr9PqfpSQj8KISEYNThxWoiNesq1qF0GThgAXr9gVQYjD c4zsmDzkHMriRMdD/pcV4CCeFE0G0j6QJc79b3W6oIUzp3yl8LhHfSMAE8iBPip27z2wjwD9vcYm 8pVPtgsaCHWMasXajaBtiIvzEbcDCQE0NYOqSYTKFzxoE9rrNvUQwUhgKNzLBBuoF1CW7SQt+pjD Ui2WfAxSgLsC+Wt24G0F0iNCmneO6NoU7Xcotu58qn7Tq8bp/B3oHhsdSjyTAsxAXQg78laeIkyV GZ7bsQtKYMEXShkR4GOYtx0eVWzSD5YyZhObMkd73FKlRUrTmD14l38WkOfo5p/1C0DsfnhLRuzj xLJaeaZUtPn0WCF26xzNLm5aHX8QWpaJWdVbgbVGbxu7Jgh4rtIHYJF+C07d2GiKL0czKke6xCHD auwFErHpcx7rouNt4iJJx+dNdxUWqNuv3epLjbLUdKEUj4FNlsQPnpn0PhYUuttotzQHGjeQc7hg lTLq4cO9UbC19Vz3sQWvcnXwGgxd0UxGf+m70Q9LWHSfWHBWga4C1CpTkGjwS9QkcKRYnFfZGQrM aRsiYMoAseURbDoMfEp2Tcqt9Uwt4oZXbeWuM8lQ8GSNFs5RV6aa0UQSmOCOLkVWnwLPS9eH11D0 D0SBp5bjnz8AJ+stx5PS3cK8gerr8gsECa5VHTJWvfVJcv8SFEkQtbOPMcmiP5+rGt4qTyvRwbjF SM9a/HBgYVGn9+ZFumVz9b+H+eA83RXG6MzIhe2ClOyifNjqeYoAfPsvZ/Tu4OtTYFox1kiFey4S RhqVApk6ytLAupXO78AxEmtiIzCGzmqbP6reaLKKJkpo15CL9V33E8BQRBWNPdcqgaZbKWeIvUpM vSlfoKgh2Mw+XqKphKst9AybDru77zJoiqqpBhpQjvDMs9MbZl7H4bP8qJJVWgNkqsdBhvGn4u0n XzSVHDHiGmiQBtWkeIazUmlgm7bCYWgm+zhqp1D11wZx+eYuBUvpX2NxMpqL4tyV6X4/15XQm0X6 PTiNt5PagHvn841d6PRhCYAsnaoLXpKva6o4fxb0CQNSRgNqq5GLRwh1BFwbJ2tNjpYjZt+HDBa9 XWWX0U5tqrk0xaiDxZ4LT4yBOIb+vZIMTnc1FqS/GAsPFz2pyO42YhwEdL5kMFynOeVFQB1IEVTJ uWfkQiNpfvQAnTrANUkz6htjARGITVReoOKxaKsZlbL2vpv3Z7wMgeXm7UEY4j84K1ES9K1kC/Kv ZCKSRzNMqjcNm2ZjTOANtuZiidvtB+VKi+QScBX7rIPoBf3MW3biVURTWcc//WCWEK39rzeSWQKv ozKNic2dDS/PpmI1eT+N6jeXpuGK0RSvBhlbRYyMHzIQNjTwjvb1UpuQ4L7n8tqRufVP51djPhQy u7F0s5TKRv07espau8VBdapRJK98kTIkUbvg06odeZukyL4x/1bnIi2DL8nI2hUZ0JsZ1u3Ylf/p DivKyj1uHAfGbzZcuVhC+OfvpbWkLHDcPZbYfvkg02h4aoCcl8XcZGAUcobVUX29EL9QcmlTsHOj 6QS2mfZbSBFsbYu3DConIGwY/NIJi1ufZtZxvKFqwo8ySLLKxg4F+S9BHuaeLDUC7XgNVZ6/hK4l XTnFif7cU82EaV25OUPBcSV2E5lh46KDR381b5wtdPHbDRFConh7hz6MH47nLmBw3I8pV0MZ9KKO XgiF34YwyTsfKbDOQT5rwnaMd8i7mIwneau22uWwl+HahNAeybPPJWMq/HC4GYOHIk8X6NOmNhge TDxuLquE3UwXmaT7ERRL3OjxgXYkvpaprUX87nQnt2cqN3tlFvrPbMVbMA+UBdaGTQz+Q4vYkmC5 p9bkaeg5hCeK0WEVjZLbjJ8RjURhqM2IMjWEKPDnrrRMecKRFfKbOJE9mdRCm9lat+clLsZjUNgn rlAqRV7GfT2cKb95Y+r+Vo0hhYLADxTSxzia3HHUdkqmgicqZCezr8m9syTPgWieKll8CRw8PYM6 UE0zw/xi7Ef1lL+neGIEm8J89HX6jzWO36qgxVIuDug4tdH5Y0SkQf7OAZxkj7Gj+U/TzVEvXbNc xaAH3zLoGh3FRp8c2FhLNVo4ovTyiPga0PtBDrxOHTkVjH1ZUdMwdARWiTme6IQghfUlZ7cJ4R+F ZuFYxykGnwsYr5ssr6Ds331Ow6XqK+5T7NmHVdZlvr5A91UOE23/LRa/Tv0jmTdWcgQy4WlABmWl e9EzgJCu5YBWzbWKOqPG85XwoEDRcftOCLmoZwAUbPVXD8tMlVD7FOR0GJrP8+827zHqVbzzAVoM l1/RaxIvhuid0r+CeoHk7aRpVt+i7sQguw5NoqjGiLUYtSCZQkp1OLYOv6t0RbpPeIYZiEl62c/n FgodV4MDq7wEOIZxcGNFQj8KlBb/YXkeefnEQcI7G0ZQ6ylRheB6lrtc+RHQHmdKg9OrrctobMMU H/Y8d3v3Xri5Ww7kPX72Y9sDqljFIIU2UCVxVeNijYwUfUm32L46GsI7FkXI+SRLHJGrhKdAf2u6 M0RasCANWSt4dd5YdW2Tz9a8a0t5fvVEw6DGQ6gjZb1cxHklhFTRuoiSG8/iuYkKwrpHGVHJ1fv9 Xq7JowVsu1kEZX9JAkNan03rfY2TjTb0iHmq/rzOOscHRDVGNTaQ1rdSb0a2K2+qC73BE2lCk1MX 1H2OOvvCXi531C60Kn0F3gj60TU5I/0el7qOqZO1P6RzzodIE5kHc64qWaH3axygKz9CsW1WcWj5 fkE39qTFdg/TaSulmkx25+uykGeQQsxvnuscEOpKmsqEWV6wLjihAWO4mRTUSjiVYqifHUvTculm 8nkxK3SUyKu0w62ci9HHAAloNTeZ43/pXMv1ujFBINRbzXpu5b/oyr8mLrvt4FvL/qxHH0ww5nj3 5nP3mR3S0oNtrVpfM+ktptBYFscZjOyQSjZXqjxqIRv7eiEOoD89bA8e1VleyFB3f/+X7E507ozI FRyJJlbeyjHfhrIs9DgTgtKOLeShQh1HCtlAVbJ8kYt2rUOyaCWKReAoHLFyxAZRNYZ+6qcgCQvr rDnE/SlmZj4AYjoTDYBRbRaVpBEvixqyvG9dD8iLe+sONgwn1gBJPTtDwAGv6boRZ9v5PwsBBrYd HZrCDrm+yxSUKQLUcyr+vJnt5iaNkkmYB6NOHFZdWJrwQI07S2uE2l2TM8bmRYxJrMbPsobgZkwv T23GlNrPWPb1MQDfiRU3xsuQZrbya9UJQKx6wVkAV7Op04hTx/R01Oov0BCTTQG05wcNC1YFVVjS 1v4CQPxD4thsNasmggxoGwO/CftDsg2/Lx1iZrjamxCnmbFoy8z36FawCYRWbaaVmY2Lj9/rqCWm z+YFQFDrbNXzWTjl25ydmFeMu0EtAw73IGNozBih5QVUv6/yrBFY3V3Nqltju7s4+ogzCNOYpihW QLwJHVCp4xJ4vMePtEyonyo6BiUvL1pKDCo779NOiSr+0F6+fbQz0dJUZr99c1O12U6WksxMdyMZ u2WGAZDp+OesXp2YLp0/A0gf5wKRRt7ixi4HSLQuHUEZzGMPkwhlYXW+4HgTcjBR4kBnbhF6R8Uv qTZ6q/SRmefg1lgpbSsw1uVKjqUMjRbIQdCQgTYQCtHP0xn+8ijSgtKTEXiAsMpBG8PYjWgBkJnG ptDk03fUt002cE9wqrCFNnbLjKMYoF3n30KTJNxD8rZPB4mVXDXGnjs09JdEnPcclflJK+cQjy+y oVYg5fp3kaUbQA0Y/qYs+rD5ZKW7D3LnBQyihoTlj/fnQS8LGW6yi/HIdlYmKG6Y0Yk2hscmO0dH O5xDomXyEo8UI2gShAQNoszCGBbkBlY/2pc+tuatw1sGx36Ysg5UwpVlkeOy4onecm3T+dUWGxU6 omTWFyBaILILFXyG1rKwv4sh2iSQpUZs3PDUr38OqE6/8zwJqpM95l01aJsum66m8Kp8NuJ1YSy1 Ilpw81AjWG2+veHOOYuwdQZET9S63B2riPigk7WW7I1ZXB4MBRBqRa3cAIIhdk9Cl+ne2x7ONs9I /hGavE0fNie4iu2OU/NgJK9sshha37ABwsPJLcO6YYXVelB19QsPbJRDeQdZCi4S3Ke38YJjscKg jiCtGF7dbCHxY0cueGTp0Rhg/GX0ENfhF9hqCMsooI3vSO+KDqSLE6MPcXHq5eDSJ1HC0twrXu/F SYSuaQpFwuWTLXErHVcSDEe7b8DClYj1wKonCM8xh7UGjJZ1lHYqJpI0aiMaNf5zg7pq7nu0gnBp MebCRHPMFCEwzhS+QakN3PIVOuR0gPleCYpRhYME5ui6B+qy5yBP/yFx1rR4ckNBkD/NlFwtbRDv 2U0GO2B8nm4aiAPLxiTjyvkqkXqFjtSIyMgswLmmnmxu0Wz5JEfhFagZ9KwvKRHtbtLqBqH+FdLa AFENa0R9QJB3/UJZq9ExJiUl66mxqdM//yl3bKy4U1DaaGsfkRVTe3gBrQo713KVGWCzQhTafj1Q pUJMENgj6pdzQ/GeUiI1tIFe3+pLUuX0uxTmik4fo0SZVdh5QedbeDESxtbaH7wOXXDHZm4GkRdS bU7EFihmFlzelQ4GLZWak1znNTeLjOMHAWMCJDYOwuyyiN6NdIG4OWNNaLjmH7bMtNd13xOPSwP0 L3rFBFhFsR4412pNk95JgzQQXu97KtcNDLTh0Iuj3WE/gAlQZ0fHbtgrzgDSbRqF16x4TlgDRv6r g5b4dorWF+axvUiYzss8SsojXJeNILopYIDa/hqhQckIm5R8ZLt6JO6MyNkb860SHgHvDxFjOP1g K4FODGAuu5I6Ww0vwlssB3o3AH7YEevR0AZP2x4erVPIEhbD7zkFuEBxqdpYyScUA+Q350nBeket zfw+zU9kn7k667yS0gQbfpWPVTIbwD47Mq4ctdhRkGTpPDUHuhugqHOGeeOeiy4tjVBzNfbSaKUj XUYMontYjjjhaIHfWFLAjX/cxUtrZLzrkUA5gEsggVGUSJ0cDopesPnEKmRd+4pKG4oSC6JqyJfH wnBLi8dqFaNnkKpICgy7dlN21c8sFnZjN0LE4yrb8W2fgeVoZGZzATpY6bXT62o8ZevRlbMglQpc TjteAWEubZusgombABTtg7jax+z8ymK2N9/mF7BUdgW29g1Ph58x1cwBK7WStyomDjPzW2M0lTsf JtSqaIG64bpmap3T3oHpRUcenzY1qYHaZeamzi9vORofuwhbosIrz1ct2jnfaTRIPZUFO7Xa8IRp +JkPO8fyNB+n+79Lf3DJjlJ6ozT7FZlnLIjKkPEiJg3+rnIxIdIN7c0cELbhNkvxIDSpmjbkNpiU 6CBZg+GFqTxRV5BedJo3DIdbpZCuy+SUimj1YUV3IOziFPjtuwVdLM/QjU9RACf5K/DmkATgacH8 4oDWiH0fEvuX5K6CgK1k41PRlKVdKpBT017jC4hNxuAVAHsAU3IeAYQvJXWOh5HmrPCj4OW/IcgM fjkP1OEgvoNq0e3a5RQQQ5ZohR6SOBmjeRQMwxPIIqh87CYEELAKCuRo9t+Dx5ACjun9ojzgsLan wg4ePsda1zYmOE3j9dVGenFmN11lYiU2UEUyjmT3LWCBzqYQ5QUGkKzS8sdnOXDuyfarIG4TqiLS jGe69/gOHrWlazyIpgQ945Gk6u7FbtvBf4sCINaWGoiwdTzYoJPQoOeP538BhTSH2PQyx3wnJukG 4dWyUkUmYLBDdDeD2sM3ZJO4wRzFMOIuj6AvMqEV//WIybCs/JJJBvuxROQnV+2yL9a4avZiAKuL OHzLx+GQSMFk9sAH70L0AAnSTn4/SJ8fpYbE0ogl5NvzQSsQKcbfO+3/LTUdZ/sVjXdYA0sKhxiH tAMCWc86ylyjDpPUZyG/rTwWoz46a27gQMAMKhR8F8u3tjwPSYAOaRws54l4FImtUYSYJRkSXD+D K8GrhhLFdWsUN1cP7Z5ixitstc39Rj4SwKEBbbRMy90NgAmWhhga06/0dXIUNSbmAFzXOLTUmIOZ KXuaB21dvoATP1nqRzZhp5TlmcU4sUrG022GviwEMCO4wNoMdDF7/9JgLFjlQWtlBhtek/Sq7hIU BQiQIZ4vwTQZl/DA5jgBgz7oPXJkCvWk/6aO7x4dYLBVETpZDrNNv1d19RBF3pMuu8w9ngjqR4xy SP6Nat29jpwunQqdk7n1oU4r0rxcTqS6l9kAl36nzz+4oT76Dv9Jt+WwG1RQWa89BxCTnHiqHMC/ cH0Pj3MF2ckBkv+o97q/yzBI7V9dOy8A7vasRvk0l6ollz227pTFBpQRyhEjPrXEvRikJl1U8b/i 3bv2TJC8tquJ2qlYYZ4AsoifPI+OSIJ+P+DVuvLV4phBHN4yjq/G7avyRx3Bp9C+ftGEcOc8Op09 AwpCHOJb6RLRvj9nb2oBPRszZ1ABtObEPP4cajDq0+RiOfBVwAdkK034yNwFHany42KwsF1uFD6L UGHVfC8531EqHyfbbgyUcyCXPfPjwFjvzJqdt45LT++OasQEpg42oLy9zhZtbZyLlkAy4vxB1hQx PFg0JbtnCPu5oFADJkPXAdSo2TwT1B66iXXrHyrj1TtzkFoa/LH3p17wwPH3m7WseccCxvBpc2OV Sr4SmgMAMJpx57nqDTmAUVgW5jO0iy6Kk6jkX9hf2FNaL4bYSoJ/NcPWqrKCAH7Np1+90uxcr2Mh Btgt5SSU+ue2MUYwnlOFFdcDtZ4hdg/JdKDMg0o/O4twtRD+wnVHe06pkhSeLQncgFhqiSu8VKN1 J4rZiwKR9ECGqrAP71TSm7jAcGj1YDvYHtl6XnXw4DFbjvP61pWNqPYRZTK9+K54bEXWUaBaXj3e Dk6dQCdFq6p/JkyJbtPz4upLdtc8dzAKxcNq3zHCRWNKdwPpEUtZHR+BYzy4m70mVAmVIr39qK2Z O0oIE8cqwD+KWPmII/H1wSHf/P03C0ShafXzBnwSMpdkAmjjgPEOIe1pLWos1vo0Zm+lJFPE1QCy iu1o8rjHB1yS1SJAVbZneZqw0+Y0WCq6psadAE6ips1noiQgm5q5/+lJWY9F6uBkfN/HRAb0dU4K B/W3K/u8Fz/moPw54/qU9W07NvUDLAWcCAU+QNaRjqPYOoRj0bdW9qyanscJsbA7vbNlGtXhBpk3 Fc5spA/kh5YEoBYhNcug2RyyYwuDcXzTNpsYc4TMIUKVsoha/1NF6AkiPISXw8Yet63cg/GaIoFh 2o6/E3yD0IlFQ7p+79/OSWACudkBRkWl2c4ZQqjTHli6aRlbfGMOLZ4kI7k/f9g9yE61uRxt52Au JyhnSckrBTaLUuH8WQt0kTw/tYbe0zBGOPlj3OPhsyi9R3+h/1c6oMLOWQxzy/eWpb6SrfbkuGF7 Za+YdH3KBN5ppu2ZH3kH+Adi4nTakH/Tu71EY1Gqi1TQ14yltHyuNX7L0IKDOzVjVUeoKfH6+TL2 P5usLLmop8b8bb3rVy+980wzPZAUI4MzM2C/uhEtv5TEBtsLV/WfQAwUaMA42KUXEv3dDI0sRYiC vxuZfEoy+WqpIEGT8iwS+w+ug2Y8zUVJJtCprxXKIJ7N4HgJIVZDLPKZ9wW7+hy2FOvtEW8k5196 IRsVabTKl05i7lrr31M3XMkFpC3Fzm8fdn9HkYYlrED1Y/KT1gQKACfw45wYhAyXi0SgtsYGnvtv v5kcjxd8quafALI+nDRezBVu3mT5b4VM9ERptRLfAWjEMD0IJh9tOHWjH2nGYxabjS0tcuMKmJq4 beXYN32HEgOGqmr5gTFN4Lq0ZKv1Yt9vCJ1m9slKtsdzpiVzTEFY/fN/NWfrPsxPaGB684WYk72R 95DXP+ZPs0prI8CczL62gF73TgBMGxhcvp/0MBp8Ix75vOk7s+NEx2Y6iqdv7PbglILZVLelGi/7 1t1fp2TEX1qry5eRGV7rykFrnIjcXA3Xhd65CmCtmkLPve5+BVuDrJU/w0gvQuqSe0e/pc5F9nbq XwN84yE6Z+U6c2ZOTTizrXy0oH0kYXdNJPh8nyyrf25gyfTfN6OmHh382K0NP7vzN2L3IMumcbK2 AjI2rqvlTIiBUdfJCF6OP1JzE0VbvueFWzebuBGWHpYOpqVcxEGtCO2IQJ8Mw9vVSLmhGvzVqTnb 9VvoXrIf9HScerulxrs/0IOeDZXntQ/oxvVGlwWmG7tMJFSU/xCD9st1yYccmO97EWbNYG9xMUi/ kGs82kZmx5UsOOMf5B8x4hkdLPHw8ijmoDPdj48q1nTbtmPLjbp2WOwLesNJBtvRZjmYjMDVOKYn 8x2qAfFnZymlOzn/Vx+3FLqGjvVZdJGY8aHv/ZNC60KTY/OCscE55sGBnm+hQZEGklXaKY7vVxvb Kh0S2U/kJJ+fMOsYdILC5d/9oVn+bOHE0OloapUYa24m/5QtgGOcAXqCvYo1d/ZdSaOWroUs90Li 2vEIMZTathzDidl/2QxlfQ4ZUcO5BoJKs+lPPK/9NruOz040N1mxlHjYjSmwlydo/wrs8GGzjhGl CpeS5ZOa3j8SEk7BaEGoXuME8wQKGq+h3jbEv9mp0Kfd8QZ9GEtlZrDXb+DT6MyPfBfYTRm9JiiM utERQXWHPBex+Zf7deblxt6DsSxP3cvORXTlZSZgLlIbcyi/so0YTkujxAt4PEsV/dKt9blZ0uNc GuVRQnmfx8f3qL0lKFj6I8Rwqgc0fZcjuDVrEI4kID7PId5xC32Pn4PHSs7cWC4hgIVz8E+8RZFE p/hrULzrc2PHkvht4koOrLTQLmMIsxyxuicQ9GkNykI/0K4DXdReBVhr41ZSQ3GUncFWJP5M+fAs 7UQxWSDK2xCnMGcXAXWz6N6SfqElbOgJsC0nK4aWU3BbTGD++RBAP5k/3YVshWzkHTKNCRaLQABu a/pKkiwiSK8o0qBhxoJke2J7cwY927duyCaDqKhje2utI/R3glh0m942Q7th4N1z1foL9de4576S 5diyim9yXrlF+WXm819wLJc44Gg23N6D5qtvAMrLGa6Ih0BzGBlmKS//62EiJxK3IlF6ezPfTj8a KZOItwRbNZOBmBtAoiCh/ny4vCtw1rHscQlKb6ZGzBRb/lPEmxjiTVae5g9PDg0erJr0bxj7DdYW q0OFbEthi8i1/SFfTO3bfyBPIaeS7WXXcnKGJvINyuRdxN3Glm0FQz/NrEFrndK7r6VpFHo1yCzX uoewHEPCRVbsUpJiSg1r+MNImsiO5htesKkLAculdrZcU4PqBpnjy5HeEtka5pEO7rC8GYQsqO50 p0LgTrT80LJGUzUHzbqqZnb+7zixqFpcn7Lkgh8WyWfv2EjJp9qFn1bi3frjElJvOWXRzhJtq749 qSUNsnhEqWSWWShOm/fd47s3NAODirTaZvSkQc2Bpm6wTiVWgAdPNQCsWmIfvuzGQNvqw11SKoze FOo0YQHFPmRMD9mPsZrbCAaUjVdhxTooEQ4bEgvotJhsGwVhGD1Fe2XNr5NE4K1ObqN89+ruV6IX EV+h/Ot/mHgPuNgff9DADPnv4Cz2ZPMQ0UDdllB1ClejMG2OiFP73RuD1/xXhqpZF9Z6izVifyOO r3pkFJhM8vYkWv3+v7AUFDHbKq8P20Gcd5o409c8J3BMM3HH1PAL2FGOEBtINK/+W3CShghYZlKX TJ+vHBBIpNpC4tkdJPzk7NwLBYR+iyDgCAFH5fSfMqJ3tj63pEl/QHqpAwqjfqaobx63EzRZOesN +yr3n0vojNZRmqF/qsezKjeazjRP723DxcBeEzo6FboSSQHQjAXDa01V7fftJQS3nWk0hzQLGPpa F5IpnIhTPI9xdPP9INBp+BIzC5DykG+Etg7y4CZ8CUc+geV+O+MPgFYk5wo6ghEicLhTZkDcJTRA ps29RFjbQxx8heuyIj2fy54lg4l4zqOqbu7pVDW/NViM+zoQsv5SDtadYgxo2ZEik2+PI/9ZHcxM c4BBV9c2gMtqFcNxEsrZHOcGiCK34jFwRXMrIZOGhN1qnZlISaqkPtli0WYtN8uJCyfyfV6HlQUQ MQkFPRksaAQuYgsaQUq/HVTVBCut88ZzIMVi6vd33Y2I0DQPrPpFDdzIqV+FuUzONs9eG85hUmUh K5r4XDu38NSythpjMhaNU+7b5ht2H44jRIaBoXpROxuc+yYKQVhTNqDUnKfS0bdYwmsBn5VFVtP4 xIFiTxgRR0KGPxyoVUwIyqQ7lkG4q0juoQXjroaMur5gKsLuNvUm6gYR0vTRYtiw/TDzZF3hWO7n tyQ4cDy+kHzNJIB27S2aIdw1eZvD8arJ1Uf8//pvki2Xj9D+cChjZuL/e89TzSt9tZQ6UPbhmwtS FfVipf7QxbDr9DwTxGhkgGf7DSHldhLEuyW8J8V2BZAneNyryG7qYbMIppISsZeOT+MsJv8j2LoY yNQP3P2/5cvrB+EzsWoJHruE4WDd/jg0pLtlf1LflWjjzazKcru0XixAGDXX313A7p7ZXU4z8EZz 2hJDlQzv+vfdfwXT6CtvYtE9zSPEzu6AtAtkB+oxfpyBFFBEop7ad6etQd9R5WVA6pzqrYMvDruI JOh3DiK1DbFMV71rgDvnHl8h2COh2d87kgTl+dATlAz/CDVQTA0c8bwmBYrP+miV7b4spCoST98i XrwKAFN0wwljN4CmwsFvL8fdnjs2rwROcXXoU7GFWW9GmbgCam+UckoGE6qlAh3J2F047pqui84Y 2Pb86GuQoLBcKGZiI+ODbkoKlVJmV/NOYoTj62bt98/pYkpQtX+q3r3Nqr2CKqep6mE9tmoEiN/x Y2HQ1lfXtGVDBFS8qcQZ8LPPXb7SiJxzWQDUupkhbIo+SHjK83dojaSUbq8XQPnslmCgR/1+21vM 6Z5XykLaOAM16wOcIK7ey0bse5ryBEnz2wuOAQuGsguATnz89162XbCxxqMcMogAtMVQbkbz8VFS tXGu7d3zjdirmoBmiM39SvRRxxBNcknODO3sjvd501QlezZMDlqP8jjGO2Xg42s/tINzpJe9CjKl p2zJIVWYJdflIUPSvWzqNFDjdduvGKCh3H1OfD94X16RRUTyjV6ZjmCADGo+nutps5/oBpEjW4OM jywx6Pb0OmB7jUXC0H0DxIBiA5fpNZxN9Wx6tpQiu1K+AiuNoNwLbfDecF6WuOOtjVjd9eB2SHT1 u8U7VLLmm9BnhbUulpOO4573YxEwr1ZiDY13z7GJoiE3atQAwm3MvLytmU6abz0I0ltZCBQJiV1m R49ayYC73IZWMb6p3VoXWo2aDuLDoSRHpf0jn7xBfELPUkoEPov+EydFFNSeTgMst7bVaE55i1CT HXmHQsU80/pLsDSp7f0skNpudvYy962mXlkD1ikBb5aAmE8htIzmY2YR+RyeCWOHI9ikYCrysMlp ocrhUzME2bmZq8NiFO0TFOB/ttVgwZkDYQBJd4sF3X7MmgJN73I2nHjXYP6a6DDfx9EiLCVxdNWH 3iM56VlQmuhXZLtd/GgWbkBzsHG9eum/b3w7oT4KemvicvZT5x3q9JZbAaMcE9jH+hHIsxxcQPhr ZG63jzUPNZFAmUHe7aUYgvmG+hAx0+Gq24kV5jI4jEcm1peJB9GQKhT1J5rT87Yh8VpG/V2fDuaX bQoDj/Tk3MrjK5tFnOuxPr1GGweT8RDtugW5Wz8wQSv3emAhX3fF9hPJ7aXKqiyvx6VLX5AQIQgf DUY0035GHwHj1RwMj1eG5EfqJS1djCAxOy2Dz64BmVvE3K5Wu2Cp/D9fhF7y/vUZQ0E5DNpU1DpN XkREWqRZdmaY9y+YRG6NOPH8SJg4EXVl/eY3L3bPqVdlgx5vIVHlo1ZKBHfBqeggucLbEoNnsd1C RU8+9WDGYwB9rBGh1pPuITYGSSHzjqVcb18lupVtPkwEtb7db2YCFj7UlSI4lhvQ2Oa2pbOTVkrD UhjLjktYedzYCyb+y8RdzBGzZGhn0M87BKcvy1X5bozexBORGqnee0o2rrk2V4/GGoIczP32l9Ad +IB7pilQ9GE3uwaA3X99z0Sg/ORR+Zl3KjVehtTmeTqjqV3bJpFFfXZSeZT7/I5zTRcD61X8dLIe Dmu6AP3JsPUPsLwClkEDNMLaMI18oW1LuuYfXUQdUMfC5S+ktWXf1uqwqtvemgZh9fPVnvjN2ZVH Kvxfe+CcmuSmU2deQEfoIpdNbksDQCf5eFeowTGkVLSjjr3bEM2sdm9JQ3Dtub/TEshehHWFVFo5 c5KiLbqJqLHtyiysGG+eOCgddu/lNQTQykW0XHTXVh9byAixPnhGHIPF/ppSe7PQjel4fDwPd/DP kPvG94InzjYzYWsPYpwpCTblAnSkk9uSSZLGgV7ZnamX4KwdkDmI6QnyH7VxNmrtQri+6YlRp5+8 xzPoqVvvqHwpKxuKe+D9AkJVS54QNfifvWrb8hAwfPbkU1qA6k5LoJcfNhx1OumVSBpBF//AlKwJ NKlNDfOw/UA4XuJbqXa0+Rtg9+5Y248r99+fvGLV9RyTh8yhdz3Y6pGHSaYANi9NSni0OmPm7Wgu G63fg6ox0+ggmdF5iRZIyvW7oSWeMZRQVu2a90xTdeSF2BbQwwmr4Gsm5WYO1wSAdFmEyhm2oDHd hciAI6jnarDtr7PFlcvkRJ/nR8GKai+X1Fu41Br4Tm0leFG49xV/2rTKQ9srgh1qXuOKvfR7OCcx MpbtU6zuwkyAZoYk9hVOnLVd7J6bE/6QOQH0W+Mjo74AWs39426dWkL0RMFFLytFGpx74Fuipuv8 l3jFvYxBwMU8x9Ij60gQvNMhwlZdE+KImk3FXm36slqhN5l9GnQUsb2pDN2iEVrB1NNVq9GM1uEw bAubj3s8QVMkdCfl0AqD+WKaAYlgk4kLAXNbJvWy8tsYGJUDYkatnCZWOLyzNIYEiIfib9PaAGdh Ci6KtW2EuuLG8Bcf2EjhJyySFQAc/zByb57GT/bMYxnHVy3AeFQdHyl4GmOFlpzWFUrvdKyYudwU p3WLxuRyHsNPW5+T1wQSellasswVgF1jf6wKzZ6YBSHJHSRrI10M5625Oay+UYYkH6lwRxUmTXVp y/0s3aAxJhKAcOiSAX6nwUvCZIvq0divodXLN3ERhcm2DP0n+nZpr0M4vNsw2FCc05IqUVd1fGxR 1jyNIggr1Q/8Pc5TtbxnohizfvWsoZABist9x+3xYXdDdHaN+bh1paOM/X9h929e1x+0oFX2p0vb gMsF01sbTcv1rCDSdF2CbopVK6PKlm3sKvwPTc61j9eNy9iinII6nG9bOQi5G+605cps+1jQqe/8 vyeglN7MEgO8xyIMp7SDHDt7Bh7c+L6eB/kLedP2ETynml4cvurOX8+cM/29nGThO4Lx/qSN94Am +Y/gECjYL1EwQc2iZEYGJ2PHhsJUL1PQtmfTuMgyjTqQziU/TZk/Ngaox0/ecOX11NXXAb/1a62C DO3iBWApBMeAdTC1z+yaGghH0sHh9a2mmFCqId5WZfI9MWRSkqkSMnKkt1jBil20n+RR0Wscple3 UEU1E2ZK3BtreVeC/5fBgX/37QhpAKxHtESLDknftEpClPWryshzDeCTYasAJoHl5ZfhiXFAQuIz dlSAZX+miK/TpPiyzJsdmWbOGPcdX3ua01XnoZugiAm/PW5TRD0yVvRVMcVFWOX+sEb6U2UJOLhW Z/lgE8OcSLrwZ7+pGyEhkATsh/cEJjnFY6wMQ78Q5CccQm/wVHPbC9DOTNdujMFKPEbiV98um/8z LBtO16sdTazLHDQamgJmAU36ZraVSm1kIIrOXoA/QB7yX0/vVfu4CwxvNmxr3n11W1NBpBy2woUJ uPGwm+Kqj//f0cbw33cUDK658hS04zNaIsLwe5XwQoi0pl+SzYgaPllJ/O46yJlJF1nLdZTmmxNu Njl0AaPWJ7uhE0rOwKfXI5YaViHQrUJvyaJa65uBWMlREJPf4EaHqI4E6lGQtNjc/KQotQjZfSTG guMc2Fz1aWycMOPpJcjQmHHdu3J/s67I1TLgDtcNNf8NiYVkIcxL6piCf3qYDMR2QyHPIx9MDzhl 1rQyFcVt9aHL39I3wN7sQ56GB3s6m5Z4H2pwCS4CrTO3jsK1WhNaCjx+QV1udurI4IsIiLFO1VJc 4gydoTJiridIJnc9CSG9F2n4noLePw6Ids5r97GUBPwERVO/uc/6/P3MWuVjwqfnKJSIFUpVU9zU E8OwJVfjLujVdBG7el5VVHBSszQvxP/07/OSashnMj9iDffIaz0j9+iXaq3iUwIluZRkfUf9R1XU GE0iJ3ISdsCTeB487PvQopkpsEdG7irL7BUt5mBogxnmfd8ABihTktDmZo1jRiXzIR0KjS6ljxTJ Fvrv1AukWmpIh+wCA3nLSDqQq339C3RyZXG1XAX/3dYQ5M7FuIke7MZ77I3FJ/aPDOpkmhzsTi4I 8oygO9UTs/t6acZAvB8rtxXX0HtXZqARsDEIMIIDCefnASAO8e25PuczGDqGBFuctxg1NboZjRFU tJ0ysSJfiZmRGRR66CK4eiUvZUdcYiYW1xkmefGQ1Bb/pNIlthzId8TF0Szb9JTyDtFCSa0IXdwm tlUPIfUwKOPgfX04wnVwMV2EEmkVhdZGE9m4S+ltSerZiUxdrpvuExyC9UVfIMMl+NB5hBdKAolX pPE7GcF9xxhybSgO/Mv1/8ACx+TyTRsW7Bt9t7FemGrRFcnBeHxkVpN2JpxnZn+Mm005UwnjVzLb XB7lKXJOIqKfPzaZXMPgoizgnjGj7x8nMcudKU+Dsd/Q5vFyqw7LXUMDFPzf1RVZWswoODdl8v4V ktSJfrmG8J/FqLFvvcHb+dC1B0LbL9TYxJA4zSrB5knctoVdGD1x/HpfcfOPhFJbZKbQc6suqa+6 J9RVWbk9IMkXo9qMCLSxpWp1DdYm480fn5ik8Ol7Jz29JvS4AWEKgyDznGvIX9JaD/jXV2IdwLMf Q1MobrzZYLC8aurFgzlT1GG0NgpCJTLeFempcBWON6ZtSTA8rUweL3WnOM28Gz8yPWxDdU6Mp3Kb 5xqDOsaw36RnygkgmH8qnuN+b4JLG3Tp9lhwNgHL41l8veV3S5CqvYbszmJy3u2Ewk/vsWwh/YFY 63ZJJt5NXGcZcOz7w7/qy+mpJfMg9RsCp6f5FFRWGEx62MZvDgjbN+wUxPLefSBQHJPay4Vq13Nl e16GRn6pBV+sYGbvzI/P0Dj1Y2xUTTG0EU92ru/9DaaYLT1gCRcxZVwMbs0sBUCOK11+eXtNCNDw DRTmZmeSlpzmTbIIZ6/v8hbLhMWdH11MP66rFO2VP43CPpp94bw7EzjB5cn6DRPbMq/mH6j4/2mv xmolAMYvorU1iwTUB7WkxW6c/Yjqso1RC/P7OW9KGndFGu61ZMQqQns1WqmwR+n+KWClKXLJcyuz ana3tiGYnUSAn89gQSx+hdnP4iz9ygHGrpLhV3T1wmppmPgXD6Xv+0ikpa9gCAfPwmQNJQcYxY9T jwWEP7zO/J8VkIrTRcbt7n+7sy70jGx1Ko+DFtpdwMU8gr8U0IKN/iHfmjO0LGhMQ/Klr4d7qyKK HW8+Rkr4kTdnhC1bSEeqLdmlUNdLru5ORsmL4rcX2YLTiJQnr0+M+ZzBOrC1sKaa7Ms8FCG9T8MW n3jkY1rZHoVEdiIycKbNFIZhyERVmX2aRnHnhXhL+xEZKFS1u8WqDhCrRi+rgMKcucP9PQUwriUP lZ2l89aD5IT8K4tQv8rEDoN+w+EXbjL2JNvLt8YwNibwZoskkga4Z3yKsoBQAHC0V1WVQ9XG7l25 ufAIsaiyGUiV7F2rjV3GlXvHivBmfLvCt9bnIblPl+DjjUc9UjidgQTUZbcW86PlSsPwjfX2mdEj /50hUAAVu8axk1vnxjiNmotK6BvAcmzePE+CoYILfcCMusELIBmzdf6+Lk3JAdF0/vrauROlTUsM GpB49pLyefufBmRjQkQFUAn6RBIGE6qdRcrxKf/yMXlM67JFUliJZ51CpFET4bdPJkwzLc3FKCqR J0fr+e7gk4b18YWMNeaR7/8Zi+1cm4/48+EkEb5gdaGANj9qGQ6C/7XlOMu5+1cJ0YJz1WDwm+fI LWvg/TTpMnWp/kSuVaBhzfwmSS9noXjfjtVjtrwZGKRbGrOoXlkuKRMMT8+hmNKJYEB9vWE8uQ8J 0Gi8uURfvKiq8Ez99mhlVuJT5Cn817BOKU5z5DotDpqs0Y7n6543gLP4vPHYzbWWGfzbj9DPpQWb q9pBeB+BEaYtlq3r+21Tr/ZqyA1IlgRGMyDC0YiSBbGEVLAWUweOWrRtxJ/+xor6Lll+SOcqzMYW huCG/kv5uIpAWdus7rhHSYZL8kimmoRPGRDouMsq0mm5yoEU+ZlhR9NNhI9e3+k+I3TceAqhgULs uDOKTWcMeNi711eX7kxeFZebLsMx0YWlq04ZQBzEYgii1+QIwnvJgEujd4Xjf3k4TCdkDq1fEOSV arjy0KG7YKqpOnim7G+QyGtFWYtp55JiOAKGrIZ1X88LCBHdmTmqe4meG/xOM3yWyYSffwG0Nm9w LJF4JL44TSmB8yiukZdH12Vr6Qnj0Db5gFWAv4QON0KptilxIIA5tC69WhtpE9j9fCt3nEBZkP03 zdx3UYRfEI/F8nc5V24oHzsggx9FZ+HtSj2ybpct7He3acjpkBjmBFuUplpBgYzRC6kAayI3wvz4 ukoHxBcNp2phb3HsyoEHzicGYx0lZbEBhmKxPrxNkmT3+rCZFdVKq7st9HzlP8JI9hE1tE0zuAwM wMqn4Ls5M1L+84cEQ1Kx72yr7DNhxkga6V7r46Vyz+h83QWHqBAdezc1oaq3tC9u0bW4XU4g+WWy esywmWsrh/2ERJlw+LXMkdAJH+COjgbzI+2y0U3s4XVhJFPRBmLGEIPWf5dUC3pDwhbaic8ZW48G fjG4qtCMRCr3L3DB8N/x/nU7K6/BMN+pCaMnCAlUvDbADUjkhJyDqm4rwz4U+a8R95RJLlfdVNXE zUrzDSIPMcGXyhrW1evZHLwzpGqAkVF5dh5zXGSSyb07gRlzth4caz4XdsT6GH6uMqh90e9fULgr 2d3O8cSZBKPuS/0xAPwq5mzD7Pe7prNrmz9rh0JyfDZAG2I1OhwO3IN0MevE6EiomiABQvJMEEOk 5j2KL5dNf2gucRcC3mRc/wecaEtRWotRiTbqeB66i8Zv+WP2mokha6FJw8VXumHRJtzUDQjKvGgv 54VoR/szWr/MzY37PBQhBbGwphpHCXsDqhnIJpfLmbZ7zVq2dLQQU6+DBEoTdvv+saz4Qqw9h3n7 5Zub0MQEOc35C5JQ2tUoWjpk+jEDMUvPhpVNQX08uFT5FoRvXJkeFPW3RBzuO+9u9X9PGCifYKZC 2rS18y5+5unOd+DgKakyVkgPonuoV+9vc3UI60qohHBCeYwIuUcCmjUI172PsBisBpLwcRN7QcKk EV3TGJgnn0lfoeN1eFdD7SFXjV8kL3W57T8Tt6oJy/jVSUhUmEKT+IdGdC0BrACB3SuRCeH+uAoj UIWvP6eO9vXqDDrHlXGm7FQlWkOmvusMPmyk7HmHTKDIzGbf1pUwdq768CbDAVQN2karC7C1SdSO FetaAhI5tIreAj6SlJDo6DJeYDgIXB7aUsuQHUKAzRvMylVY+3wwZWQvgzxi2KdJO6VrQf7yRR1N ExKYTDrcKjeJX4MyMozLMNbl/Vk4Fyla0OKyBuCD0sHrLp0PLTt7VmwkpgTHFxpWn0EfE73PGvpo x3hYnDsyANK47zOm7eXA5S6BpsQpG/1bRivX6qJJtHBfsmpZF9FyiNRLjS7r4TFoshw9NgBPDn1M +WPpqM4hpXUzrZqtbUoRZjQT7b/uACRL5Uvvd7X0DCvDieIOSqIRdeXepWMfv7HkZC+8A67OLhf+ A+HGwQnoQOD2adXNOJ8QrQ9CfPcZBW8Dcm59fqSiUN9r0wKu+HcR2kPSHchKduf3l0+p0+E2tprT rapACcgfr/SQzXVajnJHlOfby/SknBPaudq6yT3gjLYI37gzck9eiPbTq1ih3HLtWHLhEP686lkp B3l/czz0DumcrQqDlsi37cEMqCRX/uouDvDKNthdCt5HhFmChL2kSWGjyHCrqlP7ZI5OE0N6xcVi ayqdLRBILDFucPWLmkngpudwHqanPTO+TU5OaFAi7niI0nt4Cod48FeuIpJhxaDG/oKLj441YNgz Si4jUYW9qS/dGKx3qoXcS9OgoSECKSrXUZLAnup1v1aJU2jnifyItTs1RyGuozm+MGQRzrwDqbJh eJJZWafOi5htbs4YgglOmbhzl6a7+h9hLaMVRMnSN5/mfMkJvRgCL9j/9xIGKT22DfInl0DJ+kdH O9sUm1YIgKg3NJCi74RwiPx4WuEEIrbAeugQMTk/lgPNDZHA89pnnmCRagoP+OaRi5JHbCYt/SKe w1ViYdSkr+hPbxdb0TM7Zwt7fIkpP2aqR9g9L3Q8+g51Ne5X93JO5I4lb3h3EEdeKSXgLzED0/vE JapVnTRJ1W/w8YZJZiZiRIWl442AxKL2ClwhCGKk2Dm4mUv6sSH2UnAnLEBkxnYd8iDbM/PqQGeu Bu4PxMps38Xfp5XRc8oB/vWNNlinqrcdJCaZ8ZTkvcXqLy5Ao9FR8NBqu71nYls6+XV2qFVBcCgN +E7CgONGDje8a48Qv//CIWoDXCj/m3AgiXBnDbOc8Qut4KZ6VcY21a89Tb+M8Xw2xp0/Ynovf/ys e5bOKMAd7nK+u4MdHWRtdDDibKG6SY/ppf8bzFimMQZ4YRKWKt4CHGHfc6Ip4K+bdHaFxb8he71X Sdf4VVllSiMBF8WVTiIuJ5ZJsDAGbA0ts13QF8JL1gQswYpnfjhWy3cZiozpuO1298/EWfGTuvrv DBgpswJLd/3Y/oZvvoLPKWUzRGNpCCIbmzuSu0aI5ENOQ13vqR9BZ5Sssps9I06LuE/CxKvbDjg4 SCGBnnc7dyNqG66V8esH++rdBOxbfV4PBi3TMTff4CLAMPfjnGLYXh+R0SWWiHJvsf6mcvqPwF19 695y1NhCaO9q86zwdDVrPW0PFBeSTaoC73ANl8hdHN6xPG8OqUFnU5W70lNcHoEFoYMPTbcAnwjU hZEh52ZwSjiU86UNPbyeXU2JjO3TJn0Cmw+nyTs9IC94TQ9a3VE3Dfw6dCVccMPG0HoeW3ld+A6u 1fM+Nc38u6rTrf02oYcq/s60AtKe7uLPKrwRKHV3j3bqhiU6YIYaBIZDAPX4B85RGbna0MNu25eF VedArieBKGUL+Js9DDSaJODUn5s/3nx+JWaueY0V5kY0N1bK5FF8HCgYRBtzocU66T3CQwU0uSJx e1lELEq+XfOuhhsx/5PNbfIHY7pvM0YF7/c4HESaXFC+l3+7MCn++jgHgQUxgU+U3FC85teJFuC9 x+NeAw96csXAEZzrj97ewn6Ewg547lYsvb4FkHgWO6d07oOteQL0qVshp8O+Vcbc6wS8EbXZyzdA 0kIHjuox1KsKBtFPEGvKUB35aZ37+g6pCq5rTbVEN4JIrsAlOMow+eo13686g/sXA/0p9SF+aXjP agvTupIv0riW2OgD7V/BqLQGgFBRO8VJTZ7m/WZNLOqBMwwxdhE9onY16rIJsvyHDThBLQd5RUR7 lfCyeBvHxkwEpl2r8nmRztAdVMz0JGhKtI0Xw16BNppApV/xSB2KnRMFI4LWVFmZr1hTV30nSGdi u48tfVKXcvq1P8hziDcCRp9P/DgoPcHa5K33BwGKC01Ru8ia2jf/d8mfHBTaHdF4J8A/sGzVK4HU 9uRBfIMLZ8dP4uLTZjh3zlqvmS7fosy9HIXF1sXNZeRC13zvJDNqCu6P9t6IsuoGlUnN3YXKTcay fpKPD6HOVlrBtphw2GaxixkbuXiFzTWldl9fqBn5Ot3Q2BRc5TLzv48qwJGKt/JxZkma7kenofuc V6wqrdVA56NQ2tDJ9Fz2aaUGueP3Fo8JkwKFnyk3wfdXLpSx4I+is2RiPAXWs03hg3NGt8vyd4w2 +rOxRKohGUedxjcylhS7ZJMYR2slySzyLTrsEu7Ejtk5ptophwqx9RY8pmmOBZDxRFGpkzrgEySP qPyCSn9jyXY4nnb19NTlDuhn4s056H0o9E9pcVFJHbodKN4ZMAGKUA7THmAzsLV6p5b01XGC3hjQ DLylwJQGlelD1cUh+15Rg4RaAVMNwQeSWnxjfU0+EqMmYdi7fJHFDvmKmCtEKKcG3XyQ9ab7BO9M WxDCEahPr9S5+w0fTmKOXzIEPNtZJL94orL4haYMh3xP1dtgZSY+MKduq9GIosgQeZiwi2UF8U2f 5Qumtnp19uQX4+6rLLW5NhJPi8WxX+cFjQBxIcXpjGRLOi5sgmwhdcuSr/hgjRyrTcNcNfHEV6uU Y6dw4Pvyihu873hYX9hw2d2JO2BBYhdRr3gsH1GlRGlex9ch1dxanqMcyjGU8XIoXnZd4DrZmfnk R40gAvZxhDFzTrDZX/5NFYfbIVbG5mgMzHNKads5bMtOqTopr8eUjy5kuJenlyi62esxdfJfojgE 76zrP3dKYOS0a/5pfZSO7nJSAsyidZyD+2tT5UUZgDX1g9CFYhzmtrsqIY6PdUK8j/MJ+EIZ7gjC bfJAHPhwNhs5MtdQHr8uEyAyXugwOkHX3msCWSkVD2SMvPJ1r5MFlo4Xx336TRkVPFUUPZsIHCcq BVjSY5xXSUuRg45SJxaYQC9pBCTO+hqMJufG7Z520EamtarBjScijHcSiTPBU+rvNjXz0jziDgKG XCPXzwNeNO5Ox6oX3i9EmlSq6v/RF7bsY4c1cGKVhfSp77cQc2I/NvcXfFba6DPtA07dVmZln5hh ex8qsTLVm3DleqY3XQob/UsR0CkwjnMm710ZSlsVcOcGp3CUQMtLKaHw+Wz9ulYjxOeImDCVwHrh A529S8NxsDnphNDXgYOKeKut/crCeQ4xOiXcESHnFxVXHWZxGXAfFq1Lihkt1dYG47JkWuJxHnyF iTZnsQh1EyDqopn/gsRxeVkSzI9e3E4QcDigrBLUzhEpRG0YtHB4UQu0/KQYW/jZnX7K4DWcPOw5 gvuJFm+0smjsMPpToA13YwOyRl+i34TlQnB/4AvjqKwEVbP22OPvzURrR4eRabpY84qzhPHiYzis 6N7j8jTahZSY1fEiBBPAEApQvC7wKq9W19lzzF7J9SyKZpDfeLnycMWP0x5+k+Sqcs7zOAintIoL nPESSogSGrehMTC+zy0Ixpuea1K7+x7B4AO9ZzdSGBJ9Mr7gUHkeL66/jeTaZagKjUeWoVJrAttY ufW0WZIcDefVXWr9ij5TjR65eP6RZGGWGzY0FokIoZeCMzVPC9QFGWU2iQDNo6j94HYF2YOFXMr7 6B/POQNrgfO7AybLb3ff2tF5QRVej6AZHNs0U+cDqruNdyAJ1lndVW2BnuIs6QiBn2WGcF6eBYq0 RMgzz0S7wTtwwCY0iGaDXFkQn90qT76pwx031uk+d+uI/habbTtJ86wPkSP4YTql82Lhp3OCzdh8 r6M48nE5RqKR2jh9ccYJ5bRUSIrEz5aA0tgKS0HIOKlkFXSYhKmj18qz4V7DzSc10sEj359gc9rR wwS5GxCtdfNGuCZrNEn9hNNWC8+N8QD7/c/M9le97b0F0wFQaYpwdHuXaTI4t+ahi2r3P7hOWIxZ SwS+/2GsKBd0QlwskAldNNpyZGlKyNWLbTiXPCgkzXK6odgdZ5kErZkW4D7M2hp1OwTuI1cfsNQK yiMArwLoF1MdCOojXbD18f49O0vyw9+sNCOLSExLHuOIb3/nwxm9sbyYsfo8Q725tgVYEERA9/ce bU2in5VDCBA4iRPcoRi8/pQqW7aROBhRgg/J+GgYpY0kx2HeLItCV3sZYs5mYThFG/diiDuTzt8o CvGuGDXv8feb/nWJG2AfFuESk3h+lYPTa6gzP0/GRnOxcqskBvk6JZAhnlmLtQQ8zwk+vzkr0lhs dXZph/l2pss8w0gOpplz1TeqDFLLbpHTHz8FNUFrUnhhMUIR+N6RT/U+5VbtgIcnngmtWI+Mj7ED I0Hgd/hXXvcNzMEWTbiVrxjOFFS8st2PteP44FLcHhRb08sKDTWmi14fvDSPXRTpvrQmJ25h+Hk/ GnqJcmhPdT0hJfLzYgGL67WE4T1kbZgIcswJ4aBpzUwjE+If0wB/W44A/CZm4I6IXlOFfVB27xPh 8rFRudHBKp7aut/ozYW19QsmdbIBA62tdgdBVYpB91yAUqZZid9XaUoCAMboQNpA+NOPBQLvRroZ tH+LtZYMlythTf03TL3UpDJLVao7sZZCHczXohvUryUEXBL+CEnnt1093DfRVQ4Z7Jtc2dOag2si Fb49eFXjva0qI5BkiIkSiltCz7noVhl5B+hrB0aFy/bw9zz6xzpSNLoUZHgj7Vfs5ohi/HgnV4de fetBLNE5mked9YI3sNSK1MpPcG2I5RgTCiONTSWnSvFH5jNPcZd7r+fcFND3SuKwpETHuoQGL8ui soMeQrx9gPwlwyVBKDL0IU3tNELJsjE575pvEVnPYwpG2TpoPcNK/l4zzj/xZHmBmjjZGT+Nhog5 /8D8wqVEmNQZyl7ysksjkKXDspfleTA1MdsqUheLmyxVSaHFWbCTKXd6w7pOY9ibYaN8MbBmuch6 2Jbp7Lfm2OD9E4b5SWeY76K7cswFK/J4at3BhLYem+GhT7xmjbn3pMubkHCi3zmH9Lihw26k30vA nR7ZoaWWjdXm/HpEnXTFW3z/CUb2gCO/oEmyCi6yi5UwfVUUG7iPF4+YdjXzJbf0X7X/PL2brMpb ZLz4YvUBolGIpSLdTb31VpqBRGFcECRYCFc+H9Ave+uSHEDEmjxjBY/7pqf0T6Ts/2232iPAM0Ns NhcY7qrjw14X14XAWwzclnmMs2WPiRLHsOOzK2SyYNitaCnN9vJaX7WR7jM9FkaloRelrZn6J7W4 pM9CV3QhyKcSHYNFE5mreiHAf0TWXhOldplzVjfc3T6mhEOtcXZXjJWtgormnQiHqHRQFYcQttqD HBHwdDBYQh12+jF2e6bivKBsZd1hi0KgBVzQ0V343Uoh6xKzJsUstgfqc25BntVcndf3mQOkZRvg B52ItH/zcohMQk3HF0QzzGKo258C9F5PrxcpU6Limh1CcGRzdCihtgbEZKMSD++TR39YvCgEfX2F 7zp8XdcsLYjFMNtRYfsyN/5sxudKpnOAWpiv2YsqVs6epnWyxfDDrXARCIK81d67uFLud3EMjZTG WxSEJRi3v9iSG5A5/JJuvcQtUZA/mBIqsFsBxXmQl3pARDoj98sNIn4x5YPDrFI37NwAQ9eXq6gb gaa0fH1fKharX6xS4umHzzfmUW+XmK0TIbh2R42grwE+uU+JllfaUoL0N5rAmagXKOhVPc48ccpg oqTnCdj3al6comOCNbMI+RvB71QO7S9bEHvJnBEihjuMA6DJbafhmMmVOPMCZv4e51/2jvvwuov+ Bdrc/+wjuMDo9s9AjbCLbB7uaevp5iiM3nF1G21ssbKKXa9opaKq2SQrry6MeeYvkaeZb7StdCk0 KJIam9bkeazMopAj+QZ53XNbSJPJwrFhkzDKcBpmb4laBGTBoVNjr0z0S9vmLm2wTYcR2xQEEm5l 7s70LP8eYu60hn2rz+Z+IIkOfOS4VixQsi/Xy48ry31pbFfy+fcJR2sICIq4CDbU3lEJOBOk4o4S Ebp3iOBs6HRKdZjYfqbscGtWRBJIlnZIgWwyUr3wvzFw5p0P+ptU/lplIhTnvLpCxHddrKSm+Zg7 MfEhzRkZfssXRupMpXA7hw0MmBqXyrg0hOoNvqyHSCzKkSuT+opcl2R9I11FTy9fiwKHKdh2+t4L NMbHcLuSS8lXVWBpgyoI3yUMgAJG2sgMOm0T46ob1jzEtMGasthu4ySsSyds5fn786+8LtS9iW6f MtqU0WQbnKObvFQc6qoyRhmqO3M3l+wJ6IAjQOvw8FeQuiRzWpPif1LMxdC4dB6icBxx7o0tdZAu xawgL/5bcJGtXMPHYwrLyW/Q++D7/zjNFpevvRcWRPp6VysbePUxXlJCjeMJD8Gr8JKf/6O6OgvL MiFusj+mOQ3h4NixdbgocpELH0fTkuQxGUpwLW3CASL9n68FodPwo8UBP7KwyvI33yq8aZ7mnQWE 2d1ruolbJW0irjnWT1AnkOKi0tU2KZnK4COoyz/VDGFa9xPuo0fJvsk3A6T4cJ2pmR36zpxwD8Uj ODCl5MLvfrtGiXdoUJ0S/LvaKc68hxq7RrMOzD7vyi45latBEaLApaTB1JKWv1Lj81DuqG9qElJM VdbBDG7L0bBiuZUKo7Fka/xUvWXLsr6FMOU4bflsaprNslapbCTWtjium70wxGzgF1jSeTZUohWp qRrM1oSZBt9P+TGBnJArzkmqJ3qFQTXzggKTJhw9I327gYhezz+cy8nvkobp6p20C4K6FUwS5M2d WIIw7RbzJVIrBkQUPDektd6DzFayMd58TQdehbGChJ25+ZMCPP78Cjh/Un++97RzoZVHrSTzvFEt x7HLWISgqGYTXkomI2DG37SalwKWXYK1Aio/LKLu1u6v598SQusURJTpubXrkkUppsZCMXFxsxeC idIzbXh1fkYVWZpWpc3BL/7aZ3S5PWkve1mbH00Px8LqxMLWKW0voXgxs9r0i/voviAAtSZSEYrv /yTJ0S13VRngosgfQl81U/YeYWz1rjskv4r8ya6vGTcJV1rz3Ny/ccF9VsuLyVnZHcVzJDEHrAcq 9rbRi8JOKbzASyVVWmYT0sSUe/R1j9hZbBU5DGKeynA3RPSSurn2ZQNGCzz3poB04wTvAzI5ZAlC WrY9Saf0dutItrhlWf1QhLh/OFIffMmEL6K7Zcv5A4dfy30HnmdQUP+rzT30cjhmGoJtacnQ6GbU CGqo+ic3/qRT1q50rlkB2FhEcWj0PMj4fV9TT2J98xy25VPY2HeOzY4SIP0W/zDwNBqcO/xbkmpy mw4gIxV0SGI/TAnjbf+0qJEnSlPg1XkceIQEk5KUqBiicC5QzaICs3zcKj8s5LGL5JUHGgPVQhTz HGTZOpRrtD3tISUCpQVjytUw/d58tdNCmOzOSwUvCOBcXlLJfLcPt7q8jlZ2iE4KfDfFSNJfHoua etR2j4+r3tKGmwGjNs7KDHfSa2+8MLz7AvfLAz3RmYeoGGxlAKZM65MtIPR8yNoCn4tUwFNNf1JJ THW+Ojyev0a3Zi6U1+CbgzWMUgMLziY8YUe5JMXMXhPhnbJDp7mcdCA4hvkFECzdWTtBsDuR2ujd eqOZedOxQ0XG7pDEl0VnrIuLAt8TmgqILuYaDXDBIP+pCYBn22HSNdvBFhJzH3WFODLidWMNrS06 5p+YVO317X/9XHrlZTV9gVo/AWFJ1T6ypgoXf8MJp2f714FRG6JcoEXSfkawCFm/+prBo48KlKZc EUIJPJg/cW1uOjijJ9JLS0CbYc+47Pr43RA2Au9SpGJATIOhIFv3t8wD3drkwFEdbL4ZY7F8baBL kAUrzobFdTtlHwvqsJz/oPgAQRZ3fFXXPJ3JN5kgpndqYhTkVxRruGm077HIiKr3f6ri7vnkyCX9 F7d0AoznzRTs4W18vAAGSNajggj+Gx9GL/fdbofecX5BH10gDXIkwp7bq/s2xxD+EA1t7Ns/ZlT1 p69eKqFAZiIQ7Y4KwQRwW57NB9FdVGklribpx4LdyFjSysMQKu32zyiO0Sr97Dt8QqUHSO+hAGSD DKz2ByJjZ37xb+KDpbSbWTF20C5Io33rgjcQuUkwCEHxF86dCKX/vJ6zr1kpUKKt7wsxUexHCZ+j lzpua7lokbwwv1dzaYqSBeC7GdJUJoMzr8fd7Qr3+CrknyCAkv5OSWozQhrtlr5DVbHDfZV/8t+z dj4qPNvRpbuZW/ChOGjSNfqtE4b1mJ8ndcAhizs9CPWtni5Ftd21K8d9zc8OT/gcCAzZ8Y74Ui4Y jRCh9ImXP5jB5aIqouTw7yaewtHBYprkVEr+afRiyCdpRGU+b1XgIR0Z6lgVnmcNBWT/DXTrKvFW L23ViIWy9ShevsNw43ue2tqgkvhaesUfqqbBn7qu7RocPAcosaAncllmPJb+HodiebjiNRdg8vCo jEKE0LOFcge14aL35ew1AaUOgLuFwhoRyk02sHqUUR59Z6xe9qzSxxjNWr/ELvWTP6/zp/2b8rXw nXHbjRUyoMtr4QciBJODPILG4gOWNEP4+UsfJcRxOopwhXIhDu5vPS+fnvW5GaQj5k+TOElrQm2D 2jTQc2y1ltdXKEYYsWj0zYOqYKehJ4dI+/0TC4ZFNS4xqVfWTAck1CZ07YZZwJl5ZOrae1tEYGWl Axri3lJtwpWeCW8Dl4bjM0NMXf9V5qGyvc7g3tZnjguRbYpM4fRugdxeZQ2bhJw1RGIbSgV20n8w qIGrV+l1hSzYjwg5Lphvi2o7EhS1obWAFRre8qjcTI7fEZBMevNhbH4xAFOU88Rq+1+DyKeLB0Rh I6OM9EXmXl7L/h9fAc2VI0CfOOzyznMvPZ7FnvYBpwEv6LbnQHWt9gRB2ausCtxRGYH8A05mxOIz RcKCoEvUPHO/B6/HOQ2U4bpzbrLdiSF/Vzqex/yU5aQihZ48jQlmq5fDTumAXtkvkz1G7Uv8Q4ek xqIzEq+rl2hN80RW5AwmMW4aTvRyJf1cU5mk6Qx/GnbpWWy2tYzgAyCdlT7ky/DFK0MbssgjrOT0 YTTEdESqvm14RE5isGzOGXMHhQfYZmi5ucJIHU7aVoy5qjDuRfrrX7hAXGGVh4BDom6UHZq5A3r/ HIOmCSUEKHRzy+EoGkJQYHii3mLVIg8ppyyrWsZF3tnE0wr2b44t3jxR3tcbYa8tE3Hg3Kkhw/fN 7QP0aRtvl3WkMdeYXdXhwgewagBX/dB4TWZYEs8mxUg4IWjTa9ibgsSYz0xCsg43shuZeeBYTMOR 0te8KK8QQFOna5bQRErppRt1+3pAcr6YcFQ01W/p1HoFBX0xJhRby4CMJT1g66Wg4091jGnispZy dXfYocK/JITdEr/BV0LmYj1Vgiib1yOhLkUQw0CQqUaOtghjmsj/lWBlaGBz7LSVIt7Z15Hx0D6f DCCn1T907KLsK1mfBjP02o5SuuzbNGt46qlOow2+5kl+Gs0h5P20AYVjoPkQ7iXz82YEfTuWBX3o y5HMm+r9Czh7CzwmJLIOSJfV7yFzJ2XBvs0Ik0j1VXSxqg0X0KRYNVDKaV6nVcr1K2WZSL5xCbeX dWLkxl7VP5XJ1bu3YqPAmPH6tXLS6rkxQb42qjgfuoScoTuHg6rH0+PngXHIQgje2uscaNCqMEla 0mFrPorFOsxPzbeDfuyjeuU4g4b/mvfZsCo9FakvC+GgAHCoiu6lY5vL4Vm3ADo1TNQo+AHgpXzA As+t4tK+H9lliwsvx5Wvb2A3LPHVLLq85H0RolGAmn3hwX9QDYbX+m2zPPEil4V29sbRimvJutrZ CWekdmA3sZL1oGVugh93/Bl3nvCVdS627V54vzoOzdVpK97+yJwKjCES0qq7G4mj3MI5TFFOA8AJ cj6rrsAv56l/yndlDaTVbIeTToZaxKUucfgskuACgI5xpIT7Fzk8q0U5E9HRiJIC3exCbMTGF1Sx N9N+OMXXAwqNAOjXYhC2U938X4Dx/IaAoo9YTQZ8iotgpXxXujcmtMueJ0xP2jRK2osuSlSK4NGW tHktCXmB9RLQhts0aYIQdSVh+kKmpkNQzSqVai56uzf1tTqFgIDLaf2Or+v9Ulqkcqu2bvKxGMcO nbaKU/CMcmFraDZxlq+8IeR5d8yzscyEYXGWMxOB5KPVrdKKjDYIdDt814mt5bh7Zskx66A8Q+MY wDrShsTb6VsmUsgVokKMOD7h+XDl5jmJ7h0sqB6FVlKTZABeADXsw6YaVWJjMzDJiOr1THmFAJX3 BjGBo5ug5rc+/ZJsTSTZ2TR2/C2uRfGvt+MQa6uGOaWszfRRY88leZ0rk1z1k0cFQ8jaWogzyzmq n4nf4FDaGNoNj7SiqLdp9Z4GNoHShvpiYtYzTQEyMQST21jDBdyZ6EWADQXbk19P3/0NY+XZWltk NVRz3hKMcGs32X1YjLw+mj6CqMAmq3SOldnAq/iMpc5Ps1/yQjQD+b4+C9VRrFBNwXzClpMBvk4Y lBlkjvkjWfuB1zOI6ZzaD5QqesKvwgviEX4YmUvZxAV1uTEI9aEGCi/g8AW07LIsdOXcajIw0cNW YS7R4s2VaNqU9rmpVakl28AUe/rDk213f5O51M3xer4qvnfVnC5/zrSY+zMsrjmwV7tn7dYFQEzQ /H4e/Cnte3BUtYUWaVRNuU/TUH0u+khLlDs/JUEpOPoypsrEcD8cpZdseKuSNPvH3YCb1GJwyYe1 OmtAWFZx5HXl8Ct0t+M0fopwiYGOea4SadJ8TS88II5ziNWWTTK0DTutRp3MEk2Ws0vxRWXsM9lI 7wsxQSjFHpxNLhUXeYeXzWCD39GWDnA2ZW0HLIsrDkg7xmsCJnJbYFYsNmEpeG1R+I7pZLUHyjx/ EH5gJS2SMKfGLrot09Y6fbK3IOJkTOqTWGj61DjP0S27xzUH+09yKZvKNmWwlyVdoAZiXK10uJuf 15/I5RM6WK21Pu2L58U+dN+XaR4DnlTJrr11z3KXVPBShCoa2d5Z+V7oZeXuouk1mOgB/PlE7F+O +qF7g21vapjGk8h/6T+SwqSm0v0a6Oo+4AOh7zco0wpakOCZRLY7VIEXvWlljC4kMMni6gwkShAG wyByn9KTJvHBNgO+mE4s+7TYcdW9HhP2thoVo3jkUwnm8Km/xsrDYe7yqsmIO/C+WMRn08dJJFKj +YlO1RBUTqGnmC+BGxrabvgbtihWHs8V3bTUWWRUgv1H7yUQ3vbmEjJGvxnUX7eicGSo1AqW3wTf Hb8YPz3c8h0/JG3CMfQYQsXlRUkZN8HXzEbA8V+MaHeOp9gFmz+jN18l/1fqbAnJHmyADF1ZxGvX 5eqotRGCQuYNqAEQnx/cfmS+Zxn4QyMxivqxhhilOH5zP15I7jAwIMO5LDQIB6U8++HR8Arw5isL 8Q8zPII/dNj86uO8nsZjgj7DY9AdeHKOE7udXQkoB5SgY8BGsh4DS6oMZEP01RH9Ei6u3fxROHW4 RFXUsnLjLMdOd12lKjD0DhHOIKURvOUjXSAS8kiHseeO6XPHMrSsMEbyj4OfusdwnmZapcKhjCm9 JBdSQ0M0DB+u5bZLXMSlkjq9W1zqDzNoZWXlLdvafrctBt3o/bdpOLZncm0uwnjzYxc89wcI9sHa dtjcHh0uUczDWO4Z6yFlV1q/8/vtfxGzIwv00cUduadg9r9mspzdXbAaF5+RSOpFV7VYMYygblhy WYs9SyGXxSZjshqLYNVcfX/YPu3mK+P69/BIv6eKk/ltxy34ndDA0OBNqOUbxthyRZD6H8uQGg+B N5xHgQO5dMlcOtQFx24Dm70Zt36HQB43GTio8WEMxVUNOc6bUJ3mqy6aa4gPR9cW3jgM9ykYe92U lflM+2VyX05GhPJUN9FQrXRDcO/sJDd6RXc2bI+AYNWFqe+gOBKH4VBI2Eq/yDRl4IQBcPDkAM12 SL+MVHnsLsimk7hJwOI6wfXwRbt6OBaH+z99R5cHqhX6CE8cLhrFV8HGIhMhqi/HgfIlVVwTZAAU 6jgJJgdciI3nL7GL94yMARRi4KyUc9i1/x8WjkysNaEk4eLkOJro71m9/5YBc0FFNNX1DUqTxZEs EaFgU9snoiGEdM2jjGmOkVF3w+yewQhcyKCe4+vFAXtXYAgv+OAKf8qtvOI+7MwYoW4xBK5evoAv hGW0boT9hg4sgI0dy0MB8c3YgcrrvZR0j1z/lRouarPiro3sqDcy6Ra/m01FVixNDZILOgUd11yS 1F2oHBVb6E2nqOoZgwZV3br5kE9k/yktpfX9bX8W5qNuwLp65ffwveouf8z3Enge1VRBAaJHtQSh piW0ctae6l0QMthR4+vTZQnFJo6lk1XJEbi+m6UtqLt4EYiBeVLfXZV82sfcYT0Yx7BedI4mp5V1 9agd/Yo302dY+uYBJ/qspBJMGHiN78HKnv87oMeQ5YE1BGzBlEhIsNlZ5bR5EOG3cp1IJBexsOxs cO/fYVC33Ag/cPyjPmceCqD4+9DbbedBgvXKWcAo8adTWAgumGFeDKwiRQ4m5/YfOMU83YHI2Ej0 9NbIsWRweLRZI008omymLDDSuJowUAAzvPwnUjvv8fSOit7sUOHxdpJDrP83PTUAvf7fPN3xzSa0 6fMlbRR4eUwpV0cE4xAOlUEDA63iqBm5RhXBdC0wmgyWOGFqHcpAChWgwedxxtNAjwfFy5nOa6xE rkhi19vAfApnRAp6rYLN+V/0o2I5ilkEp2haKN2VjjIQBXuxNGEXjMINvBE34TEwRCMwlvg2LKvG zZBa5iFNBl0zsHpsz6S6DhJwb9cRI1vafH2B2XlNAY2wxsXbuT63ENGEcMStVUTIGYShT53x8Y7L UYWKYLuCvjO+h0aNp0RZRE60ufzP/PA27sAZiNZhQMCb4x9UAL+WMdF5l5huWO6ft5kDDtMBLG6e 40M2LhRXyN/ckEDFHvMtNJO9AobvrpxgxTmv7uOzQO6teUIaGpfzzZv71Ufv/SaCQO5UXOCmX67v HtrOnO0jSOolqltveweH4oNtJzscdZ6yXt49UBUZH+EMd7S1Tv24/7C4Kn8L4Sa6pXFAJn3WGSng 2Ln0Gp13uz6xTSLvhCbZpPbTY1vNB5Hh3MPruikUzYWy3WArtA8PJspVjuVxiEdzC8CjEUqdBcRd WZ4um3uRiXVPBrLjWl+XITEx5YcA/4M7j2aCkDrRbSRS5pSIF76K7DrUHc1+iqhYA1RgXwgoeJVP rnRUCSK3lI9R/FgAOFjwW4uTWUykz5V9naQFw6mENSqi646uVfn244mEdSCRO9YkqF1NmZTAyh3U zWPldHSmvZ0KkEdKmUbLBAR0Dp0JQVLlYnegAj7dmyVJMkjvEnigoRA3FAFrFWdPiMeW3sv/6y9s X9BZ63tzjsVXEkjn+PkfjO0vVLQGLKNbNydWdx+QBOSi+fEgfsjOv29Um7MkapetOtuU4X3Ol4q7 gEIY+pz7VgFhOfGzj4c8NKIypSeZUAPVhNAccg8CQN/TV+JV3TLUSrFJhoxz8PT2u7sCMEU3QGX+ AJAjp2Bigs2vvM+YljTLdkfVzZ5b9hzQFWGrWwQg/aFDQ4pUkz0x3g+UhfGBGJa0qvwzu3lsWrqK rcv28lYIsaijIfSJHXJ0P27SuhYm/6C1ya1eZOeTgPA92qpDJSqoSOIjI1sQ3t87EaxroMVbZuz8 jgOyL5FEIr5tjjERaRmLYlpYZ1e6u+tUv823QyzHHp0ZegnazD66QqvLKaHsqxXDzPthXLmVisCL qa+Sp26j3nvJey8hn3tqmbxrYzH8Y1XWQIRiq3L6NmadC4G1PoTr0wNb2A5ywG43DHkFy9BZDIa1 svpAXWxgI0Bpjjn0atmJn3tynQoMta+l0XSLL+TpiGngGqcG2kI9oM04wy9U5xUBqk1h2X7odcyj lv2Ggfcx4z3Ggf7lhqCxOy9MlBhP206nTiU9utw6kmmu7HRDrFtsdyX09xZOPl40qzfUtJfDYbY9 vf7GY024CpI4j6dlfPFnzAUNhS1GFu6scgSkpS/t0Z7uIeEr+ZUMmr7duhTfCFL5+M90rn1Ey+kA N4Uncya8MSnTtgAi68dRviJBhoAZaypeY1xq1UGnNp7Bdy/IoizSjimXwUnXIzIk9+V0CzY3ni5n I6GVTRBFDGZaF4plHVPM6f3aDj/JU+AwxEitkJEq+ieg6US1Ws8yL3ehLQkEvxyDDBrPZlHMewl5 dsMqkwkQnNWVU+VEOO2vLIJJTqjz/GGwhNEbzvCY/Yiw1ZPxV4k0fiMJMP7mQ3B4DgWEpN1QcUpu gXzDNiQE5bprU23jcUemMJGdeSiLfppUdJgb85xsWwhMiCp/IWnLRSaPW6cL8j+NT62vrsl6cEF+ MVBba9EiGd9Z4oAfIGbx+rr4svJexR4oFTaO+2FOjD/Z6g1xDCpnH0WLjMwGHFwNlOwZRZB/OFd6 wAapksxYcJUxFfXMg2jJK3YQUhreyfS10dMl2bLNML7BhgbcSjAKPCVTT6iCfQwF0l/bqjos8WTq Wo7iNAsK7/YvkiOnfrj9eqvHCypRLSD344RorX4+bvfQZspafB3Vn1IVtmxuAICJ/x7b0m40SzfJ woAMmh0DDg1P/qB2Rq3CA4RbQUipAXvJRiIq6dAUGGrocqw6mtLDbpPF8vHaqlsJTMmzLgEgHAWy ZV6eZhhzU3h6lYyfH//feTY/ed/vTcqhjCt3D+RP4RNVCosTU/9O4SqNtPZT1UALUInPdBouf8Jr SkoAkgt/cP4/rZwnovF62YTx2vgmRbTgeR6mEM/NKAhP/Owarprogt7pCiTPwhqYYDDCCBT5rtQf UGAxulgHe55Qfpv4CtauLf1dOKg2ycK95l83woWeFHbCYSUiU35IXTtcslB1Ml6KQWCbMqMoxHmy PoeY///tguX0DWXWo+dBhMHMw/+8VgMhBSGK0H4Jk2pNn1ZoURLIc6q7WGPTMOA/wGTYcsjA/Xp5 N3xIhppyy0EVUKLkhPV6mc5sfhO3bZfj0DMCH+0Y1C34Mth9fvlcA2QeaykP/NUfDyYjhZW8iuiM GpEZkpFv3Z5DA4URKfTGd5bY6lClfNKBNiy/+RAxahDO1bUS+D3PGqUK/Ntfx4pjFi3xK6h81rDO O3qPZY7QZKY3ESLt9NIJxQcKn2c/gdap7yC1ytBEbLpMd0ONQAgaKT+Wqb8yk9KrUAT8ZtsDQxgx YuWRAmhp6xXBYo6VKOWEUxKBA7EjUWOzpL4HthbX1TPWVrePPQsbr95cVyQjFzCM3RtgIU4K/jpY 8GWYGwez/o7RNFluevH2xy5P5Cu8K5XSs/d4JjMwvTJJckumAo0m2ZpEdPUvKwVMFbQ7aXzBiqlV VGSNQ3H24ecHVuujormKp11x530jpyU13d91V8H/ORN8C4GSMjBmzCI8kWQPWLDj0i+SeAvfWLk6 qyFNKMJQsw2DVfNYCqU2RdyEhXEIRSOR7YqNlOwrPEOo6wZydXJCd7Tz60bYBD1KT20VJYoRRAOF ruJN44fXiHMkLZ3jkAt7wGH/JRRW6a/XEkBTIshwAMtIhrSLF0FqRe22s24sKBFz4XSAlGcS4NbU DXefCm0s2yjDdmkg3A1eEcxQSaQviDXxgvo83T5po52ZWuwjhBu1kA+h5cZJ9lauSQyNcX374xWf pG4mWYLzWMMhTy3BfSYUhH+Ot+AlE0yberPP/rVl3nsPyTJxCbftszs8mk1xMm76DRNF9trzMOoT zM2vKw0EObSTMF8FAA2WuJdZzbXeoHyRZa5E8mo4/84gLBIkU4UeBvt1aw+d83Az9m+hvk0jLfdZ xImJNvut4KoP4nf1rwVbdXX250XKNYNxbEnu9wfgevZJROmwAKWpcOq2afOVXErKSupcjPHK1AGr T2KSbU/AZchMn06JjWABXtNJVDdJR21Yw0JxQvKS2dOdTAmkbH9nMFNNz+YCoubAM235ZEvt/zGC x3u6r7tH34YiiIwfKJGS948MZVmjQ5VvyH+8Vp/8y/IGmEBLykYWaT9z7fRI9tLeCRGJZq/ZHADc EpifIJIpIta484JZ+7RQ/z9nCmO3WoOaKWdM/pNfXsZjDCISW+UaGkwt/tzi3uSP605oTOWoCDD0 wHzIncTKlpEDTMphhZF8BXfQNU1GngO9eU4bj4KPtFbhFKw8OuJOsX2QcvBlZcWZinrcis9FMYjQ a9TW9/2kae0eSXbQgETdsBzxoKBY76bYncvsoZYUZvV1u9HgFteAHIZsVk2daTSdkZvidHQDP4Zb 66+eY1KhSy3Nmn1cyDSmuQpublma8jJKmk9+at9r53vXoaQLYWZ5pOMPA5KvwOPHERQi9qrZKmKc tEyyAjHBpqom6aJ1Ra3Xry+l6cwq6frHvhW6XCneUFd8DQay80LtI3+iFYfvz//2AlBDKKgOPhqe DQ8wvmZ/1s/5gEQfdkJHxmYhM/l2fnF314QOOeu1usSE4qmVlq6isJ5NgZ411GfChR7f0sAlaswC x1baPLzjQF2XhKCFHig9a/PxvbEVPW+2msuqKC3jCllG4u639czdgjuO09zeJIttCjvksxCfJurE ec1UbvRn5BGPziubi3Q6/biBZyW96SpihBPlO54A8MNw8gOqkf1taSkBb+6EyrnlBYsW98WhHIHU 2GdGOacLduweyzjr9BYMZeHyyhpVTHIgzC9DNxnh7KDF7Iz9p0A1Z7nqK/iHB1psh79hIa10drYj iCC7eM4COt2wCGt+mlh1RtQXAE7dXvAd7Bq91Rz8RGWOgVY8+qsI5UZV8/YCW/Tp4Ox3r3uYCO59 eQiUOpqvA/cHZ2xYNsFklIZbGQdPeUt+OzH+P+aOblhEjb5kfR8KRX23HUm/e7bhcgWVDcFvORvH VWxiYt0yDIr2mbXqfUwNC7dvKKOBuAcZ92pE9B+MbeTd0Nc9AhTKrl9vfYEM5IyRziRFcg/4o53Z 4kBgHkSvb1eybO0Pbgw1y6Ss5kQPRWFZkOGoLzD9rL6iKG7p04WYX4GIhatFQjMNE5jVQsTD2BHb aNKCHVP0zQfP2eNiNheW6EPJOEDsGsxaCW1cKUHQRAr9wxaDwsSCm3MzRJ3naFN8H1RnZp91dQh1 2CP2HC72OADlcdFTymfP9964Y70a+FU+qCbLIZgVDLps/y217wOd1GK/+Wumki1iyRlwPX4y0IVI OyS7YX0lty9b907zDynpEbm/Fs1TQYUB6MD1uL+2ZuvVwRaVqkjqviIxB85JN21gvSv9YB3hrcdj NWksT+lH5EltYftxSBx66nhEcx2iYwhfkpYXDDTEsil8+82e2pyf2U8KOy1eDh9UgtQKXw4zVoJa ODyRuAxoOzYzjnUasK4/D4A5IU7UPoIMD9pIQdmoEG2msCMP42yyDCHdsghJXAZg4zhF6cQnGOaC oaCaYFcVl96ne/IjaZYBOvvDlUvwpqXLRhIIHsihEuO7TLzIpXqO6NX/egtbFy4tuxdKYvtrdhff nc5msPgkcc+8Td9uPBfQmbeTcUWmUX/yzixmsyM0p02tjCpZ+CmQBOXE45SuLsGhUCOWbbo+3Utl 7r/q3aJsvMNawSLIzxgb4eyTIQre69VOEsQsd8K6+iJoZh4kTx3cUgvbl0voRvhVlzz3rsn8ROxA H9/CZf5WwQjWbrmxx2oACOnctOZpF8I/EhKeqKU4CFSAY0VzabyPhC0JVICQ8ULfyN/jUgY+Kz0T z3asypqqfhkbyy8EF7gmTwH6jTGG0nUyn2P8KA4OeWihtVZRv0YOq6NrXKIRTq+M7rnRqTvLr3sT 2UrlW6XEMITxDGhszAf0LQiw9nGDE41Snlq46Zgf5WqPTh6ax5VWIuXLVE/FcHmzl90YiamehEij TfH/fNtBMuy7ZCDPbByxi6K104mnhdRn70S1PTfMJxbOOGA0uAXxtE8ec4viO0t7KUsv+VTvyx42 dAClIYaqlqiJOvi/nROk7eBsRj7pgvZMUqBSClp0pk+COcXx2pA83fdh+hNL73447+kXKzHtPgHR UJXaArAPk4gMkhUm8X4uYmZWigCx+Zp2DmZ+vgLwHezt/ETwlQAoGZcCvFQGZWggMKDXmfxzVnSA B/1mxmYcyaLUDrSXuK3STfg2MmxDdwyxsbbQh1J+JH4i8PPiMXQbkyhlxrn1gnFkxc7Vi3p5mHzd DyBeyKOs9fqtiNZgzMen2vw/2zJoL/qiab2Mtd/sPplI+QpXWL2wGTc2SeauAyAoZC1HG/iKJtjt hSBTp5XpmYNKT9CfKhQZd0J2OttThWPt0iAeivdQcVe/V+cnBAW8P5h9YWigLV9sqS2sbqSvvK0o gSsusaKBK1B6XjiaoGRBx+XXGECe3H29BCY2HwUMKwOnB+8K/aKCKjFYI2FsHJm128aRDE6roxp7 oZWXLI1YPDMbMvstpgRucQ6pHwyw0jnCsf1ojbbzpVJnKncAobieKTLBTIkjSkfhd8zYC45n5pMq EuKto9sAJqyg8IbMEOp6+ZsQ2tgsZlGBTufVaPkgvrV562AtoRBcdJdMSmHuSrGc58ha72LOY+an q8wWFENYMmRfiUzGuw6oOVq1RuacumCAnFxtVxDsno4NNyK9tuync3T+MuYPyuJ45DN86lkenH57 CvYYEC11H7HRLHdMO6Rva5+H9iHJQUob/jYBp7ZdykhKZaZ6NudKWaAiX4yOJY6eOIGjue9Kzj3y aBt3L+0tIVaxxnrQo3qaxmHvQahcbq6EOhJs8HfH9JYNTB4oS8uwEeFGZE8TiOQROyIj0f6ES9w3 KOf4gMwgql2hFfGDtxsAMhbSscOnorIh7yUYC/wImRb/K4bhm5YMMIDrWKIjlKotL47XFectxDjl hh+wOs2VX1Rn3arAA58rRDeE7VoU6zMGInMgrL8rzRnHhmPuDImHNTN4A5ybWmf/ghIdPfKoFpma ZBalVFH6NnZtuOeZ0inKlSzS2kHFGgdcL0yn9QfDXB1A+Qtff4fhw9oVIPir9ZmCxvcoPpcuS43Q KfBIvAFZ0ToiTZTWRkTNQmzEMufS2jxuT3os8eFy8hHWu/G0ZRCu7vieWzXvKpIaQenyz7EQJUNO wKbB8Ap5LhZUbxCMFJX+zLHQipHlxTnxKZk5j/bKCLVgCGig9Ew/6DJc39ikYPqigiOGgHAgq7BS 1K2dPYR8Bi8Z0dVKnYRDKo+7lFXPIiFMaWjKppwSJx9u4M/QfF/FXV23DZVxR+7IxVJU8IgghEKl u1WYUGPonFtVT5K8AezQ+LhANQdAg/pCDzUbDgNglM4fBZPKXUoYa8zA9Y/qE9Wq8vCBZ9kz7St0 bpBqfr7QhSTGgOxFkfosbMxI557+dyNg5+VW1vTTthjoWVkUc2au6lHL577UuO/GgeKgCd2gJkk0 f73SOWD2znTuExsT8zSvijIJYG6aftVl+usf6w84/nZkYAYg9PtWJFjCcpvCMriCFEbN3jqvqKiD wBX1Bnj8GYAy88O7B4KX6xNWAI0kX1OIrfFV9wkknxF3J3H6NaYmjGs8YjFaeQ3eWBVyawBQA0f7 vO/h77KMDhBM4+zDNZtL/wgHCBJCpJ4E/co8FF4EPGAhSGwMWzI8kkND4zJ+5ZGvFAp62sjrVZj9 INMuIw5isrKblC9Xya0Jl7IfmyrOAQ9EzSFKMJuZXx1fKgahWrbwdc5jaHHVlAQy5U9M7IoZ8Axd JmKgeG8vuFTq7gliEXFD5eyXdj4X668E7AfeWu9ros8QGn3NsGuvEAvUf0w5YfU56P2GGj5WroYo EjoPIHhU3wL3v259bqbRUhNoOcNQu8DBUD/d24yaAQzUuSUJQJHGvPnCbLTD43M/sbcsdBP1FxBs D7HZ8wK6TziSnlOo6jjT50Ek6+feNtgb1VM+9unl8OfNGNpf6G+8hq5eFdZiscp7AeSkOZ2E0pGS k3lYB8H4uWguuWO/durRBa7WSARGa+BiC9X0amUZgdkr9BYLaZIzJW88Jz28a4hUojgskXblv6F6 xMdsJWo3qxasLAPfeXIjxJc7vaGHa3/M0jn0NxDqhEzJj+8szuTcXP7/St3htgQVBvWn6LFf5VXJ ygz8W8Nx3wlGdr3ziA2Q872Y6dtkYvTubtgUQxJBrw6mB1PAE1R3ZnWIh/+luIU+qe5LetV3TYOR ANcyH7Qp1tl2naM21/oMF0sKpdbI2ORAiYTeJp1K6Ox4+iGuW8z55+k6AfzXdvkAD2sJDGQpSWqn X8wOndbCsgH5N5XzRDOOoPDsXV9dNry4ruONeGsbVJSzx2ov28VhfgxZVhFkEtAFxOC5O3rFW+E4 TtN59RvaLyh4YNav+S1eYxk3VY5l3lfSqffpYu0Uv3yKgcgKTlhXqDKNoUXoDYvRkWmgKBnxyvkb EwvIDgWYagraxdMazp5rnBIrRlysiW0hBK4geY2hMmUOMAxhSXIGC/RqLx2F7sXZrZwDfuxU2Fo9 0rnm1F1FKiu8GK/N6UQ+laIr6sAIlQjb4Va3Yan3ljqoajesdTQ6RmVTUSbGi+2L1IgdT5FyuuWM bZKkQONnc6vffngDLf4XxDNQhmOj73UGMdjGkbSwfo3ODRUFVngqFI17ccL55uwCTK3QcKYdFi+N wgtkBkoXbxE0VPCPul70LpaKSPGMkd88CPkBq0od9XWU13ypmxfsddSsbvK4Sbo7f8Us+QQijmfg e30siKjVS9l+ipklGoV23OA/2gZXyz5j2epl8Nd+0S8W57FK1Ia3HoHnUZmmFCvL8jbUPr+AxNe0 R59jZF4ExD0q+ulRyR7dacqaw2O+GixcwqfpR7g/Iiw2cqvR1oCYlb7C6JX3TAqReHGgvTz5eLO/ TQE7sn2s/6KKL/8XJUnV8D8gqvnsxud2LjkFkqCPx1mnRcOZgSZHQF7oySiqerocAtmUWQkrDOQ3 K7LjDQ2L+RUPfj9MgC/ICccYaJx1y0AnOVEfarUe7871Bc7lwk9lExA7wlfY1THu8F1+/tiNJbNi pvJjI/WXUDHcDMwhCHDexzW6V7PsxOuKZebFtxQgOtxIYNcZMgfx00Zr8l//Pj0XnrUldb1KtU6g 1mLKoJKJdWrEbXhc6cYdFz1naQ5p+iuYZP5XLZksm2kX1p5pJrydyUXVrzRdZ7tvdSYnlw47yJJL 5DuFua3Fzc7NvcbgQK+E1VQSjpG+bLf1kcLHOj9xFJ0cRf8lbgoSUwxD0cgjH6LQgetIKkrphfcy EejT1JbMMs04ZCWq/GFCnLqBOFlCNcLFi9W7eG9FRhXnGqG/K/bGwKUJoLSRZGQLONMbpbsTBzDD iAeLFdiwXBM7apCuUAzjQJ+RRf9aHmlgB5ghRqw9Hpg+FHN0lFkx5GceDc8SFnW1NMNwUgxfSN04 a0q6aiYrniCS+0OXGlgjsLUWKqBrsCBQYxu4XGGH66GFB9b3uzAAiEVGbf3Yd/+kcp9Ah2L/4HjW VUd/rYGoiEtGwnoRya6RKcFpYBlv9cbgYYVdrB1SPHskGw3vNf87K59rzdFhckPCDKmnE0S9VaiA vvJHUG4n1ff7XNiJVKWm35nB1cvzqQAXy6Pur+lDMU66YbsfbpgQWbNswnqvDSLqfN8NCzVWmr3l 0r7ZDD7Peu76GfqtMDg266g8cHVkUhB58r7lfNezH5dBvXNgbxHOakeRv1ZAprMeww5rU2RC/03e +X5wLBOilehR6zcb+h2BwSRbkwvE01A0P+CzGTWfUGCvGI7+PpbZJ+M4Dx7qlJ+DvmOlOHopn7eg 3s0gXICfUIfj7U5x1Rye3vMx0w7/dlCRcgUXyVp6QsxnHOOti1K6U2q8Gm+fsKl0mo+OR9Bjkf74 jvXriZoGEnSQVLsbsgjIJ5RC7M2n80VICXW5y5cg5snKg/hmNiEy77S/sIU4gF9m1cbyhQdXPFuS SyOdJ8ZA+vUPygeMkpLxfV+prH8wN2nKd0zV4vTqhiHABI2Gp7JhE3SGrPVwNzbsbxADEbAUab7e /WKvH87CeNE+E4RrIQzPjGBZ0dqP+c9evRl0SqDHFzoKMlSB6p/8W5CM8uX2V7U0VdTOGhoiSj1G 5zMalLgmzw1OFaJmY8HeKRmsyZItmyn/2IZJEz4tNMvO/uFQNcq6z3BTZ9u1tCL6qQTFxCuysa1c 1UVxfdwP+3jDR/SNbzJPiRnqdS35g24GpR5/kBfm/DVT9JxuORySID9ACyegsWKtadScCE9cLLqv lWuh1Nlvmi7/3Mmv2yCFSS2IiqJ2vjnCVC1MtafMBeYJsLriD527KKcB2wB5aXpjlG9YvhJpNc7x IYaXdRFNlsMN+CiNc1ICWPBpn8drle9xfgMAyLJlfjHiTYLaur4ANTVQ+/wW/0whRdO3NvPkGQXh 8hzUG1YHOaqSMDeymYj/PWRv5U5fx1XUCzC3DvMpeWCHb8TNFjlY7eWIsY+n1SsoDSlcx9w0WC4y BU1CafoA3H0KfLJXlfmH3VfLyj+BEaQwvlOfa8Pp7P02KXoTjoMDxPHbrPt2ykvdNQYxkL2oqYnr ol8gh8ZEEc7w+KUWGaYaiXsooMF1wdNQNMkSJysM4ua4Q2hIPbZY4pjqdp0YkSLRpKAsW5XpGT7n /59jsasjtspirPudmoaqHX/Swnix63Cp5b4uXGJdDuAdENHJvv5FAxLykw4CO/YiHZNPrSsECjbd 3e0kXh/im407l/kovsO4XOp8ohAUVxtuvMaoCaqKYzuPGh9cWAjsoz+JROcWM4dM9HoVGotfBffF hWjFM95iC88r0ecBNUGSOZhcIGz+8YNqjfukKJctMQicfDtvNmil3/KGQFi32XgCahDGsLhyi7AI LsdwTCfGN/njb+s2VmGrnvms5hDhrQhRTPbiOnTL4nefLt82vn3KmS/ChjQS7N0siRNILlFcbj+R b5FQuCEsxWBxtfmx+2hDeN1+SBFgLbrcHzir5Ju6lbkCmGyDrJ2ikYLC1t34zV/2kOHVdNQ+oXRL xAitN28P4o7PIJEvK/itb2WoqsG+AeJvma/iS2gADB9lMrDrfyu828O2jIA7FGm2LVI2x1pmmkh6 Os33S8glAd0RrnOdUo8h1B1CToVpIPAPrM74QX8PS4yE53lOajlr/iAcsGfDSiLmox/Xff2LTFyV lQ2oFCtRsDTFsNeY4aTHqYnLT9EllFozTFPtF7zV0RVUdf5JRH9L88/jB1C/uVxFsoDikJy+qMHG tveYQDv9lx9jLhHZ+dw3Sn8qbcXWANwxs7Mbd7ckSDAtZTsEq8CetXxkpcC4LRkF8OKOr8W7it7d ND69kp6JZ8gicnUJzeAue6oPEKBmyj2YXW1Pi4KdK5hbRgzaFprTGSDpQvgrkJJuqAhfVIh9x+hs OmK/nhr2oXEQMwNA4Ql7GktrLNzdL85hqshrqZqq1e/R55ZkyF5NRz0hkF3/QuLY+x/4uMbE7WsJ D64CwoG8IjYA2s26BO0ZVqre0UldNLl9Qp/7kjW84apQdKK2cNe/i6YdPldiG8hyjlcHh8RLv/qQ osbozJL3hRsuH2ofNyD34M35wKNED6l/nj+xj5tqnSPadkbrGEPvrk5+lkewSiIF1VvixN4nP6An SLITD1X7X+z23cxoVT7LQsgfH0SoQM+iSN6qCsrfM3qqAr28+FeWry4XARKOYt3FaelXN4mprxV7 DFzWNiD2OAJnGXWFIOm3KDfsTClqw9VGodpAqlMnhjZMziMDsh9AKbb4VMej5+TksqwUihT1OEMl 0nh41ADVeQl5uh2YYJs+0f4keJF+p685Bws35CZwHhQnYVNP3en+LHBQEDRLLuj/bWsrkE0KIewJ ewXWnozQvQ53LP0l2Mlnz8bnAQO/8kt+ZGbJH3WJenD4EFLUb8WfGtgSWxC55XuWasgA4u5Dz0EE l+ophbiPVnaAJLWlyNXw86Cnihg73xHUnewuZ4TMKJ4rhM9IUtCevVIDzusn69edpEXhBU2tRG1g pk2hBA2vfcvxaTHspV7QNvn5P7fetW8FpTvykOLPRt/8ufHFyb+pTYyuuRCIHnNmNkU3HyCURVTC slpJljBEA7746BxwNMkjcf9qKp2qS+fsVqCZQW+ec4UDqQnuP7LJo3SXJH2moSUcUt+GVI72NIfc VJi7TqJWNdjw/laPbVlabWKU143m4vMyKYz0D/5EAdzjmEh0qzxIR8JYERKxrnpmBE+2FvYprWbo 6aS2EMUyGk16828bxzUfsvKxqzdjKh8NeA7TwP+/dNLiYI1ANnPdaKPtsYbDMa/ix8MfrNVijt+n IDeWWD8zPUDy71Klrbx2LXMA1kt3QunvGCFaVDCrLilyTly9yutnDF1lGuYYAndVzKZVkcHH9Vpr 8GAdppT1l0VoLrk5jRhA28e5J+m+bpIH8jhw96ZpJl0dB5gJdzvBISsYJRpVrQTzk0pOXquFmLVH D8HU9vk0M8ZuZu8MXHyqfqEoX6ZCfp+SWBPCs6vB4CiO7ANKmbnfqI22T/3WLoUYX1Cwy3Mz20pr 25D6/wyvv18WpHvFVBDxie5Agrr4h/Q9HyCNoGfg8UnpszeqOSNkXCtDpscenCX1uelsOYlCjeNc an2pJmBGV52XWqB3sxgQQTVuXUKAzdbMJCoC2ZUVLQUuDiA4QOxXeezCjRDBPLkAaCj5HXLo4KIJ m4epd1YTBtKG7zMbfB56IUS6KddS1xErqc7e3c2qrkrVnIVpJc+i+P/0LmecZ7VV6tRnpUT2a7/9 fEom9D/f2q1fRJJs3+mzesknjQUWHN0WygA000Wbf5iM6HZlLgGTrQy9RHBeFQMfsEs//CFmOiiz TMhZwCmAgaueHB089bBv5b/rTIckdUVxlFs2OJwa9JWbtJmZjPeauBIfONw6D18iEX719PtkMs/d IL++L+ynHk0jK/6LamZUDSF7+Ondoc7x+ix1FpaxjkDhg4CSgsRAenH78R2y/LmSqwreaZG/hszG +x7EpGGEGPQ/8bUSwnNegv67TDcXTTxqmzW8dsTkReRzbpzyU6XzMfFjEkqtH/CBJlGVbCoXNwEa Lhgdlo0VTFy910GUpKr2h1ah3zZce8ZDF3XJ6tp5ZSAvLYdloD8hgkSO818uhetIB6g8hEUBR2fS taMKGUQ9a5uuTdUSEiOODXwoNz6Pper7NVAinmUqce92bc4K42cv3NVFeQRK3ri85APF6MoOS6HU k+kbizL/8cJmmKWrWoWmuJDAOUwIvydKFELRn7R1ZRsha6pYObMeJhucalSDReQYV28mVDQsrIpq ZEt/C0qhsnRyrEnemNfSjOT//mr2tRDydh1uM9Io5hdqI4WRJ7P7Qo+ZRFFfblJCXQvkY4Lm78OS xaVglgWGZRfOTFBbT93GsY1VNumqvRWySxN/XuZVMF0qCsnOmYOqLUfkC1WZ95kOHFMZKqPGK2SQ ffifCUeWvILoR7UxCQ507BUS3JTqxetWY8uS3C/2ZD+QrrCn4MGCVQfRWZtDFAm4+Ifg3aDuV+f+ cMoVQVjQ0eu/LCdY34J0o6Ats2+jLSjNqh+jdDXN7+lQQEyd3uyA7ewP8O6EPrZ8hHIa+kVGPQC9 e0awg124oXGcb6lbqtDVcMH2jQxlAJLXJ/r2JMLwQvs6qoxuZ+e2otDgKrAm/X36EDThW5AIhPA+ /YO73BfKCKAqAIMrMrv7Lr8GhA1tmlo1+ygwtsEuX9w5RC++mz0f0nHZu/ouq7IMmgLQ/brTVW4o ifHFb3xQpyOEX4z/Lzp8910tIGfwuvN7of3iRmlIWPbwsHgO0HuF90xyvaudvYOXHey/PqA8fl2Y QecVchF0bM6tGvF6B6nOszaS3KB22I2mWOYhMwLSPrzUz3yS9ZqX75rriS40FzLhC3ZfySw9TF01 yhrJdAXXksVss5nQagSgxTDRKnurjCMGsImFJjOkAgqBPsiguXrx66AgSdwdAZ7vBYQua6/rgsBn 7rajneTLli/rZERdn6E+PLOuVdJ4g176xCQqrX2qIkP/zfQ05yAwUNhqyR2UOy5HmM/CaxYZEXQ9 g6kH8dk0neTYnypx0YdTB5FRyyx4JmUIcL0FIsoXDKzTEQi9Hhl5hGTjoBRHTMPMAB+/2nJ5cB96 fPxkpCP11dhGHpx7LfXH1DN8kXt1/Qzcd1mMdvjQRvLwQLaO8D2dN7Li25NAZhjcZv98jqj0ny4u FBD4uBHW648rHeEZrXe3WG+CjqxWqpzsmcpx4L+PCL1D0tHqVhXE+bhPNhZsoUwrcUoEcgvh0c+N 5iB/NgAiKreByW31eRVjCn+eFIuNRcGJo89FrrWsT0KrttrdyEI3WTYUB0Tufg3SdKOa/sNQ4tV1 BUWaGNCRAbesoG1JjjWR+3WkKmkFv21RQOwn8xKq0+VFe6LTbecQ5Dv7qCQJ2VkMK+9jYfJRJbtp Ywx93R6kLpBRpJj2dc6X6xjLjDThX8GAkXDONSfoz/Ts2CBuNrSrb1+8fsRaq8vfjIYnVH13Erz3 xIit87BDsZChL4hZ6XoNYI+cyU9vZaCLoTEmD6P/aWvERnRRE2P2Mmuxof8BksEA4sHyy2wiataK NH+r5PehkLLBRDNzoJhv2+0yTl8OmOlBreWYMhvFuOz7WKgy4J2GN1ETTpKcLRnFCfjrnj4VVmv+ +Oz846q2AWhfkz2c7OfGWrJ6fWOzBPWnrki9FSqM7sjqMFFdpM01/Wi91E50N/vjL5vF+QoN1pU4 O9HD7Ltf5OG4ujDAsPENZwdrhzW263gMEOjmz7Ly2+depnTqcu6KQgaRZhbwX1on+MsZtbwieopc Xn9fCkXygEMQ3sEgfe1mj+vszcynJ4AYOivJaP0hNxOLzbFhACt6iRL1+nD9WL/mefPrwUTx0/l1 eztMRSAO+XY1pEGRHJRpWhLxGuSegORMJ8nvW0xR0zwJxOUQqcJ0MNZss3KZCoeX/1JWaIW4O3Yq pj8XXnSh3u3r08bAVJ/FILsnHhXazCSVskG/8ZnAyYJEbK1+6X1oVXWpmEIPMUc5xSnQVqxOQLH0 LDvikY9UEreV5L08GhnqOfvWEO0AYxgeijWpju2pG4sCfOLkXOtj9kx74XCI3CwbFYsOiTR971sn yQNtcT6ZGNZA9c8n96cLdibG24CdJmoDtUiQ6WGeJgFYem4kpCbzl3+jP986wO4k2ydSt8hSeW8S lHYMgXdBXqDBlkuXalwSHpHHoX1p46fe/RKqhzYdi00zHkRdh/5qJNY5Rm79AuFmnGNkjWyrvYAG 1BRwlyWSeeAtGHRW3BNIdy2lZ7eXBVR3512nWzzUTcdl5LBycg8BxBt824iD/t0Zb4xkqdRcg3wY HUsUxePP71cDK1/Ij3WyEGPe0AC5fAlQzyibIXUE5JyMeYThNvqwGUflL0IQAOcSVPLpYoRJqz1W E12+Zaw+uyfHV85TUPB/DOMrNthRhwFQQSLzjau0nzHt/0qG+cL4SyRKXuM1jufrY2T0G6Cgdke2 A+OjRCv+itH3w2IpSpsl2VbkdC4kmENMNpQrgoGpsi/zCOfi5WsPq1QTfR/hSTJtFFnt3+YfnLDo OEmjMy/Wd/cz/BmGRj//mD1w+VpfXsSJbE80U8sBaI3n2tWVFJM1rNc45zE2/g0BzvAb/kpnBrr7 69DMxts9f8fG/AqdqQFJlCWVnenqy2aXAkeZQ1bTJBIN3kC/fGzvuRvw9Wdlb5aTnTisILvSSgFZ omBvAT1Bjz81YQtEksP7AlRN4UTt7UHm533z4YiNoxCyZhxfEzQdj1A2Ws3ufckze5fATobbZDaP C3KPC/u/+QkZgaf1Mj10sAsp5MT3lyhKgDqWboNMG9EoS/lCHbwy0vInnvEM/OVdBGntFMKlHQPO vQGFV2Yrhasf5xcIaGqsWcnpWHgBz3dmI4PRqQmLDO0K/8RQ37VRLBrl7srGQFk6ymEtXqbcHghM azL7M7FArF/+jDek4IRM3yQGNRAa1ymQeKLCXeLdo1EGLJ1+FMo7BqD98GY88uveed70eKY6WDnp sFN0CBAWQgwZDCZchZqvc4XQ2BYFDlMXATYfnPWK1w7PIxp89q7H3ywCCVXep7luPr2KLm7S1wkj NblMwgWGoeQYCJPfLgCtGcB4qG/RG1Zo3H4gjcuPFIiXeNpW2DOkNOdKBaMfAJoa3Eeq/Edpmy8B ZWs+EGG3Ka+h98W9Mwda5jTKgGQqEeXhgcEas0mO/Ak0H1TrlHOqJbZGnJwtG5QDLeBSkjqwpQqs nihP5S6FX64VAdMUDf115vYVrQmw0xHsgrN1cETAilx2UObwMF+HGkFQLIxp47ExcD95+GgBVDQP 2r4RXaQtAHMYSYEdWZhckEBxY+otGKLL3PEO4ENiFjtGr2uDajmw9QLLcWYiV0gKyviJfHqm7CTk 1wKOIx7a+s39odS8m/B9Am74LH4LJRIhAQG7godJi6vC9GOJEIJ10Ji9i+r/4nq+c6rj9MUXRxnI ju3gVmwIt0qZsK1kdcWZioFFkptp4aNwtKt65zliPTonRyqmN8SdXY6507Ms942IqvzosxikFuC2 aJQuEcWKL8Cm9r1c16lP4/MqL6970zacXnk3K+IQd2xeRDDB8g54WYqK3eouFcWr8mqkaxoWtUeP KS8vzSIblRCRGH7nok8t2gSHE+y1gRQTlmi+6W1Guu4ksutISko+IyIL36aQu78qZ8Zqaq8mNDX4 Omd5vgugLnXQXVaHzVDSMLBCv+w25KZQ1jk+LS46aeH3w7ScwL6F4W2Wq6kX1Ub/FAbWxQxOKf5r 6qbWhVCeOJx3YE1Ru+s1phceAVnzKTdfKV+6Cjda4PPHoangwGJwwBRxUMSeCK/Rei6UlzPNzQp5 KBZG894uP38Lc8visElrmQsmtsT43eak4YQx8qZeaGFcORWbE2zIGqRq1WfNIaNP/+rFVyjNH/rH uBClXCoB1fS2+hG3zYFmy+seOcXcukcTLwAVTQ1DRmIoB0woUew6urFbbHMl/KRbHP6iI5yrxSMA wG+6UuxeHmZiTvUboVq+KaCAy8enPvpzmlKoeIGo7gF6fAEgE/uffIikxVWK9Alx+W2WU4+0GXV6 nbzHplBIBEV0U/6dmLgjWqGDjPmdd1BkklGViRQwUjq0CoQ5RRBMr4XxvVgDuP6+YxpngdMI1ncz OtY3qpleLziMarYcuBFCKuPEgfL4muHeSZ54D0Wqu/Zao0p+Hq20DS34TspNbi4dxMF4dYYxZlbN LvFdtvaDX4gbrfP+mnhViauszefGL+QcS7OWjIyg6i2MMtFTmP6RT7AqHu2zglcRGNUViGn1Td4i 1qElZgdc0jqj47A2ZBhenvhfdfW88yQms+2Q/kiWusOyau+xVpAWuMLyxgGUYNN5QWpi5lbXI4G5 PpjNdkoG8qh5JuypqzIi3PxBjRwVtDEoL3PdWJgR0a6qAwmnJHPz8J05NgIf/EEvTo/Kw3hGH+B5 dcoBVdvS7eor/s72rrDpQbkaBORUqOPpLgd15DIc/FUhKAivHP9u+44M1fXFnbetFQ9SodKMhyw+ Pb1jt9Y1N/w2/WQ1ioyiE5FcbWxrLPM2XtQFRCY4DymOjVNz0xDO7n/bi+Tdy0fMxjC0p9D3Ci2K Tvkut7e70ViSlTUMzgq+GWGbDS0hkfW6Sl4kAgryeFasQJml7ckjGXjiSmR5tByfITQTH43+EPE1 pQcQYbC/wNkOQvr4H88EV4YoHDO8vSc0FxXd+q4QpIGKsm9FsTDTEYxN4Ip2h/gd9s6/h4f+iWQD Pk3hO6JQl6QxToEr7ZK62gLwxWw7QGyg2Z0ClvMXr5G9/VnFfK+zF09Iuy5WlwIZD6/NSwUXyiEN u/IZfN08rM0GCmXKaKNakTHYnk4aKHr2mMMT00dXiCQV6bRrn1QF1/NVlU3aFxRq+KgwxuLhVuRf XEW4gCOfIvziSlFzrd5pghRC39F3PyxMDDN+9I9VGqpbllqQOt98JkeYfE0lFhdfU4hvqiY/R6P0 +DouriWDWmYHFuN/8MHmmQQfR1TX8wSKzZECfIUEvkFI4i6X5Qp7Lm5G+DZUiJZypzRD9x0aFFjh j7LNDKtVeFLe7ZqMxGWfKGxl7MkZ6l9dkVMLyScVPlps8kSH1SjVtO6MQOZwLA4/Pfamwz7Prhr/ xrUUUXew9riMPEPk8spQ2SYlcaGvKiqsaHV6WmtecEgY2eFPG0EPl/NCXr0s9xZ9Y25U2njIZbXO Rvn91gXaV1aMaG4RDl4Ua0TKIu5N8UXGqu3zOsO8TnT/ED3RZ+r6B0wPYapai81AseRspTw3wr2q YBFWLtORsr9qDcLFaKZmjlBdBuZLo6Opwhm46JgXO9ueiEXDyOt2/Mi3XjXwEr3eEVJxa8xPYg7F Qizuyxhe38irvmEPGMon0T9ZLqDJlmG3WrKF+VFKTUcOzzEkyfYeIUpfq8VGgA6Lblhr6fsmrSf8 EdHbQwC+w6A0oxGqb/Ga7tzLloo88ola1aAWxROij99r0L0JdJIvqneAIx6YAIO0PYTwm+n7saFy MtzW89NUmiOSoK/KbSN9ccdGAj8uAyHHyU0jKpXli37/7wz/KVTyrdNmZHLLP2OCCO2jSbPo00Sv niVGzS+wK6AIrXxr8KSsNFkbc9wnAhPCa8f1RZe8Oup0USNoLGpGRVT/75hyNO7cu2olR+aJVjuG 0Ax+iG52AiJJdZn2kJfVKBWEdkPeM6srxOXFgNOjnEB2EJN75z9XOaqgMSowDZXY/3BDVKtRLYGO TR59ww9nZ7WVGv4RKaQVxYIf7rfikvoeoq4bDTMEcLA4oY7ZUzPBLSDxDOu+z8ZIlzJ9yZeSQVkh 56UqHVT+non39kPL3bChTbJ5GLR5g4+o07NM7zXYtcJvMJui0fB9+WADNbfN5II5jkJUn2GUx7Fd Tdq/j7ujiyru5kMEyI5VDgH88kmIo3TEl8/R5h9IqqxmErV/63DB661Fy62pc+txfBD2OmEq65Su 7q40qQ4wSQpc5xkuQ0kO1FjszVm9KMgBzqHRXkkrtAe1lZo2H16R2TTy2icuoe/bC7JoP6K7SD50 HY1fKw/sYmE6jSQs/1ro8YkU6JDTg/R9cnKJiNBZYcCCs8BhbwcjR5v9KnZadVuKKDYIThm+47sh Et0ZBw6lDZwow6tp6J4H38JWy3g8dqrwKLxJRjDEP8h1ElDrqD92zhigNeByOCPdyBxZk0Yj78KM kfUy+5DJ039PRl+AXTjrV87hGgaiZEU3uRtuen0hRiEsZzquWh1rxgqQW234r9dxolsrQpgs40mG p6+qRuoaEXqSSMZcXp6hrsOaMs0lUlb0lQNoeZvgOtPxEMTlsxYvuvjuAJji7XCxzcNTWqp5nRsg 9Icnt+4CzvKZ0cE4ijw4FswQVPdIWOvnWVNJUyCi1fn+ZxYuxnfW2ZZUYzyNfEFgBvw4/p4lNzFs Fp3+9WYTOe2Je31lxWYEt0Hm+6usQh9lTv7CIVYcOD3tq0ZJSvd/iaOHp56Ljj/9NrE4NBCtqu+0 q902DsF7cV4RhCmk3hzO6n/DdBEC42Cl7z4IUfga5MzB6ys+aXijFhOutBt4dlAZoLKL/OsFXJvz cXjqY+5ssl+SldV6LFslgiY6Ed3HNxOaLzGzegp2bXPcEJKS8jF48vFkRwIXADF4o1AHHFKXY3Bp Fq/ooTYAUoO6IWQgCaxqoUdBAG/7WpLbkA9JMh7HuJ09fbmhhkn7CTa4fQZhI5faXDtsOtcWjYAK SLwI8b+Usnrru4F28mYG+FY+cBUfrqfmORtZYuCkRs1C6gGWq4/9t8WA67kVNCfWLr5ssuLWDbTb oshPTHGXV7yRjCHvrkJ5orTAAH+c4paDKIwwUusLtt5Ede1e8ZzCGVmcKHBCyiov1LOkmJtYw0ve YM/UWkXJBtB+wbe6jUofbNKZf+Rlakrsdzzx02ihUruDEGsiuoAOT7W4Bi9eRdXmJCFHtnEU55Xj Vn7EJTQNZ/2Eg8rO7LRGcUNlBaZrWWKVHL4X8nFGqKRbS/RVT/8e9a1Ezc7NDvrIP4gGn9aI3Nev pQ8kuVwWZ+hwo6pJDyPLxt5VENfUtd/qVUfyOduChKmsYuStaJTita5jepLVGx8bMN8bJGUwVfeM GixMOGukVQ8JkK4pxVhJAFVg6efNva3Ng3et3udbQbrR/fe9khYKsZ2EjMyD1e5+J1Ea3AuOf6kq jzR5ynLYau2kfpLLgu7TkAVrgsZXi3AxxBoQy3XlibMRlZEA7SmQ9AAFQxxIhPPrxYltePIEd/4n aIFY+h5DzADX3a7/bXNppnXN7KS8D3wLjQc2wxqqRzGYm18VAdIN0hnJow4XL+CzwtLQaJ/2jEVd P4MED4Vw5AZ5V6cf5jcumI9SmQHuqkeN0Y0+3ONxQpQzxFgiIcRty4tj6zM5o0j+jPAnfrffeVS1 AFhkRgbOmt+qKwf7AFa6rjtsKE+/g23etUp23CFoi0qk0rljacq/vNUpd4DA7LBMnrd9fLsTyng7 0XJOxZYFjR4wPY4cG3SljGJFf5DJyP99S0ANVe8PTXQgOz92LMl184ogxJaXYkzKbD2DBbyWfPRf piwGC10a2UFglcjBLP797sqEIX/p805yMz6YPmCpVL7PQGuuaansrtMxqNV26SVpI9qwreFfQe4b qpjatnImXbycGFutJDwFvB5d9gN6ikzO2mNQxjmy/U55MdlRfY2dx7uYBdO06CpgLgZ6rG6Si9Wd PNDMNMy50frM1BgPbp8tob1b9kylWnT68Y/QkFUkhUuLWfCenQmSdBdl7ce/nvfG385e2zGHd6ZJ flwCQE0Khqne7MMb5hZhv+pdJZJOgoAeu38dcobvFe/wIprWIJNh/VoA0QtRAjm2BSPyhzVu61id pTdEyMk5o76uZ5k5snD/i3MLcg/lYrFVdZ1jkPIzTGBI5u71kvKjPd2d9FX7tuc08g1Sdw/1OsOX p/XTNZu/DR5A73X8wB1bvUnQUsx4mgz9EGFA/NNArMv5fjPh1opHD6d+2IlA3+igR49S4TJDtqnw gDIC9kLDCHUpahtHcjyFhI8YG6TEHbUMpMQeSOIXVP2yvsjTzmuTSgi24uFXI5XPC6nsQLIjQxSj f1sdTdhzoDsz1b5jD7xB8bgClT00G1w0Ol3nCKq3SLwqbS6eLVUsKf3s3Dim0grf9NhXeMmT7IUU CCt9Zwy4SD2bDPQUWVupqLndg7UqgPjw/cO3q/EjhGjuotImRo1wUexu3kfa9ISkwkHYOXUJvhYc rm/CNIOIjlYOaLk8UNAZatDmU9OkXFsEopRBwb48jQQclvDg9Vq6WpLRu0CG+uw8B/jo6Lrx+SiN wW1PrMybVBw7kCv7BPqTQpi5eK4w5flVNg5ByWDM/tB74MRSVsDwlCj76I+NS+w/5KR/rUNWo38l J1rVWhZs2JPXV8KdxNJ2Xq2t9Uc15443aIXFqynE50+0vQP1cpsE80UAPYgWkdvHsK9wkDF7ysE+ tVwsA5HQMowKU9gTuXUDVQS3D3csg6bYcLEH04wEMO0BkwbLtyHb4CZsj70DMnj4C1RVY60HT/bB GaUjq6hI2yBqb2fFB1uzg43n2HgDf90RwNzPNfHl7khKnUavsSfEm37sfx1YFltnaPQmYlwy7TYE 9ze01vuO3ymUsF7UEAHgB7kvj2TE7AIvHsZVFEIMyiJsLaesdmlXbaSI8s74MYpDRzGJ0rn5Rakc Jb//xEPZRypJTaLkVb3UmMIfSam55c0s0Xg/0v7UGZxfIwhO+4I4Q/X0wSJFXgO3dmbvmsLF1T+r ibwxwBeq5KLjk9Ut6cVfCi84LVK2m6G5vdsrxWsb4PezQCIB2Q1UZuSpIKzx0eQ6aFbOsin61TDS OSGA7W3yv+KXOXQxoQrfuHvYhx5P1lzCGpsq5kQRxFLpGgqHKa39zT2nLV5ThVntR26oIVKe5ssL AwFrFXDmZ6OWhDhgoS1kIHm/OAXh+NPv1sycckYFC2iPLB0rkllhXArPmUeuxoqfqqQKrZrPnwRa zNzRnQ9sfgkxnqPq2chvbOR8157wrEKONgFK/gjcpaKDxBLPWHsl+sTI1gyQtfddPizGGdm1ouCT Wx6h68OHOBy/yxf67goIjDQadmQ7x83pUU4BqOG5bTkTQgDAEVlARVGs/vKbxp2YtTSse4IJxgOB jnd3z12YzC1IM8ovO4g0JBewK91SESmyBnQmVHjh9iwH8loEJdOoITX0MNkDCu1H8hYGPLyOOju/ tud+7FDoFfr2pzQbIp5mYxEYy00FVCwDzf61AxTZUhar1TtjOd2S3esSQhgaBdfPbivu5i77MFpn b/nwA+1PKSozAGGYIk0ZqtMei5XXXmjiw0pEWGCSwUuZFYuCkJ6ag+9jaqGFKhak57dROUX1FIkC kX3qAKIm0iI5QfKLbUwm6OYPYRfNwfp9+sB9emyBUo6QR73nKzIdbAiD8niAbI+5wJwWVHZapwMA 2ofxHJYPeMpribx/46cUuWSC1FP+maLSA68nZKobIqKpekgH79VxgBezTJbnBFRaECKVa6gZYhjS GGGnGG2IFzaVLGSanoowZTuv7cgb8yWurtc42ynGARY2fPgyjyMIvX37PONKIlFCXH2d4XVuifnq ivI2lRIRlhI+17nluUKUDO7mAPgckpKyLVFThtM445t+x/hB9mwe/2JTw4p0957FUI6Q+Nsiu3aD aAmDHcUFOPzGT4lW0tPoVSoknocKl8r/8y4otob9xkx51+/Jba6y0BM3HFzHkH1/ptBIpM3dZUPq 9xIrbKxNrt6lUkUfFCJcHDLQfy17CVgb2uW79YqM/KS0UC3mSfCE+zD27myZJV9HN1LNrkBwB76Z MZKuFUZsIeyixV9gwyYuWsb9B8HOFf8rUJ6QysMceAX78vZFG3ihWrvFFT0sgHhhfZWRPyEUuP3A CuDf/djZYLlaBSnwMwtTUjSTrQ4tgS/Hr8WJiPFKzDcy0TbuHcxtZZFB06zJmLSK74AAu6Weiv3b 8SC47p/JLcRZvKlaDaXpbjIMydCxPnN0CdjjdORNYYgJx6SP49NaK1BH+ox7Bh4awnps+IFZktv4 3PbtH6wHbczH6zImy5LFYk6oM4jTPmy6xJ9Vnj0Umvzca3qjD6GOjcvpUvaAIISUcFrS744wG4bW IdJYohF575cwJu+oEiIOpUc2NbFRkwcQRYJFIQ8daQvyOn5CDjCcDZ0eYMIrr2oUJNg/NAf/+XcS ssTjbehiKA53nJ5OwiDA4aDPtMD3or5gVJVLju+aoWRhVC8rEovgiL8J/EZtEs9XYsOstEZcZGGj NqDpWvaH4LN9dqa1yTnROnJ3bsY7QK2QelHfrmGgHJ689us7cJ2Ct1WHVr0z/KFQcodMAIc2iCDU e2TNhEtW2qNezBGOMPRcaCPoMLi24nCCR9j6nMdt0/Et0pMN8ScPzusOzoUHWW3OTszzBycRlTYr yyilTNRwbATEN5SJfAk7RE4xEuiZ2PxOeoeTfwSjsCt4iI2Aq7lLIAx/O9L5bmF4b1Y/bNXOs/es nxgPHiJ+t/2k63WYYP6R99Lu/vtKwpV+1BxebKlWSlH9SU5Y12I9PSJkTSsSkSdbV5UPahrcdVB7 BNr3IZXCbbpgqQqEPrFJ5Q6NQYGp0EeH6h18rTnVcbHTYjRObEgaO1CEq34YWrFPsrGtg+rtkvbs uAq7hqzHMFxtb2+0P9ObTuFckecREw7wBMJFLfDUB1DGZG2rt3vh3UGj/6DqsTaki2TC/T9SGFx7 2hA9FH7fpH4lk2lNhKnYoskmb4oRCsQZv6DVWBuMYTAmc0OUA70oMyX5d6U6FqMreW8jKmVopZIu vplFL2xTMb/B2pDZejxW5X9T6GME7Qo10ycHcZTtxWpaCdzDXFvOVPRXCYVajuvrqHKyvPssZMjJ oZ9KaUG/rSTc1h1e7dLmkDpgu92vBmcmyhrFPtyEBYthw5uaPGq6ZGeisXcYR6oO79AjR/w4jBIw Al9lYDLyZyCkygNmZxM1elcfvbTuwwqLEwiA9hvot0FGE3pCICJ1qAaaVleSMps6NocRR+08jxwq hg1z1pgH9jWu0joOES1mwnCaSWl0QfAqe39vKnkiYY4AmRdW6o811tYRZzmMXxp8Ks15n3x+CXSE zVoA1vg8wK1X9LQi81BrvtW39pXcOWfqKm8TirSMvwKKTRcURC6n1Sw5v05xhcQiAb+Amjd98rA6 vVWntpklH6SbF/wmJ6eaBNx6ZoExFEm0aKoKp3YzEfBY4iD0KYBw5ZaMWRTkFynYS2Prj7WrH+HW jApVhalqMTOpm4Qb1hfC6+NzO9zaPryDCkocW+y54gSYBb2fTbpiv7UhRyhIqVxPkhD6ZgWQZgdU +50YRfByoOC40L0R0+Tw3t5jguc6OLfsMmNzzgW4Bh9zL+p3StFVHgeo63XpFjRLat+aZEbdt7qP tNtGuGZ7fr9yH0pIMpoeCAV11gtkjvsTlC3rNbtPDalg2Qog19aCEzr4s1EG5LonqmkMosbEych3 x3oMfCOov3EOQYKpgXybJM7jO4NYeaPpw/itexm/rIQzfupX6HJtHsnxqqsj97vdFoKJ6qrFAaLu CH+l9qmbldEgoskxPYs2Zo53PYeLlV9dlkjTDNjCEBDbu+yUk+ShLXOxvR046jiJ1iI3VHNWH9rl eeihw3MWWdiWamZggsOeQJK69pcap99LifKwQsPA30h1hDw50OgiwXhaga2b/Ehz4WPBVZ+3Az3A u5dMP6Si0OhqV5JNKA/N8fhaLt22n23XeHH9puRyoCOij0teR/70Tuc4EvEL9+kO6MClEWUqG7Ri cbOyoaDaZI013RmsZiRFlpVKu8qr1OzRuW04ciZh2W2Ma0gmLmL2UyvSNkseElwZ+PIgyHgVM8nf wr1SkHt1Mn2XfE/W7UVv53cj3gWSG5Lu9Ar2uKRQUuQVmkgBkzID3gyryKfeCEHMmgXd8q4luiAM sIHv18FQZDVe6CcARcWJyo7ZVwDwbyeRw0PZYGaIhC5jT2Nx/rSgDMIzStjKR/Pevcs/I4XJU1+8 eD3bxUlqgg44ZKbyVHh4Wq6PHR8dDS9jmSyIG6xeIWtuaHLw9UkYA/zs7sQK9TKw3rHHR4dDTjc5 rjrBM5srDv5vIBEWy4g14V6ue9pkVC+RLitRLZeOcFHRdkXb020vMZM49Gxt0/qoLFZqz3gKh/78 9U+bZ63QFIsZU6z3JcOEktYqkph82ot+LnsmhMWJ1pk0ylBW/6uZtZNmDYkOg+45Z21vyVRaswNq MJLZtOCTxVJshYybBMCJSVe9kHTNQ+rerKeDm4Ft4imCfgJQCJazWafyTscztO+GzvX+XLbgsZY2 2K3Ee6930MAJRqt+Tnqo39HeV7RXI+5cw9QS0l1M6wwmNsLaiqXrs8H0Za8Gwt4czeDVMUc4P5ar h/IYbVOQPLwzsoaTv9qtpxk5Y6mjpdJeWLKRSalujA25VRCmbiDBkwMIcUxhdwZYmzLCUuoJ6ovL RYZrLz8dWf05hlUI+0m0lq9JobPp2hb+C5evoNO433WGGh1Ik9SrP/n27rQo7q6KkkamzLsWaoyM JVsREBRalwXO/Cc4gJ3HraoclUCwt7ygFu+wQFzf8NLUTfXOksEzE8rdHripphx7/1e9aeP9eY30 9UDUJxat2uzSnPgNU5jjevzTFohM1nOt54+uCwRPZ4AFkEI/zJPHCUT1m1bZ+y4IcGUQkBLkhP/r zY49WlHpBlbzbscyBHRcQVPTchiI8VaXWjwmfBWfY6WExcfAt3B/PGfk40qSvQwmsXJ9ZVUK1vgS 423cbkTVXxkj8/P5qgJclzYrOh9V7j2lLSA4rWfmTzYn+wmWb3b5M0AFmHLIWB4X63IUyT7gRbMR Td7/hOzqOiVO2qQYEJN/xKCQ4/1cD+hFnkuhpbXvPa5a+BGlsnuUslOf0hKgzcNlNCIN0mdEgKsF vRfY1FUCp3CqtNpStOjkt1vvKN07OBmBxVZsXq3MS9xj/sbYWSyjFfMj9lx3Az1yZr4dSJ/2Dnyr cXCIJau8GVoIkV3KxGWsbEfTkxFTEXzTtjvlwQjUhsMH149Yz3MFGV+z4h5L+usqOUdqepJjIMh6 FGO5lFdk3lSoU5w0lMzDk1ctsuhva4oBbM+Gl+VEOh56MQ+iYmOqUgPzN78eq2Ob9MXfSDS7KCC+ xUMcqSCJuHmzHhKS4mc0P7v6ELqdqdYjVMmVc+SXIY09SxcrmXiFQFT7dcrvpTvF3B8/awUGhBB5 Qwa9gNl/ZKEMO6uliDdMtk3YbMl28DDIBP9OlGePa0cJ+uoNtlcCvW5lpZ4f9Jk4uCeLn2X3nkSE v4tSRZr+zwxuKEJwtz1uxOwc71psaioE4EVByDbAZr2tn99CBNpZQxhB3oBk5RB0B18x4XATsCls COuFiJEYsvDEvoU3eFG5MB9gRR+tlsCnb4Ced8X88j0hdPVPSFVyj/tl+II+WGyI6r8LBjqUNfUD +gSYLzF1qjC8fjfcAb8BhSLh11QTnZsNGlTDdobEiJD94cgTcr51IgUTXCOGzrjM9WEURF/DMLSM sXNOVj51WNV+/lTdF4f4V7vAb7UxmR+IbeaPP+zw1nT0E1E6DelvK2Dm6HyfwweMuxufcDJy1ToW Nfyq/5SbdQI4571Wfr7fZyp2OrnQIo08hHaZqhNTYzV+hCytJAh6HraMS4pP/2GlaLtbSJ4ofGrp iz8g0s7z8TvxGClNqL13QfVTB8NEeYhRwl4ceokEi5hzjefFXeetcV3zDfmGxU8dnlCkqCwfkLhg vUhnLvPnScF8S9mqNud5hE6vCmLa6TVhDcOShuNSxkeTJBdJaxhAxnz7ZXUGdqoisVGMIYSUJHZo AqZFNM5+QwDhzsy6eoNZv4h639cOyde06U/UVtBj2PnVA4w7fdABvODcVnk9ZelEKlrEr3Sa4ThU CMvIvSqv/iP9rvCmhFqzTig6Z/3/jfrXF9OQYHQMoI+PtrAAShEYHz/hMFVAGJdJ3tiD7azLrPMA N0DbdRHzNMiUi5kiHfVCe2tQ3Y4bykA0pbafGvN/eiRgsGh9so3uFMjEJX/tZuTvBoF7mhljLR2s gMitNly3fyo8EHAKIr1a4ZV6a2GCXZyJMSdRZjbiJ1ntFOtN1M7EmU4X6TnD67XxFJa3KLjqsLaf AxLImCCnPRSE+7NWxzBdh9MNOwLnL211D7O7U8l5fCLpez49AZZQJv9Or80L8MB3wCCcSd7cJHna burszXfLkHzfwrOCeC52J0J7JxadY2KuHjOQHafqpUpO97Re/CO8eJkrVjtf9S9VaqIQZ58LCtvW 3eGeFsnoUH4I4HJ6zJm+S3K2qmEk3n9UHVGXQBmKXjuko3rYHrlzigDb7//wfyCa+ddjLVej8K7u PJI8soKIq9FyKNeXK1eZ2DhNBvUg/bwK2CpbNw8JKdj81JAiTWO93HmDWf7qH4o/FJAZCw2uYeQd HQKYMmghMu2Ot2nKJN4hjTnMSC8imLJFBLh0rIPpV1BZUhBWlk6ShhZBnUlrKXmLuc7NcKwKSk4t D6TtQJvPJhx5HrCSvNUAvmWd0rRyIWVHbctelj7MSPzPmcoFFwCxWHqTRLpYzlpYxzmIwWXq3/gw Px3NSsHuJLDDiRm344town8p7MVJCdbFwTxITonwMOD+uActPxrg5xotDq01+kXvAzxdzRzCTgDK 4qETd2utx3d/pYT5OVoUU9KvbkzOB6VgwIsQVgM8Xlrfr5AlZe+HuZOkodoZz7s6Atei14FHIhcA n5wLywjtYB1M55OhHSRWCEPX3vF+FnONMXliX+HP2O5mfMQKjiUhsU1Cr/cTwY1PGjjP9Eij01OP EuTJZcaBbBWOakHZU4MU0QQi4Of0SETdWjy7EKPaFhr4ahQNEmDolUmunRTqmCZwZMgoSDED4/Cd JftcJnvH/zEQeOt+Vnk7aU40WO7Irbq4dFzVaDQlrFuUt5+78PtW3/rP/qMc9uWLw1idSveu4Bdh ty+2lIGHPVwlmxN19KkdlEVNzOONgCrWzIkDFH6bR1s6bF1hAMRsy9hDh6lMF1EoFbKGvXVzQgEv PXeLl8ZVCEsUHtRYSf/zg3uSiugCuunscP2joq+awzRaghhuEd5n0Dhq5atLp0/tQ8DZmi01+tFM lp4nADC6+tKcWDtzqhbHuu2fXjQSp6sykbKpPuTILApw6qXianyCpHJ7eDcE53Mc6RlYuFT4NZn3 +kkx4G44Jbhx0Lc1rXEa+v/QLha1ni+SU+auYdLmeeb/AeBFQF20Owm4jQkYeYVHxPgisP4Ge+Tw r1+ZGl1emWe4juuqUBvZdrhEt8M/Jk103H+KjJmonjK8R/3V6X2mvsvKeC9p/p3UI46lp9j8n2gI pri3Liuaryz1t3ezQG21mYPYwUPAfzIbImpk/0MVmMpv5PpYgN1jwtJKFvXGvG3nzBDnNaC+wC+V kZmpi+DFPz9CqsHU+OitizKza15YpO2kkcuRWUQDDHcU1dOTeubzY8HvnohbyoYQpYPSrJM7w34L lw8qo9K4HDYoxdwrBvIZfLZRR+c53bJgAeibIoYeatB2n2tbkyzyCbtgjVQzvXFRT21RtqpoLRNj PRRAO6xCb+aHgpFk4+lP267MwLz+Ok8z9d32mFDu2Kmr/49GqyjC8ZDgEwfSq9AffJesoIu18dl3 QpokQwYs1hA43EU2RuUv1tIwJR566M9/as4t/mL2MfIIaIG6NJvqOwK3Sj37rzNquE2t7fL58YxZ z7BK+vn1rnyGvAC/J9I18a7cvozDIQyHSrwm4YNo3Vgl49AcPhNux/akOFyj79AqSqBa2UFcII5X ng3JFbHxGijJYI/hbs/9+1bbQePv8MCiIWqhyT6nIa9A8d7ClFcLBITTfxjbt0cPaL7VrN8j6gML qydeD9+9diDo5RypXRU/f+nn62hFK/9bQPvLxQBmMOhD7L+btNADJvL7FH+9jj+7tbejNtbDCAKR d23MZIVyKA52KWoz3piQu4B/Jb+l9Mt+jLvXNCiY4iVPf2nylYoO8VbtHU/FvbO73oe5pr3UIfWj 9yT7vVu+pAPOKBkCavIFyBV0gvU1rWw31XpkysxKWplTrssVOmFBEVqh9/H0sQgsP5VntAxSJHLN x30kb0ptC3H5Q9aNFnft6NXisDfLXfQAwNljcvhJn6OZTlkWQf2NHyj2NHDFduvaYp+Xh5k46fkt yTnxcAjaWguxVf91oSvCVNAxFRVr/Tx+hE574/qJifd1DkeRoJBJigiyQUSH8aQek+6v6jvZFNlx Sbn0GzzKuWIoCjdAfhX+nGX4Ub9U3ghH5pN+VPD/cHG1OCmgr1PEKtKvP83w9yn/026d+ikJyzos xuzEZZZfry00maiMzgAny69WKoaFWyNeTI6tHQ0pu97TnpwNqi3ucUJ+CvZKsXvGfjf3VFXcHhq4 UdRMYLiq/+RsrLi3GXnyETlqUIwcR/JsqYWfb83hwPkUGihkRvkYkqh+i7vgv0/D7FYDc4wNMj18 hFLRjtSUXRxHjg8YjEPs4qqD1WDwdMZv9K2jHQk3avIE2ZZl3U8NYDmwvoINerWXZJrH+M7WgLrX Nkak4QdBEPtbWwJAjXZjLjGkQat2eKmPkyVYPjADJQy1rjMjNN4TaJtxUVCrODjqc54cIfmCfdhp lHVQwqI+RHRbAPJ3TLXkPrk6FMMdRwkMhJniRfwScgPXIEjNVB1xRJXmvZjiB/YntsOuAZGddqoC 187XY+hGP8E06jmteRCkQhwYOhzWEvXoNB2eYUaP5rBW2mFrhCHh/52hF8diI07iN/uDhmpf3mZa zNJFtvPZHZdDQfZiT+Nyi+TGtiqQ8K9/sX77kyVzW2YWIvfXkNhXbRSTYNinKX8TDyrJxjZb6fVz b8Gxqb/TaKwPAPI6YeNc3BrGTAErorajlJTKI8IE136ObI3V/lDSOkLHcEsOLWmFZyVtCWA/a2Te MnzxjCJUlPwNggQUfDS1Pk7hFtkVwn0Dv67TG0DHK80c5ylgKXfhcAJLnI3yFyXdjn91/AL9v4Yi hZhQjvMmIOyA0qxqNvCDw5lf0YegRItzU1uVK2/MsT73dv6i9ig5jRSzccly/klfLTPeX/W6IyZM xBqG2QYMuRYaiO1KbtE8wamWZN2oSSpXOwIjIWkxYkPpvf5C7sNd4xED9gNhFBrzTlmuy8vSqAxl 4BE3aHtRNBsbIk9aICx6xwlLkYFsGwEyEPIsVydIw+4FrPiGn9jLu2k+2ktcwh2APzuJ3sxqyJXM hBAJgk3+kAuTB1pvtEQvAIWoWvmyVz85aeZ+Nh/gAXLTTs82zvJuLYYARuSQnp3qgdbCKKbsnSu8 3Rz4ivFaV9Ivnwkz8NydlMcNZjGHbgYAzgZb474Q8aB9oyHXWZL9UKgeUOdjrE2vKYnZwx5wfBhV zE4p+Pfl3xf0GAL6omrRfku6IfdHDjAKDPmlt0+zwb+KuEefvgRt8ffjKNxKj/yBZXAzQkAVsNZB fZBvHJLF61XrFKi5gOxZXrGPxOwceXBNO55HVfWmtVGFfsvuj1qEFqz5HhTQCHsPIDEJjX4aRzV2 OXV366SN9WxQhYt8qqJNIWDcQHYWUFuhlkjRbgXRhWNpgF16GSlr+2Pqh6v7MUw08xDV5wXo7VRs z4scp8GxI4gDy3/RBevOMGko3hd1NmyHqZguZzfycPEwxS8secEWb33Cz8JJz//K1JuFjowYVWcz OLGzcpB8rXtWv0dacz6g4+G1Z/B6bpB/KOa4apVXHhrRjAXR5sUpfQHz+2Dzg+RcXhhqbsGWpzkH /HEBHSWdmzmkRu9ADZXi2Xp2/iLAPX/6hWGRlY+r9I6mlmgGd6cwcZ1q8KqieC3R5bFOKhysEvbA mXJSWNgfQyKQw2h35uG5mGS9dtWF29R8xzC/B6NjcV4KOi0SWXHvXuXKcE3vwjmPBGw9RrjVdJvp VzGHotPeFrhh6ree5D8HgycLC3fFGvOX8MCgGA1uufriegBBwrs2zQ0bDPC6ixDN21m4F5zK6/Eu kkxSU2NmIFRpOSvAS+5H3vJ0krM7QUbccuCYEKUpobRNKPHHdZVMbKPXCkP8bmd6h2dQHN8UW92i CLtjzOlQP55xJMI23pS/T2KQU829KX49rJcYloamANotiLCpGsXrRjfmpU5KG2f7+1tfZbxrZ8P7 6xyI/Zgf3mtUVn5Nu0N1C207hgpJZzOaQOmkROUHAzxo9ok59P0GXP+do8THz3SwVIgA8oRewM/A FW4RahoFQXhN8GgFqKo+xWEkYHGsLVmM3z2gtloZP1YdhP+kmaFzljY+yd8vw6gUvGfxj4H4sGfM fJh336neVNQ0f6OxpjCX/Vq07/POaoymbSK0HUDstMhdylmsqxk56Sjia4/GMRHGlw0WgPELv2nd IZod0v9GE74C23mxSOaqtpmRdaygVFwAW0ELOrNtNvXb7weeSnF+AQQ4ZDXSSR2S2vFp5JQfNLi4 E0pIjBEsTXwsLkLvFO5s8pEL6g5GZqDQC5c/HziVDzgIA2I+zIPajMizqbOcm2oqxa7CFSxV7uNS g4q7fabEIUSNXidQbY+iNEjC4Fdx3Isxze0UGgj5X7PGT/ZmGhhepxDkg+IyK8BqqU8ZkkszrQ1U Dzp4FcQtw3N4HMSoCo3jn5+tcFnqC0IKUEvekIBufZDxKSPBi66j8VOFsOd48HsoWiTgMdzkvkko IZhY9dOWwZrqKiPhdvECH4nAPm9hNhW9JaMrFVRD1ChbbFWpBQUggS+HVYn+u/SYgnsBqiIVvg8A Dwo+aoQBT7Xpt93Sb4Ae4BjzqonNSTOBOa/unm9n6lk6RAKlN69Nqhngbw2Gu94w2yrB/TsLjVfg 4gGNocgWQB/ltlRq/kKh8Tu6DRlMunakw3XDC957NXgvhJX0gHj/5ACB4HnmgUyjXJs1D4fsI3py cgYPCyI19FbfBXKtMUBbn7zT/yCtXUcqaMY8z6Y2a3y17fe6+UpcPssCcd6zXDid7o933sacrKZQ p1CbPoP5QYw7OW1G7UIWMls1KftKd2LsLT7uIvcxSo7tfHKXbDcNuyfgju1XBmaoCb9Flk0NvLd3 huE6MZwr5RjP813VprFtJPrWoib0Gk06zlavoXGqWSQgd0U0ZCZfe1lLRsarzclfCs84yH4w6cvv c1Ne1hIAdA3oAvu/BUpr9SjxT6Ky4Y9Hmm12l05IcqxGgy2oZ24GPPj6kdujWa7dPqVgHr+G0+sx 0bdKKuXWUqrglcxPTKMUiwiPPByYpY1o661fyJHSJMdpeJb5Lgd57JZ+RAsjDkqcsC46x4mFE1MG vzo8Khzk8waTcAuFzD32LlzeQ5YhznHOV+0d6d/sWEvxz4tHFwa6NRWteKDs4nfWGd70vFvxrFGx GRwll4/sKqpbPo6YuS78RjB5HPLHUKfc9l+z1pyLnExY+9mdN1pgPe0t1Rp9NU97i8yl0Fy3oI0v INfdlFz7MpRnMeRM26BksEd9F/tRqXhvZDHJGnZLgtU0h8oTsmkKp0ACzVFnpZWlUKKL2nd2dwPp knaWTFXPqO0aRB2C9xho332M7EUcvNNGty6gIqqZwCn6K2azASkUC67+moUdKGZ7fbkB46twlMnX BK0eecT3O15XJlO8asXRSULRli+ib6/HEcPHVF6VfKpUqWWY80VRnTUFy3oyPFz/Ar62JuIGPtc/ X0OB2Wz8bWlcP74uor3uZJ1uWCvG2josI8c/oFXlXW4023XdtLhKozyWU5fEUTXMCVa3e/m2dtJE lKEh/9MVl42MG3lJQ+lVND5hIQwjrScQO3tv+R6I9MHoByXfrs9DLm2oyu73UC8fuDGKJv237nG7 Uv9G4qOW94AiFB2hM9xCLkG5DWjnuIAizs5VeBbpFVRNIZjj+0H3FmA4RlkzK9gDjo3dlEtxW5TE jVQBdQmruYUF3f4M/qpk/7mviwO/mW1qW8Ci6CM2bEFEtdtA0zZBr6a8O6TjrjpCN94IKmjsjUG4 VlYL/PTSXylOclJIfwjWPyAaAC1T1sT/TwsbrC1GEIXIe3xZem+sEbFc7MYNUR+t3rcjjJJqa71a 4n/Zl7eDlbyRVlWwM3rdvqeurbZBxj5/Ht9LafhWIKoHUOMhjDT9nC+A2alkUmxl/ZXDKEuZWC7H BVTY+vLPJVl0OC5stlziFwlbJqKm9dICK9ud482oOfMW7aGyXjd2XnN+aAKKj12NdoqKMaCYN9lF i6HARpfRLutva0SCYVVV7dyFr84GrnstijPPIN43QyXEXDwQRrZ/LnMgjp4QI1TTetrYZSyOhv3Y gfDn7OWX+4mGtEO9XE1mU5CmBP3GGqRlX9LOIHrX/Q6HnzTVoGh5tg5TpNug7ppSvkvexPgsyGnn anyjlfE9/huDJwI+8iquC1D3eMX6stTsinWJc8bOR0GIQjxDxR9DE1sm586GMrENiyPVVLzr3Mib xYGgyt+/dlMCsVP8fNTHRGCgLJAZOpuImZjzuOzZ3gTabhwHHanwXdFIqeQnQ1AIWsTWbGGKC6v6 LR5w9l6abpThc8jkiF0qvJxdXNraTQ40xNNZ0nvGYGsrAdQkE+Ktdg+zHeV8DO5PB2M6rN2W0UQS LMw22az4TUsGQbZTNQzycgZqTbNF99yB5GTvRyimQ17FnEI3P6dhJrFvSwXiAYEmBtp9e6mk3K9s LRIZ4dneKq5XfbQPoXH8FAPZfs0loO7XzkpS7apaFeh59PRX3eNBUkoeKD810F/q/i3/gHfW2Wdp Oa00Y8+M0D2bSA5E2qtdo8JSL7BNuGNCrUeUvF6oom410mKEhcl+7Y24nWvYxBgDVfwaEVNYY+T1 5dZZc8s0bOgRkpq8aSrRNuEpVixoCmj3t87Fef6XZsEl7wS8fn6dWXYMkXAEBj9WYJipJEPP9agZ IXIFsWJ8nnVXCSH6shc/UrzCG6OXTSs6UbPySE96F2zEYRWoo0CF/UiywrSP9XOaDlm2+Rut2pZa R+sSlS6re+wkdJgzIqyQnF40lScNcJ8o38ZPc9SXSmpjF6ijXXJUgU9vRxoWjDhl/48VIozeqoYW syxd5F5xYvmKBkfLq/Fa3wRGjP9i0kWCIUi13HjQ6rR4Hn9qCuJP3Hmq3gDSD0+6RTennbK/p0Sb uYz+xpZ64COeEruw5IDSzbnEBtzWzxJiH4dwzmSaCVcNMpwLhuXos06l8lBs9gK8ViXUR9TG+qCH x9WuZdtUoz5wQG+elpK2XQ2+sdlWoipUd+DuLK4smLlto8Smz8L/J2LQ60LXLa0J9v0oBvV7buN8 n1CqLESFOJN87+MKHsPFfBzOnxh9t8sj9PkToFrEUWeVvgE+uWDcuAUQN7xwkQV5fXKVY1GWq5U3 u6jWH7LhrFyGBuIhq2UVHFOwY9+34EypIuobpisaeCtQGgi6f9OgPKTJ96SGTusVyUEQtK2dhh6i jazXY7PufcFri5tMihPYA609LtPeJ7IyDytgTZP49ATQAP1Ds5blYV6grmOYRUGTGvUiSa+L0+sy xo4UqH1Fq3LPyrAT+RG5pqJxHAfxrlm7I1TLqve1eXY6UeLEITT1P1wftTqJ0yVDud/yLyvIJowv I8VCYLItJ3LQOvG/Yosn15REspA4hXFpGLxwU+5ODSxgQcxXRDWBvRgatZSlEFXkHKNt5JFYyua9 XyA1esAnEtAcaPRTei/UXVFYm8geKxoyvN9A0uiAzpOhJSRCc/v8cAqhvMfpoVKTkWSYSJbLIWnr akUp9KWIzTf5Bzsubh0I4NiqAbE9IXf3zWe9hP9aaufI+zFgS0HWvQsrs1IvX3B3PsNNK+tP+ohq 44ZlxCP9D/cuKP+wIgqNvlHxlqB8VXlqBCQ1CwJ7iiYOslSrZO3VV6YVSqU9HzpuILFC8ZX4bwoq wL8DzNkjX8U3zYith2IJlaNre7BuFH3eiUP1Gk6p1H4lBa6UZr7d9+SvFAg5e/Jc5MpWp6ctwcGe tbJTZ3Y/YqI/vI1Kj6EkwhVPm8Mv8Mal/T5F0L38httJCTU1SIidt9tnoioZPzltthdS/3jYpc0k b8ciQle/1jhTGs9AOZAczo6xYuk+F7wJX1iqahGrfu6ibKq3UdJyahXL/v/F+NgUyO1WJz6XUBxY J/TuxA5iSf2oTgx1BctCEJAhMZ8U7fEa1Fsunr4iCuzBTzWexEYrC3FfA9/+99lpEV/z+JplxL7X twNFHYHzXV69R9JWiQ0d6MkJ3J9PuU3DfsiRMXKMa1pYvlrxgRpwMTUxv/68Mu1/UVz6UewgX+hk eLMLwf9FEkKRuqFPyQ10g14T2xxQH2e5gJIewevI41cXQz695H7+Wt+AoswguBZVBxoBIltlQEM3 9fLdrA10adGT1rySpAYMLk4KkPexJq1i2Xj4K8ND2AIcak2+1mO5/qeeH4+Am1tVujTdS4S/ojAg QEGpy/njW/LuWyehNKUDpeZaQYE1EdRiiVXRQsovUQ1la1KWJDmzn6JWXhszK2djXN+mB5xReZKD bmkqNo5ncMtvup8zDbW/JkWcHwbMq8+Hy/R7SWJ8UpWAeZKMcJiIZj8/H51hS//vgxReYZXvVq96 pe7zSk+Hf/QEs19Wbih2MlsD/nBsnAL2nCspoyUS9MMK1DsmuROnVwwP4hx68BRRH9VAqOTHDTNU 1YHwPPxsIu3pHVc6J3pxQ+k3r5FSn+am+8G1jl8Lhqr4l6kOSSYeTm+mjJxoKEiZmXBXAC50uYFh 5B0Q5Z71JW5PfY78FgKGtApj1vZOn38F+tNlcYWbrk25lN/+IGnwDlIHe2FPRI5vNCWWLsVqHeOR YKtPnitV7/Q55zVgqy6D8QezYfPQE08Gh6Ive/jk+lcrM1AHkHWnIfrUBDpAt8VBlkz9iQwLoyEK CYEyfFrFrwz+tpB3pQl/ure8qRm/nGu3rAZdSssY4CDvro6VW2BhGi07NLsoT8khdwN7SOSnx+4C uJubS196JFxIDYLfZX8na1nh2+YZkhhha4XKxigSZJlyAUnPKDZMNbAVObVSLzK0TR5Sae33YCL+ U66JX8b/x4tyzaijga/+YduFf2rXUeLS2OPmzMOmCZSUTzNGsweAF9WqZD/uKutLgMj4W8ZntkV9 aNsUk0qfl+THaqwDHHiIntwT62KXfes+tULX99fNVEN73Py8cPWzb91A4rzaYa9OFcLcp8xqcFiV CjInCSg66QJ2ECB/Omoopoin5HB0nVMQFn5V2r+utYSH1zceDWvJHaMG7ig0kBlx7qysW4zkVWa0 IkkRmJG6LC9SLM91efktf5k32lPLdB0fDzUqfEDj7xykMcKW3neLNQPZW7ktm6o3NwbY8NuTyHoJ NaUsSeavvH0sXSMtEY/qto0W/xCk3SVMRu8EXuYxL0K0D+T1GGmAQLVV9BVXrjzjCpbsG1VX9pZ0 V8s2j1fSVR2EvS/btT1qCxCDx/4Y6U2R5+JkUQbVn29cW0Zzs12KhV0hDGUjKxWTBxCjFVvzJWMf FcAqPKbeviu11aZwf+iXMV7Tr7w1cbUwp9PtHSr7V3teZq2Hwceji6lLC/QSsW+sJzEbud5L/T11 Pq4NnQ9uwzNoxvBi9uRSoeBcmrjDH1b78kpCm+H447PoRF/erPFF78mvnV9SdJcy4Uvys8BqJE0K Id8dRjZMTQG1n822PnoJBFT26fKq8F7ioQR6ZMJc9ycqQKq6DkJkNRj558m/eaUKRrXUHdzFtxs9 lDwiRY6a2kmubCfkHiCk6HiqCQdLQPCD5rX8kRtfkJuMcgG7ngapOKuDl4DTx29ynEUf9AyiQq0Y wEuhBQrXmN1p44T0nKg6cHJ1P0QMYisbKe5+fhMT0V3DdgPk4GytW63sjvdNAhrseGqwlKElYu13 ACyIuccaT0P6sIh3c4EPrJqqFGmx8ANvSP9TxQd6Tev6gkpKbTL+cme3Rf6ylA4RP73yxddjAWOy M2VueqF4cLhtBNzBWt31dd/V0H2+puRAQauYLx/Ni+xz9g+Rz318Fkjp4uufWwq7EExW2spb38yu hd4ebU3t++0f8sBEi+4a/hCll6xmJ7zClUwzbJjiB9Ay5rIl7IdkVjGeK7nUxpVal2TYmnMOTIi7 DnX4dOrbMkwRquQ7whSkGJ5y2wowd0VqhRI9ftfianHKhMPY21Mtb4VNfnke+MqmOHWfsYFovKmC PhFo9l0bXDglom3Vz4KsVqitKSLyrKK05XG2rd4jPkwz+geIBchnCDhyBdbMQXS0iLhog6Krtg34 aPpedK+fMERNXOKrJQ3gbisxo5V04MCBHJjTbTGBJH2DyKRR5mOwTejQ6mFyXpJ1Ie7KMwqHEbgD B1i+GUFNWw+NBTRzgDPmKRw1mhsMX5km3/o0MsEmHJwLgV7cjMLSRh1knj8KtM2gG3PTaVhXp8I2 x4QWKnXFde0k6CRHDALKl84voJOECGMGB6Z4VuWQA0OVii9Xnl+hF6BPk9nT9IRioP2fh4tPEUrU lCruO1jpwLW7hyK4NYW5uiInnLvm0iPvhUOmimHQphLjhH8jzIsPzeXoUvo3TFMedA3lkLl2Aot8 aTOCOChR8DJhr2eyOwmzrm6QmtONEXvkrrIeIda76gJEFvpyyTQRAXdTD9MNqAc6zl0kQoG5qDJd bsqFahJvcuHD8uMFpKdeVJ3s3w6odzQrxJADDbGyb2CvUlRA/s6ol/7NC2E3Rw0qHZoEhCz0DUY/ Y9sDtOm/18FoIHeDCBuw+kEpYY5y4lBjJOrCcBZfhe10Ejv9EshSV+bIwRWHAH00TsArbrnPiuBo onG8MxsDcutVN45LIHukW1I0ojovQAyhh1I24/qOUeOEPfo+I+R6sVVk/q4wFJRaWyx4jF1jLMYA wo0IVfcPQa7htAif48yvksGCQhzabT3/IVt9cewfoIr8FUDu6qfD+viVb6RYIjcbghR+bOXwuv0U WfLLyOK/Hf5mx/x1+RthnZJhzqumDy77/nNdillBBWxll/heWRTIVx1hHdcVRSz/Y21uf7l2h1zy bzc3onF+xVBXAaZ7UAHa+t7v379ja1V8ntfrL3FJeEJ/OrYyVMEd6lhH7qRX/HCDNa4M1p9f7W/P BwxHCvi+gxz2PeQn49zOJkVfoW1ftYGhPymzTxWYIoGru4+5kl6rSQ5Sum9Mp/yCwgvl6pN+UuQz JYjJydvH+sJBDlCW2c0flHU1DNCcnj8Qelu1f2zMPoXOdFpOTtGMQc9WBPx5Nrz2i/8PaTWvoUTy pCcWXm5HOAoQAV2GvzpsTJbDk2pj7tl9Liq+cIvvZfaxJTxq0f9ED7WLYdt6EK0sp4XoHZmVteP9 1UHSzlouFeJaY4F4Kql3tYKpCQ66SGr/s99WRM3/imzHKc4rDVTKSE3EPYEzwLhq7f39swLpO4B4 tm2dG5MjQ0sbQLzM8Ii7xEDCEzNrUvrJflMydjnwaiNJGeBko9jn8ZgecymH2g/hky/AVdaP2E+1 5jHsDgCY12KXdWzF+9pX5aDBtCbRFHBLPb6jUagsG1RVkMH5aBceYSgoO8nmsF9Jv0fVuZdU9TOg odyXee834zl99IcymB+lroso2j7FnJohyF7Qjn8roz08UmZf2kGm6Crg3DZdM4TpeDa7URoWSaaU tA+OhS9CHydt8Pk5B6p6oY9X6Yunx09CCREbo//+XgFp8kjuaXJMPi9IH0cD7XfsDicAeYVY+l/i O/WToVhzPRL/1CC4XVOH+PFrVcjY1IfZXOc4UAFZLZTeL9qcBEHSv3o4hgn3aPl2nYFHxpBw7g6P f8oyseYC12NUS31goIjOBL38OzZVy9r3/k9Dk774odegu1T7FXq5kqMe/fnoXW6yDQP7RhTwrtj/ /ZdqhN2CX80ypnof8wCVgct5EtVWnCvU94wBL4Ds/88dTHOSwhwRZbsbPEOVVmfN9Q2xyamvLlgJ HXwReXsPM+RM0bTfVUeKUdHhGHYXY4LTfCkP+DkoKXaw030RdDhkDv8dURgxlS7NcHxcgslTsZuE 8j8i9fJcYVv8DQgCUQglB09QKHjJBSA1LpqAwJT8RxOljlHe0diOGYCieIGNlJbjopCtPhq1ST7M zNBWwG4+KJ01842rZ5VFZ0eNwQTZfaIuJ2tMO+fyGOH2ySeYUC8v0H+VhWiGS8ZtBInkJfiNAJQf SMd72M4frAPER6s12LXE7HKanDkZexXTSMi+e8jGYcahpvgPgBpYmlPd02DVA50ASK2eRdvC1spx CBkkqROHdBX7dZ7aiQp4pL0Tu8SPSrR0hq4WnRxp3tJnjSEK/XHJSbXMi5+OPvpqFpQLJGsxEaNF TFdUkD8ZAJ1DmT6TAbKxnVGYXFdx8Z8i/KIjHIhyJyXG/3UCT8XFuJJ96QMoLsjJu32TB5sCO4f5 0eysKuV7+e6rN4FtgTm1K1kik8ox8TZpGK6acsa/4TClvpr/OadHiPy8C4meRiYA5bRPO1NpBSRF sJnOEL3NoOlYWf1yFgwAQsy6iR7K+ORvf9xIWiICi+I1lDY0DdZh/f+H2hlacjAsHzoTslrkSLQQ UqVmvNbr523Edtypk9+yc4Ni4GFBfELMOs6PykPwDSYRlMpswiW7Q9awXZXhDGYDDndm22E/cXF/ nkHtUd3QUwSEbZ/kbO/obgGiLPrYQx9BonvbIVkZ1/1wDB1u5A6IpIWbp1Uop3xIKgAr+dhjEwYe BOw+t6m+ycM23xYXExiv74QhWcGgmc62ND+A8Bl21g31PEho0dt9Hcj/dpPshqSiEzQilVgfQ+/q KHicVVdv3/+nZ/EymuqRsLu/kD3tcBj8ZQeESbN8JCLXRKkhevCasgnifmJXh23vqByH7ZMTGyQZ dG46znT4+6Hq69qEfH1S0xq9hBwQOLht4YLZ5yXE7F5eOrKwjnHy0vDv3MTK+A7yP37PifVvQQq4 PnBLXxtPrUqVpkBMtwG1piky6GCOVoJDEg5UMoysQHlN3s7UgF+nKnNJ8sneyofD8XKOncCfK2t2 EqxJnr59GMa7TYpLW5kAo9w77UNnMYdjcYtwqBi8kg7DfL18kLWRVSjzzWkxznLrGg5kbiPbHpjd U3ivtI7m5kBc/FP/vKVmPAjOppfMXcMg7jRH575GpVYjLQV4jGtzOer5Z0uRym4pSV3cfqI9G5u5 jWfqjwdZ9QUPnUQpBks3PaJYMCTMCBg5eMJw4CrKBwc7tqPBY0hBd24Nm9x8CVrkAzDtMgnV1jvW mS5Dz6W1Rg7KRLIVtLviK5/NUwFBl2kVYMURs49TQ4mhkPgzJEKjlBTnqecb/1nfyOyfoAXyG0ux Gczf1rTdDTGZojw0+UdXFYIdcAk9Yl5Qpa1IwSxYuD09Y9dUYl37fRJSffJVUecchUsftcaaj6Av fY1aFFHGsoU97wDHSToroJ7Msez/HndiVeVNGKs7i6uyMYIxQ4cFykT2mVlBjkyLiF21lIQ2g2zI Y48rfk6+KTgQjEIQSFEtCm0hxsOc6kLj84rRU1hLBTfKUXYq6jV/nhUApB3I+sVXaH2l3RQy6/JY yqP/E/uYym52oitzZzcH3CxZaWzxZgDCVN7m7HLhlJcZTkDg0ev8SoTDsf0rpcq2OoTjmcN4I1VU VSV5X0aU425esQTa71vvmnit2W4aQ3f6+ztdJ+7ttHdYAQJUocVRPMqPGDZzBsTxthSTdBH+ErAM eznDb59gzWxwboPpFAr/t87upAD+FfO0s+NiDRDbVxbto9I47UHHVHRR3ePUd5J+lRKlI+xW23/Q fCKuvL14K8KPQQsAgVmcl8f4CJlEazFxVRqiKVZ3ztY6JFtWmzebSG5+YXzDfy1ORapDaO6HKXMd tDkrjzFct4tkM8yhcEMmYVfvjJzkb4YRRFaZckyUzyZCPx2Gu5wUMryxoplB1FZAX0dBswRWjLBX VuXPMSyJipkf9LJ/AW1v00MnXmD63BZUSzQnblKul1u4zc5m9fo5LoSa51VI7s0UFQvrrP9PW5et J1xpNur7/jFOuvfx9wk234JCXDrdJ6aWC/YLCRN9WWc/LbZ70UmJL2xzwcbUN5R4hBLDQ5QXdYAf 76gNOvMISqSXNQMSdcurMjyyCBKaw3RVB5MgsUZRnY1sBCLJuUAc6AuCsdQukQf6qdmU8pX/OroX rhUsQyHKNOpUn6nold/x5Lc470MkGwEOtctSbw/gC86kgR38bYN0i8oB99Z64RE2j2G5d52Dc8P2 YWaju81tZwz9FUN5uXiP5hV2HRZynY8KKyrxrsmeam/RGSwq8Zvwptr5MLMFL6jJ5tM5MtTMK/tR Iai8FwQN2Iy7IqJJ3Q/Gg4GM1r5DJAsUjGSqB2Y01gjbQVK62o3Pf1gsoBal7sLsvxHlSio2vLgl XYMSOF/yKYCFwjz61W8+QiXE+ZP1UMKojushTd2tLOJQMzUIHAsr5uYMi+uW02vF6hk8RH6Ey6KF FwdxcU9tqEEjLzQ4azbAQFH/L0zIpAicqiN2bbz4i7YnJfpMhh4jECnTvLop+9tZDgE/eMB6yWc6 byXjUkvCCIwXCv9DTF/+Bgto/lACNTG5CPkgA1jer83FszCGv6e/bt4AZ0MnMTgMvkRQt1kR6nQ8 FItcdWC7BjhW2PuT8Tm2wmN0Y740jKmYcFkEUNUlhaOfi/ebdRUDBi7MAOvmEWm6lMy4FIXg/mYX CabtR7NleqDgq9uxHLNoJWwFxvONCuTKA9Y7YqAwQe/sgfjrI32Qo+H1VwnQ5Loa+xQXAig3s7ip pWmaQDw9+wD96/t3P4owRV0ZqjG9yFIG+p5C2UMJidsHEtbP7f11s6zBYGmWNQVt/IGsCIqmDOTX a/0W0ynTrve8i1n3lngqv5y6TEHgvYbg/wo7J+PwpfSXPQ2gwP7ZWnizMUuaXoCF54xG2aigzmlF SyzeEXx9YrneiutjHHlBzLinhjaZjKrECizMuIwcsYGV2SGx8eAQ1vAOwXuns94wDFVxRBMy/nR7 6Dw6HwAMYzAH9XZRIOf92y3KDHLcMdKdzAqVHM3KiOtgQb+n7NhklZswlA/7AzjRrp1i2nJXUZuE NQfJ3Q0cPI3BrLpa0EOuzQ1OCLFZeI4U+i4B/oKVUcBAWMolINdYS+5IqZci9toJG9tGiu8R6UmB k6CB4u/yZ542FMjajWiX54eK3lckBhgnPTeGJZ7TnWsTDMo2FHEQpCp9bVPCUMbPDCZK+935KEJJ FC4q8bdiA3Mf3vztfTPnSVvD6QyW+QzNgJ5C/zOh/xDLgdgx7Uw7WzVysIhgUXoqtzy3cs60XU4A 6y3mKpz6zByZNmjNnHaxwkcBuKK8eM/xUKuJ6G0hI3OsHQXiAeTVF/IRFDh9JqOMhD5VNcaLTH1Y 362WyRjUafGHGUUG0ImzIoaYvYG8nvclKlYP77dfjA8h6CeEKtVLoZAXAsjNghH4hdxrs4Mebqrj otYYcvFFscgyO0cs9QUIFCzhgI/NEyJHrqh8CCQb+B9H+vla6cg1AfeoMcZ6TXDvZ//okx+6ehvO ohsYFp0nypK8KWTeJ/2rMpyvffJSuYZJWBE//1CQ1NvzOIOr0xNBcfva5jERYmm36peVhtcxMjKE WiN+13r4skkQy+F7ZRFftlqom2Hh9uWirWhu3LO60aXTx3LXxwyjOFyq7V0RsUFqNNlEc4JGafNb Us6PLicuXNNQP2SUGsm9/FZVdJaTQdKyu1QNayzhG7IPu5+eQliRHcRfSSKojPUCGelWB/qcBuAj Ue8tpzv2/pzpDtarKmgaXO0OBEWK10Xydb5oDX4k2WcML7h2zeitigcnWmrUEVjbtlwa9849+khO 44KnaB2nvWgHjj4Ly8TRptInCFVURkM1rKJgmpE/vdCuZj/qlqiGIOc9y1lGwm6RvAISh1WwG0lm ujNH7QcczP+0+OszcfdXjxNvwd+b7j7vyCuZE/3RhlCjyytd5NiF8YBCJD7ijF3Dpo6Wknojlgjp OYWRYLQ/aWw3wglRK23BsnSeqrhxKYQHeA07XzSNcCyAG1cSr/Q6HbRQ7pdt7wMtgXkkr4jeVBJT ZHJ+m53DQtHZCcbyo4iZnDRaTaaBuXqMERENZfKqebLxKccPnim+VyA9e+cLU/2wdcNaWGR70zci +DdY2TJ5BB4ookvHE+MXq+zPRE6C4dueTaWFixByIfkWCG8xX5iVY6yWmQjKbhVgZoqEhBZRoFPK J6qq9Nvn2k1l2KfSa46HdOS1ZdZPhedx8L3t5SWmMbs543AyLozmC4OgHgWnKifB5ggJDR54vGZU uTwSDh9NMkkKBmntA9Oj9umqOttmGk1sQPEGaMThd+VLNZNNCbD7LKgF9gaFx6xf43SjV1CP9v0t ofrW19hXlXoUdkeMzi8RJjCOCWikMLuFdFM7XjnCTjRpm0PQ7zre6h6o9bsj9QoAL/zo9w3bg1N9 +mOx2Q7bi8JePr0s/t2eKnACHW2zhjxFeZbSvC0et4ho6tB3qY1JqSTcwuWwfTITIst1Uid/YqlU 5nLIDY8vjS9uA64UBrV1XRAw0uOh2ySHJkQY5FAnhAlCWCR+942wGa4sZskpeHGZ49jCM9vj/gAz nNVcIarRww1dUVkUDpy/tMs1ysX7WEtmV4Kc99gPnt/FoUMxoIYAZdzOp1C/Ftfv9cs3LaaDOjRc VIolcR6bRpSCcQoX0TPxVf2HfP0yvbOynSpiMAL8Ejkxm++0eIQ149ZnWHVjf2GNdiG7JShGd6Wj ukyWMZwvXkwcVnCE1N6wOHEPx9Su0ZQOQVzQRFWax65msGtsqvnnkHZ/5Cfa7dLfoZhAKdDRZ5Rw KBCLDnhv0J7tmkjF+r1yJRifBu18IQ2H3sHtQWOoQqv74ev7D2O/vv2cjGGsv6wENvRoyfTNZM3x Ci7z3PWLkXR1BgOpOAfB2c6q+tOk18iDHjDKalJbAG7ZCpwgjETn5CRocgHbTEl2uGpIEPOcpZf/ UX7id2iDtlJ/wF7B3QVIhisHfb2SpbPCDqz4PnRybuRbgLqrTd7pveCmbyDD68TUvKHQr/ola/Ko MQeuE/ejt96xEk5CkhZGQJ09Gr0XZ0jp6LEf1z2vOsWc13eVFhlVYyVtLnG1yjt2wKqJeO84s2OR PggQeO2NrBDTB3loTBn5qXXFOJe1ZM/ot0rTuU3bEs8zpcrx39nsG4JAkH+ioZCfclw5kATpXR1g FbxOSpqmA6SdTqbTQPPhg3D3YrVdHSnjFJekBr5/IeNRYj7n8HOOQIeQ1nT2OmS++0MdHprIa7/L vye0Q2+J5VQQErGOGqOJRqDFH44dGbI1oHWdakAB1HQIOsDWMEFFWIfSOOnEEoaX/MwbgnvuBro8 cciFYBSbu6JxBuZq3L1RaQAOO3LXNZpocij0e7epgadyatsfka143gnmWAm1LeFYoGSv/7YFsU71 7ZkTIRE9/QayfCNC3B7pGze0XrRTEUZyJZp3NjhL8yAiJ3BXPF85W0QUNYpZh9Vpbw5ZX0/d4U2o w6s0hEq0BdNn5pvfI2q/f+QA8wPT9vgYM5RCpTKxPVPryt1icBeBEOoFn6XbPQD0x+Aq2NME92vg PmnwzXyxrd4fWhYKi+P51rGKLv2JjORFwJ8vYy9NCiizodecxjjuplFYIq50vsbHX+03GMBPE2um v5bWsqJbyGIRidKId9SL7X9MDTBmg9czc8graGBLBDcjFBiMNjG9F3U4rwvK5n4a27/1SXF5uLPj PXjDKgJ+ZJ3gQMg2oM0VZjRD4cTcp5K3mxQKtMdOcqKYblJSc7qKFu/hKtB9LbwejH17dpUV8hle fxmPhgR8RAovIJftf+1bAh86ZukMjv4D8XHD1EHvzoaC/vB0P6qvjklj+cERCnDi/aRf4OZxExkv 2dO0hRYWA19h0KsO7f/HqBH/kxFAJT19cCmoiKetIatuwr7IZUb4YOYv260z7eSZGTT2+GAOC9Gj UWpzXLuLmxXgHxlziDSRB2NMuU5Cku9+9mwwZw3nGs6jc1tIdTWS6pz9v4qcZq90fYT2LPDUoleB H7PyaLN9A3fC+ZIo5OSCIXbg09eCuCaQSXesDmycOme77RC2goCZUozct4nCHdEdZTvxcGOPhBq5 G6Ij7kwVh9jusOnc7QcIclFOwW+qTD7CWCYrMJp0w+8MW5+5wepiHTxGfKZVNmlJcZXEUDdlzY4o Ht09WimZBT+jJikPMUCLKgp2Hmz7HM1+nXHiao9PUkR6Mb2QAGiq+A739/S3cnp7bnEeX8mJ/PnE 8RcUpURt02V0VysEg3VXzFp+LYr20jAGBiHmfduFXGptlkZ6mICNU3TR4N5wRkG5DIXwmzGpMh42 IEj4jiMPmHkIkGovOdZmvJ+UoGNNDMtgsuZVxRabcwjAsHorXxOkY9hBcI95gdl+hG539aLqCdSo tjuQM7ZEgRvsEtc9rY1K9ivdXwpkJ3pJFchTNtwH4nTKptcrYPcKEgQOCwq3NsOwJwL1eSTpfzMf z0jvbnCjb8mo6qbwWXN+0ZImbpnTl1v2EDQ4A0nPmy5Nj59c8NMyepSy0lt11mu+sSJVNGs1N9XA SDw36kb/cS2+Ml3I/ku+Nahu0TmG8LmrrwWHuLs/MVoWtLWl3RhCu5XHyB87/HWCtAUP1CHngmwR cZD8LwtM+xNlRLnEx5xITmkmB1Emfhz5KQztH0xG0zAnpA6t+IRc7c/e/1DubsbM6Jr/nspRuxqI BgV31PIZwP39O+wQPsu5WhTwTZAs6S4N5DF4RpoYynT3Mx2bVIkXq/1jOf8c8p8BGI5HWErRSpLj h5O3Wy5nUzgqijb9ax1lVVDCS5duMLFYGPFQJc7JCRDhrhUaaff0WFO4EPuPNifFIJgCrsfbJj3N px44kMgFAKTPhlHaudzbyKCZB0qJGJHZBB8fdTT2xhKTD0HyU6mo9uK/uMc3MzBL3WuRHyV8wVuo V6PRiFWe9xHUGhHqcqClM/+mxbdQBLFtDzZDdrUSLK5JR02p5VpdG121NEn+CBJ7ZWLnSYC3sPns DALkCfbHrIUxn9tUe7teB7CI5oYyMyXKgt5ghossU60feC5xFsXNmKy5H1Y6OS28uctYgT2QrwQC wURKWeg5DUjR8WhVJTLi+pKucCMBcflBytpVQwNjJwHAANlZ55Q62vXcZijWXtDrU0j7+s/DTi/1 gUJe7zIHpCB5WrrKUNHs1BfiunWaZ10+GVQDXlPN6nI33zcbEQl5f1WLCBXk+rYNqjfTusNXPRDA dzmjGK0AMzKN7jHjouJrESgmT/he2PWUxIuHh7pZEg85un+EssHnUbcwtbaJoiQP6HYOPY2ract2 bJDHNuBjWQ7IqTDf3am5OK16wIiUNjPvRKTot6b6WSnUZpXV56PvdwsYK25DsOZLktkKJGINJ8+R y0veAugIQTcouF7jHmWHX9QEjE5W0tZ98IoymIJ+Q0u0AqEnQ5uSwhkwTMm5GduXEsR5klsJRI3I bH8cKpNQzsdHgr3fgkt4ajtanvaFgzfEkcMx74hQTjnTnaZg+JbN9v4ygWlgfKp+ZcpjUjlDSu6Z bm0u1tGL32hyPjeR1vJOdkaIJxCAC7mVO18mQHonC4TcWwXFf06p/LVHhBbJgrDJEOm5dCqcumDa Mek+5wyS4Xt39fY4sFIGrSQKmD2H8X+Qep9INmPIF2vGnr+hQSdEbgyCwxAxl2WCiQK6Wlf2yM5F YZoT3XCEVUBgNKVBJfCh11SHTALx0DEjCE4NcrXM0T2q5SWZ1E4oKJz/oYh5hxn/PlAGsv+QSykG KptkI6rkCjRCwKbMXEiXi8pZ6JAiOh+yyRrHpHi9ROs0LpDkCijS5LvKwgC8BkstHRJr0v25eqK8 xK5cjWUy2J0Val7EUwF2TlJZ5AvYcGRal25lfRTboB0bfgvU2JGWhKjNpUq/HZyHqQaPQlhfZKhY MXqEqF3/ge5mxE+cwEV29iP/TxFcLyGFJAiMDy5E4MlfO+lGtKAgLCG0v554XBDGvPoLyqbphQHe OZNGoHMKuCsJSEN5kRE+yhBnfetgqLQhoDLqqzNSCbe0QjuXxG1w1yFwAYPwuOdDZ/S9DJkWTiyB QyrLuTMVhYjzwTDNAcckD96aOkg6Llskv5VI6jdLKjBezY8UxONNMRLCkraMpKj2vwZAHrD/AFR+ BNO69b8HQ5BVLZpOK0YWDGl+PAZUfcwoO5G/w1cZ4JHhzq60Lc7E3JUSlNyzk5k7gItXHrttdcAa 1QdruvIE67ptawaQQhwcBtyWmrlcFPw+Ba9NZXAtiXshCxLibAO5cGRk9yf0uBpA24vK7sdXsscv a7Z3chZlDwJNZo+zdFeY10mPEe6AZ+OG51KF9ZmPTjTJ+JeTqLzB1rz0/MhDKghWr7jd6yW5vxsN sJTC7JGuXs66Bn51+ZxehjLKG+ks33nAI4wgZyEbBVRA+eBgL8vy/o2A6I6OFTpwWqdMgfcC07Ps 1wI5Gp8pfuA4+3tWI7n6GHBn0k48tockv1wW+wZ9SnHs21mSHKzo/Me7bUC60VVQ6zicp2OvS/K8 LyvQE/Ml2SpyzT1lHH0HL5TpR3JD/h5ZBIVLO8A5oel3DS3d1QSgrTf7z7DWO4KZGBAF1EDitNeS 2wXcCs1S4Tkegif2D2aprytfVR03M9YRUxYrnJ95oKaQtY0fd3QuDFQW7/OCBlvpCKq1JonoR77v 9IKbiwuHUG6b756GTTFhxDSOUGOqrtSB+seKGWHInrbTu9aIr+2gNWQdp0s7zCacJKhs2PTgcSrN +BTb001NwgU1PrkVvv9mXWrvo1HxXBzRGNSFQ/bGTAfRidR3BUnbYBc2FV/QZxWtWqVTcdN4HJau dEW3CYf688zEJ/4+kt7I6OFDOFaI2d/wDWOnUas4Yh6+bJJQER3Y4EA2BgqH6R5Q14whZMUn8V/i gXjDDyqoIz5bXM8EigxsiB/jLFfPSZGSVKfJI7YH/UJy3+QRcbZnKBLWNnwcG7QzkgTzym3z1Hnz v9oI1CS6+Sc4kTqshzwf1h+DmlA25daJKQrGDm8vmJWSnxR+2K8QN7t4a7e81A5kolJmIQUFfshW pd/DUbxRyx5gEJ5kbfUmxe0P+iNMRDCEEKhZvU3d68G8V3mfh93H/vTxyFTbSK44869Mlx2uDuPz pZudxOS2csjKw1tuiL0t8iTD2SsoWOpVysMFFEC63D40aGkUg5yjD4cHY+Ip9oTaGEByTUrefXEa fTiR4s8iwMJzymmHl+083SVjzgwZwSNvGwmrnPl8XvrEORhpXeys8k+pl+lOnWoqBt4ECFldaDFa hHxAGZQrBDTlt4Ilnkf2o91Cni5CxkbWrvVh3ixlDDSI2YYVCT1hmItl1+bdoZeN+LcTu3tHzIhj Js+RzCCCksPrAOM2OUzqk/PrPSkwjIWAWsK5LcygJZ4nVXv3cLAJm0/IFRhkqhln4DkFgf/aIl2Q vWIHRLBn9KDHGJXHvoqJQqXsekyMPVQhO+Mm2bKiC0+IUjPssMuwpganl0NYXoOfAfGc20fsfdtw Y5B+TgUVAGdDW2fny9TXbMXYPHQneFrXsHwThdtNeQp5bmTYbE1XVn9+jAV5fNLg7da15bLXEPZO KGexQrr/DrpwNS7CF0QtAbB0hVv5WqHeJQ1igJC0NTGGXdsMzFMa0vTQPaZo7Mx5F4yWk6chbcCp duGaSmY3WmNP+7+b4Q5Gzg6pQ8/cRB6qRAi1H3z3xf6QwH9mfkIhT7OhAmm++mw2vS/TOAruv6x7 k7wWFQkjhXSTj+Lqq9g6kgNj4pamWc9Je1cceN4imh20smlIPHeie2PchkBEp5aSCRdxtI/MkalU 0cQWJuRGiCE3NIvGiTun6PZIuzE2SaACgERERaAJZBeW6DszcisD1/u+yW21LlTXitTtXTzWxUWU vZbNngQsRykW0shQHUPkRnoe4Z5YzhoBb7ZWOe3lNHNLRXXRAQQiN6RrlT1sDJA4GXMj+OuUvzgt cs+5qCh6buqFKctY1uGmCjCQXNTCXVBZTL02eQSRjybRaQRpHr2WaWhUme6fFspH8eFCc4kKCmoa HRYkmsObGzVEtIo9hbddcUnSF7IDqSePMftvaBi9udj96381cMTYwDpn0OHNZaCd5zdzpQqoDDGC B+7Oxpnxzt2mmP7avL6so0nSjp646V0Dxy2BfRqDgcugOrv6q4vcLXw94qHrLeynNSOCgQtCaqKm 6mULD2n/ngFC9tDt9ziB7nADWJESBEfF34eJR13oPA6T5NCvNCRHHIxT17673A8OHIOoBzYwUlke eCXuSXl82eL6IUIF3UCOfU+bpfHDNz3miMYJZvxwYXN3N5Hq/IN0CPvvrBRVCTkick6LM2oQd+vM MyTMIh8Yoq9uZ6LJoQMifZEsBZHhgo+Gdnqd4RE8KJnw0OMdwzSwPWfKd1y8m9PciE6EPaWFzwMt BaKd+DXH09/GEh8M4gzt1Mr0qMNRvR6jsWx0y0PbYJOTBIAe3GPrpCmf4yr/rxMgguu89D1z4TfW o6piki7y1IoTdH4Wt5ypqLFsXZR31T6dWLVHhLggPHA+0+lbWXXXf6KewbZqsJ9jLy/jMlCHNt83 M5HLzVHmYwIElpx9lEvyxRIwbr8XjY6ZAX9ZSODc13pBKDEp4bPJfX2aO6c4QOzFHYaIPgR7bFqK 7mRj3JZQJF+LUvdGKyWzv8+jAhP9Woi2kr5SrNTZjBeSQ5htH4N8Otmq1cMHJ+OhemCPzLxowob6 tZrjrgBPxGu1OaptNDp1zNcukxZXAqZIFj51GVmqV7s6gcFc62HGKc0m+oGhiLzyW3nQDXBTStNY kwfRyVqRmg15tosExK2VN7EVGpKrxSF1jDfwgVcVYDoWWdTFI55Bogl1sUicXh97OcoER6R4hu7b WY5XOvO7UDFCd/BdtUg2Rw7jRuyqBS8kvEPcoMTWgwbq9BPzEYrbOc2T4CWYpDWQZ1LvfD0YG2HT Pw6wk72XiykskVbfMtGnd6xDF7m3jM77fiNegjE4Ru9BbRoi39YaHKNG3LzIerQwTKFW7vqLol7y inj4roDAoeOTVyBETXHzKacGjXw+gnLLBx88uJQ803kVjIpbpA56vXgSlZp4mfrfigCkyBDQQcx0 JDGcmtLuRmJUflS0RCe7a5EclSg02q8a4nC7XaIS4qAg8DArSJ6Ldlosi4SDrIRQqLofg7KHIop4 MhudSE4BSmbZ+nErttkM68YVieYiq1qzMd9WflMMPpPasKih0wwU6Kd0F8c03R3FeKfIfhS+tJXz FutHvoffFcXOPdY9e7WOaFPA2iCly1mowEP5u8isPgATMZfAhOTSS1S0AR9owgpiQ5iNc31MiSNY S/oOLZKXovh4YrL5MOzth2TgGpQmQtWfQRB6zgqPhfBX8DTyihAWMDeTLQa4+JqnKLqvgQUnBl+w suA3OG9ysmX48gBjz4KZ23RxxIQ9cvuDRxieIs3SwKCI/BMsjRK8NeOrB3YRS0aqPmXvv+UR1OzK k91fPs02SMs49iJk9m8mxmwo5IPzxu9QyXkDZ4EpLTzZHTtoDUG2rTlEUuJqcA2YSXsoyLlsFLQE ye2t8rOdieFQ2yNHWfVnKS3ysSU/GV6ffTeg5dA/ozrGXTfvGlWvo5wR6m7NAlGPgTbav9rACmhb wFfTygnERCbzSUJjqXG3LSkC/78vYw0lIHhpwRJky1RQHrbhGIQdmj2UezlofKng58NbCPbmntEu OprEPritk3wzbpMfzR+Gq24RUsVIOdnAQLBpqOWGFEogz+aTUGyga/kEsbSWQny9UI6QmtaU0RkT LWCDNoN/cWDrqHfGMqh6mfDa5m4u394rk9BnL9U3ITY0VmnliruKhBif9Z8ocMZwm1Y5O90idn29 DFZQe/Za8KmuwJ50/J4x4/uoyMJ9fG5Mgly4Tk5LpQMsK+XrYh+aL51U4S3dqJpPyAZ/0pUVrdvn CplG3RGndYg98dGhBCDxXx9XBfDH+vOmC8Zefi+YiEG/5153id2qSY5J+zGqJ7ZXOiemQaMC0Smn AF10YE8GXD8YhdlNyo3sWL/wdugmde2iO9H7l7FsxPw+GC5OXp1+Y4rrlPAZlyRegFut77EjvtYm KbFKXwoCtvpf9mCQuVSoDAzNoWfbhpqP9SGF+8oP44N3760bFc6NQh+gePbLXPM0hNCDWxKwaZGg yC4loRd+6oFG8nEbPdxsOwsXLFUU2gvOwN3Ap/WJMwdf7HSNWxoe1oDcsBXnfXf9uDB1BmUyTL3l J5/6LoPH2lh8enMQVaZ79Vuoq+DBmQyHKOnqzKomRTyFronEhBZrnqcskw3r60pE8n/Tkj2JMGk5 gEnzEOh+CdKYcyv4SsgflnHftDOxeYEXIvfjRirjcr5i6Xx0kiX9cRtiJb4ggCt0DeR5pIbFKJNl YxLibyrqs3f5DuXqaOND384UZtLNPSuCvcu0CV8dw9ILSKk3AVUFO3hEBT/DG+7+X1AbPxLFvE0c jkQoQ3LmgNxGwG4KOgIXFWysRm6hmrhvZcGNozlmnrcnHcGHuIikjYB8ACmHgC3LVbdbrMoruR4q VZyEXMLpDhcT1+bApqMGce3XIa6S4tHp3WtO3S8yuQo5+aWHze4t5zKgSi471xraghl9QH6hp1Lj blX8iDfVhJYeOKJGi3NXl6tioRHNEeaYtOhs7Gaa6WrlFHPY+BnXbOksBqEMzbhPSOfh0Tq8x3n8 7dh7Ai7S9qmE8NQlYZADGTmdS4ZXmHDO5IBH7/6Nh85lokAkvfO9pW777uADTcDeolKQkRJgjWSi FjDmQsJsgrVy0u7loZQbfjNoROyf8Htr2wLnZQNEgJGvu3MoWgfmSc973i5DommlSRokJ9PNtJFQ KurEs23GkOZHTyLH1tbgbqigsK67anO97+X+g7xlsB7E5N4kMfbSmIs4BN0j/dMuZk+n/ksWT08E 4XDKokcCzRjnivunktdSw6qiHq1K3SFZpxSubyqrDzMCEfVh1FXY7AntY+mX1qZqvypfxI6+aGBN arUyWxtfDsO1AsU4+8YBQE3/5ocn5qklSvCd5XCdhc7VycoljmrImGljofl2tPo4loAYPl8VuJi/ 8BEQ1HM7gfRfBLmX5Ix/Fh2hwWsoh383X9iFaddi4V1Fwq7hCeH1kImiRqV0GK/UCbeSvf1b5hns K6wWV9H2yCYlK8L6BNHo+d/Y0UBmS388jUzMj12pW9rocquvuYnhJkkxiJsRYe2udVB0tZnvvkb6 ccBwPaqi2aS5WzyZkGl3abChGwzN+Q7Tre/u1WsDTb3w36zeZ/zAlIemtxk4HunAZtYtUoP5Cldb b7qQG2DVG1gqzyW+Pwh4bimtAhm1lgTbkrlHR+R8hMxQpGDScfI2TkVgL6NG5ccah5971xoP3K9j HotVaUQWKELheN9TxYzG8Oi9KxgyyArzGIO7G1/q6PGI8L78XRs1vQaTKIuqM8KuECxNhpCHAzLX R/x41r7HKL0DuSWXwhWfKa/oOPxHHla0QkK/zH5c16Vd4ukZOmPbss+DsochVbs8CbE7MKLhDWc8 WvVaXcGnk53pvYmhgwvlGG1BLhyMtZk+eRGypJ1BaoBLxBDVaRvmhf2YKB0Iu9FM7Dgfm9j8EdqU N//yLvdveUlJz4/Af34jlBy2xV0W2qz6thzJARKRIW/qa20Agu3VbWyQrXs40FFrENSyuyHmqxvw f7nIWKWP4sj56et1ixw0syyOnqd0ZbPz3aE24G8L/3FfRTWPDU0Rp4N4OIPIj7ax3F/ZPqOMGT3n 0KlMtOgQQG+icMhUmAqyybwnugQ6wWwmgnCzqvrlnWZWfXM6/EjPEjrraAGkdOA32WrBBgmYJswX ZmU0/FMiU5W6DUs5So8duO1l4N8UWv1vxSTH67Rle5mYUI056YdrjNdeXZiMLNvXdWf/dsJ6xoJx hjAFrscYMyb27DAW9RJBLbJFSRzwqGSDZyz09prhKwLxTq+z4qBQrDr5gE+eqxSQGu3l3K7dPXut s839yQgTZ51jlgEK7DiVnMrUZtDrz8Wl8wm65x5kHVW8Z/udnS1RPc6a9aSWm7fvcYXTp5UvOS3o gcUHXa3iA0aUYPyBzNV62/OdGYgOMG1ml13j23hQ0HNxJFu/fLGQKnRZ8AJt46C6c5w/MYOlt05O eJH3eplfHJ5aZFufvEKCL3bkMrl8S19G7haycr/URjluFHjPKdF5SXipXcUPzM5vYmtrsCycrsbL BJdBdSwBgkfKYUHS4Pz7siIOHTBPTejJNqNuyDpE/nsv63MtnWovdGaiGT9PTBZw6eeuhdU60sRi LIWihO38J2+EWcSqMOxomiCYSpwNCq91L3M5DbJ2l5OIjBxj1JKpGyTFABYDTOpsdQ7+0oVovP83 GL4zK/z3H/+2Lpp5tQqxW+uCrSWwG4WxOHM47JDsZ03lRmyTbv7z6E5yL3+trZabq6ibVLMiCQ9u CuSRqtBXiA48tS7NNl779Tk8tDgy3RumtG/DN/VW0prXr3KZhW5xYzscZ52tGfqPUwDS3+gYsFyU DivaU3AuAnUboqLQtVHrmhMOvaih8Vw1N9UEy8f56zkpZlHxpu/D6LuVL2Hz5hYe/hzz7saumzEC z4LWfLr783kWWCSozG3bFG6jb8N/oIxzntWE0sojy64eJy/LxSwZKOL8MlBwZk2FvHKeJ51kdQpd JzDIn9MKkIlhCOSUXp4DmKD3uJoLAKE310vnYdgELJX9/3oKdll8sOk9/aLelZ4c4pHCp2gyoiHq jIivxjtW1/4qcQMQuXjznuXzYNmfAT0ZiLc08Rf/kGp55CEEneOZE5PsY4rTNfjwaXdIZuNbdFqU aUOjq30xr5kTccJnFTS3ltCAqtZr/Nmyb0pMC0q3SATK3kykOeQwBsocgDxvcmZ4XoLNS0fmfQ0u 7oG3XwPewJZYHALZ6nrSwpfXKftDn5sYru96SVD22hVL1+GVHYCkMHZBrvg5v80krZjyAnBHhExw GXV+ckGng86wy8xvlmYvpZkQwxWDATkFnQo3FNrK5PR9QzgL2YcF5Ng2oEHv+HKIdfxAc0Btu0rn J2xoXNC17BXqAszd4fBne7HQtEYF5fk+Wr0sAkl0b3ALg0x5ksUcRIYMwHULb9ja5R7XGlQRXWCC 84OmgtC1iG1ICR+lAA/5v6W2t50NyTNz20LsSP8Bi879G/87n5ea14uCKjZ8aUFmjTSlFNy+hTOG K6j058Ka3RglY5iCmgMdj8jeXRCBjlT4y6n28+hcfO4leSHOZjrF2G0u8iuuStnlhb6PiBQae+mg E3AzAl3umTefBhHd6Z23P8ghSkWZN6g6VfN0HVgQyJsQPYb0ViqG6MbQeWV2zCWxm8Zq9RmhejUL Ya0T53IJboGHPULw2+Ps+hGqsrLaSmTfW+vFe9g9x4dIZfPIJNUONNRbTCrAp+cMX9HQvqjYgCJ8 q6vVuKYIKiP8tjHkR2NXTM0FegmDoS7EkB22zY2fWCrWc5nGkyYfnFXRHM37qgeNZnQ0Q/23h+jn /m7u0wwWp/lBNN4aJIMJtgcMGR5FjJHoMUIenZkSJiW9rxt6orWyTvzcBCnhsNG1pRkZwaTOaLyd e6Nc9BolcAEaQzkPFicnoptRaupEJ/cqkhGw7vdkZqopCUTWl4yBHvGVelH8TjPvbJhaqgrn9BG0 jPi2KQrdnwdq4/isj427O+Vk6gEqPvBqEqUgXf3B9BKEWm413+nYtfgViF9u2NxshKtksoD2zZPJ M2QHmh+48Au2e1RhchHlbKlBHrMJFN0Fn7wtuAb7gcvwHNcwcAgCDr3i3E58yabJZZ9wtWkexmnF Xd/4CDeUm+rydeyHiGVWGtmDVLvKz8DXZV1wuZjarDk+ibQGD32O1LTHi84gZmNRUDytZIAnggOj Mavq/RGZSBTZ/imWBC27qYmqWAIFzu2TNDPCET8bpqeLzq8MaEDgN0z4SHK3uZpQCEqg8EVTbPuf bzGqdDdWHsxG1HOv4TznTRhGT7n15VsL7ovQ5zOzTUj28e08nQDJhK8iyHyYQjN8CI0mzQaQ0hx2 CUzgLx0rtBwhi3z+bcC3T7cpdSYr3zi9KltZMaNDVeC0dJU7jAzAcoZKnBFgaF+MHtp8sACYSYlg RiSc5lL2t+d505yKMb2EoLy60I/rCOqcsdZKs5DM12ITBbBWS/sAxmZJfyAv2oPeoREi52pkVFnG VT/pNNBp3KiAL1UIiDdTY8Q7jUtMYdkSPdd/JydkSVP/oSb2+FY9f0q3YWMgtnUjgLFrrZdCQX7Q Yq8txI/a52ZejdSuaBaeQIC56WY5aKiBaj1RYsbRZPzWDNKW+dDBgEejx7ahgM7cWTWd85gVQqM+ w8uDmbbCzaNn0xcIHF/f1kJpphF//3zxYhoD5g0QZ30ctI5fqPln+o+N5vc4G7mFn8mBCec+4NPG tsxWmbInEReSAoVsDO7Q7ur2gIH6HnAwxK06enNlAHCMkSux1Jv0hZh9gmvylbEtdACvUTlQM6Ik iyqcTMstrNIDgASSMmWuLNT4aGkYbYWtCYd+W7fKWwZIwDDO/e8NJgLwtT+kI1cZR/VwxncZrgli IL3uoVcEW92J7P9PFLTIjcd7MC0iqqkjJ6HCFcks91IV1x6L2bGTsg6GHul8ea4GYC4I1A73LJsv 5wHTy/rD40U0iz0AYRlWgHlO5eIZ1C7xoLyP06C7kgp6X6M/UWVVWtPocMdw/vG0/1E+bkt9o0sC fSqQUPg+97xykgkTuqNFT8DHRdESMW8X2qX0mWZjbos37c1xXrCWQ892trddLnIQhJjiwWdChP5N 7jsS39ZV0vR10Yh10aG8z/wxq9+qtC5523hRp6JPFEMmVMJoPtG9S8SHwdIiNmSspRnJArR6t38K sypW50XrlDmULEkqH4KxTwafSmmpCGH6iFvsp/iC8tym2RhlGdtbkh4RrOx/Wx2MdchGhcZeEDmI sOMG5XxMpKXhhK6sdcNSVSX6HLItjvSHR0FxvXc1D+WuNFKpyThoubvOfAR4rtS9WU1oOGHCjKPs UYN7fLGh13co/eJ7UmTEscMnq6HTK1tv3+kQhd+yvwnIMTn5opcXYdiFYiMOGUAeQekQ1vxlrgm0 uJSJ2AJYTgVXG8SibkuzABaoauelHQAUAFx5+yUu95b5rV/UfABKczp0eOMBLkawZ5n74EBTLD2a xdNnn/PBjdG/zeI/pX/50VenSnx+R3NLI82mniPXAvnupPVwDlGK1NOQjrqSgdEgI9tQIqgFarKt csV+kiS/v8HLfJ2SDcUHa/N6vgFVApCaTzCqPsK/b07MZyI/AMg5yw7QfCPdK1y8smhmLu/VtzI6 TqoGxVOZwbJgDrLyV3F9Kqh4GDXt3UKiE4COmTJuOyk5VlMvPoLfPcidVw6HpX/MIH5lD/w6X+i5 FtmH4csq2tlV97gt7+yybJ4Qb1vyRdwmXswhjWJWqrEcRLJlwZbx41sE6CInBA/j1aVI3ZScLuW0 2oHX3V10vSmDXkw8sta5ruXHZlaLn44B0lpt3VoFvV+v8GS9V0uFvaEpk31fH5pH523QfPVeX+fA 9HYXxD9F4fU5z7Pb8NNNinLXjcIp+Z4bq0EGzvskXrM4A2NGBUotE48o5yhDWbLcBbOrhAMiEQfh O0rJlfs8pYHQV9Q0OfP89DDEJ70gfvo0Hbf4eFbrK80k+ebXLJnRgb5g4FvhPobJIMdOvLV1sdkD gjRBL39h8e3B31alegUTjuEjxGWBwqIUoGXBXkzuIvoewJQnpGPi6pJGJ728GtXHHvBAx6c1MCAP rTbNXk6j110hTFomvoeQYMpbeuIzPbbaB9APX8XIbf43S+wCdBCDyaAfLwvYD7Bllcti3x9lQkgk p1zdxvRlIPc6VxFa11/Beneso9gxHkOJWKIDFk8bETRYu3NW1/SNHIZw17GyqypQomivi4ldiAZi a5zXNaJt4CmLKSBz74ShJc83wOjAXxis63Rg+WNCflMekw39dNNo8yXoGqtiQovK8Jr4uqRwHrlV M5fG2Jp4TySWBNVYYk+W/s9znmhG5nHxcuS0G8OdEDFBqk+eipuAAQRZst+u90af6GPpPDeVOrZF SzxBDwkIbqnf9+pHHP24GI3zyhZML/vxJfTPFAIpr2GJaLP+lU2ZxH9Fsmskxy73vOhvHWNSB5yK 8+dcw2UD56TLIYO79PcjvA+ZQLIAT9clq3HGH0gYIcvr7NtseR8eMEg5j+5Rhw7mAeH8/X+iHCSE nb4vvyRPey14A+EOurlfwq1jAVsjTfWg+wbJA2T5A38O9lbj5gf+ComOvTHbrDihmvhfgKOnBhE9 8GwsvFmtvqJBCkQYV4dmyOJEkwAjgTS3ruDP95PpL4Vvbr3w1aQJ2ExnCVWnlnztjKiDlastzhmF h3QLeDpUl4kCkgKLBwpXRWwr8T+F+Xo3HF1X8XQ6/oZZ02DLlpSOewOreyR18RPjBSLf3TPBah84 DifTLoolTALV0zJzYr166maycGAAfSuTIGwYmH/RP3gUwKxeR71OHfnrYdVM4Q2IQkoIED9qhVqg soqvizNUdwxTQ/g5VbhU0m5DgCyYJhOZ0Y/pot28q4lSeyOPBdOpEBjWOmbNvASiGDvl8a3F2ttV wZMK5U7LnMGTtwtJ2PQobs3mDQ8V45HYu1eDEEJ0wvhilDZRpja33nZ4nGVAB1XUBPcZEBQ05wR4 KHElc2ZG5HKEzeaU6XRLB+y9wagddZ+D1jzRPcREWB2JgVMqxc3sOzXinPsUrEsUy4KU3/L5U8O/ dl42UfxH1xBtuBgzO+MFE7x0Eb9kEkneE4QZU1TFqPFYsKlyuH+2RXgSwcetitsznR6kt0n5a1DZ ZdEbUKUl+EqTXsgtt70rfUbuPqSAd8mzRCZ3VrjMa0cCsdINE0OrN4LyH98raUDXki/MAfPX/rMy RbQLyCq/Yww0NUTzkEpsUOJ9p7Uio8Iqt+HEmhWej2r5qs07QFnti68ox9A0GW+hl0ld7piIrbst g9TjfEbZd/4C2EGg5ay/yaJt82T0WxvyPLJ2dcUKUqdKV0/lnHf0MVy+FC5YW0mKb7VKilOPi5AO y7/dxNJCz79FIuuxgGFr3Lagp0DHZANyD0tviEHxedZ6Iic2n/qkRw0m6iG2Pd2yDSPJ6h6GXgz0 swlTblJ2fp/YQ/RSVqKjt/EgR6dwb8pcKpRTlUSJxz7mzz6FcHGLn5aoFMVcYeR0EwL8lFloirzW oNA8Bc8vI8lRg/R2J/SA483hRrS0mL89zVv02NfQD/c553VZfw+KBhOZ+DE2YgjG/iGyMBmKHwf6 f+cKuvuhRqZVs1W2Ff9MGW62yF/MjTKKtehNc7ouFJx7DKfzeGyZES779NOj13fxF9o7lVpsFF5P t5DrNgXgtl60k9g63mQH+gz8Ik7OJkME+MOn2qVuxd7OassOu+w5+wsxQdKvaZC3TLYScDcdsYco Y/QiWtcKfw4J3maEtHXW10UcpZWvlrGt7K2C6eSb3fwwLOoCZHqiGgsMGaUiO6FTmkf+k8bxJkLO IrJsNI4FOltyIelp8TPMgMt+AVAUd8YbAq4GwrX9gEZ8EPhxPZiMzJd7qsofgcjAM/+RpNRsXWpb Qd68fh52ZSphfoFRjfc76zjD9Rt0Md9D0M127Ro66V6HO6JvuwIyhgiz/ulzOP/88/FogpEOWVF1 HGRSbwbsloxrUJr7vQ5bKIckeUQ3RmdOyFW/SxdfcFbDin7s8M4TdqbCLp0Jxm1Bj6Jd3qmcY0JQ 9Z5cidOpxBSfgOPJnjqrST+03tbygVpk8ouhFP5ztnz/0UwByeKCT0xKT9FQECUbRvTy3MrEY85E CAAmCTVeGPwth+2PUmlfESyM00udW1faSdOUVJ3cjU6Ph2pijdm4P9xqeD/wKyoKS23FyQHFdnG4 q6ASwWRc4R9Lyww+VqIV9RkiD0Hu8oILoZD4ymNix6a8BAozD8TpNqNevMfkeBorTb4HAh+IzC3C Tp/+Gvp0Azezm6CtwZY3Zftd9plrZEuQeoGvlJ/yLRnxHE2sK0SVGRZkcX9Mzksl6wcOZnpxtQD/ BESKJopaZt0/rP/p9Kihj9wRu19DGIm/OewsiCVgc5+pzgYXkZj5hjO91UFzOZTko4JwZwC4HQB9 9e9S+uJ/slp8ES/kZOv9xdUEzLxNTtJV97KI6Lv2NQnADHjVCeNe6MyBc8BqzrdPtwsul49nuYkE li3RhKxmUR/JAjo7DrvDl+kJpXDA8+XN9exMSy8Q4SH+Bh907Bn7TXaaIuJ5wF3bamVTVurTE8pd swtn3J2Sp5D08ThcpUEIuiEuh/RlEm8ssye6abf7FNbeuvvxSmfbjxl6R7QD9hz5hqFa+XIwY1vU Vg+jw76bcrJdeX+hE4EFPIVcmSBKg34HvsMQUs/J6dCGOlCljOpuOlYTiwRCmwfqXQroVQrCaM4B wQj05SAoh8dl7cWsrzFqF9NQnhLS/mjhga+7lhDRCpE/FbSoK5lu044FsDwjBlXXQFLnM4STHnhK Gpg8KqikRyT+JJovnM88vXf5k1bP2HjtvtIzvQhIVQGYc+2I1k3hjrEoX1v87urMTHNyCT+MvWQ2 IQjq6qrr1iimU51U7LnL5tAku3lzvTfJF0fhuxPT1se8LH3vzVTUSy2uKv1BeMcna/aNVaSAmo73 3kGC+uC1CXCeoXmf0C3TEb7++UEpbpcm+EkuuXlzz4rDxP7hmDHi8MnlTo6STMaq5FvwYUDKiptR +9hXKYcI0s4qzSrX4KLtSK/FS1J8uDFgqZn+X2dOzfcgVnerAcVeeyY2Xs12VDTC6NxGG91oi86B qP8rCDoJCOPWc8QxVFIhLQa/EGsHwwEu/i1kGn7GQ//b3daLy7dhXqhW0aB/VJ9WR/DF0PmGKK8o nmCrV9FlgnCroHbx8Znvz4GsQl628gj6bBG9UT0KzdAm6w8y+EJwSgErpmxrgr9qsuwSW6SPUNkk 7eHlGm4e2ZqUYU0kj7xMm7HF5XDRTJ9/LLfrIHA6OwgNzN4dTk1qYfdVkOii3d4H2P2mEnDEeavL ltGyxbQkM7AehhItq2MOTEFPhg1IWUjL2GAXuwNLDwpz755CLXsyTsmwAHGI1pXX8AscTJay7R9w WdvLFd4Wzz3AKERq/tidoenrPBYF3IC5JBJeBvk6Txe5k5pbkh1xCVBzWPk9EOLcyu5RwLAEd+Wa YC/N7mrfyZHMT4J9jLlVugwMMhJp/Y8UeBpXQUQvvsnwddElnPzHVNCf2f1xojOISeh+1qYCxB86 z6E9dyG0LRzc/0nzl1iDMZzzrVA8P/2hvxthL3hAuz4/85QMJe8JORZBGGkYnU3KBvZQk8Wm+MpI rmp+8F6nSjfH6CSxlOI9gYKl8YzMDJeXVqG+fOQAjefrQvG9xucvl9ugw2rTnZqtmbQ09yLale3+ 2jZr8T8VnGOKSTau8Ax4Ig/zMToQFFqap3p2ZPsb703C4gOyMoHkasepddVRI/eowNEx0G95Ir6t DkCxihl4HPDAXEYeDCRxbQQ+fHs/1tpBbs/e110pd8DhMA3vrLlSf5aSHGcF7ewvbC9q/pzi6yic 4BwVPzcagfb0LfiVLPAhKAJZVMZWvARvQsFmNT1aESKoOqU5sWVPXI3NFDgfIoQOYjB4Xzr/+3dt Vpg8RX6+btxIbPQhSSazl3eWa4CJErOSQbLbZ+sQ5TbftNAWvmcmXt83qOFEnO6lYdlLQ5QTnxJ5 ibQt1wHfeLaEAtVvKI24TOyQn1DUwhEgB4MmeY4EZX3Mlg0NDOg4UYxH0JhrwGDjbOvmOHn3aoLZ erkVyghJYEE2/Uut4W2BrxTb7w5vzKOAj6TeUF7DI+CscbD0kv5+QPs/ErDkmFNDlDXb91q2Usfd I6+XEptIRyQTcmxugQDTU5Y8PPFoaAzRj1Rl8lNrejbI9gghgPm4K/vLr35613R8vmTDsD8fxw+u SykxsEsWQ9AcGaeS/rcqHtnX1xcx4c48gQQWV1SQ8t89FPyRUlucin71dNA9muD0Yuwcugf5RfJE f0FKH0QHoT2gIQPsB5O6vDWnuArK68rkZkSE1PF9DI6sIpvPZJkzN7Q73cJMOVAsHY4/EB1KTF+B a9rniLqqvKwuPU20xKwzol/2H3J59yJ+QyrP1nQxlM5GMUpuFwLgeTp+Zf/r7wvUU2w1jAM1BWgP N1VOqI4HEkXfSiCA9ABuKkV6K4X8s2E8pN552D3rBwG6PfZ+cEPrrJIZw8mZnjbiibzlXvrdFn+y FRmmHy53CIiDyvlGwCkdruNqJb1ggiyUo5wLTVUzATBP3PWedNd1QJ9R9oMv5nBSRV6nv5moe8qK 26MozOOs2qcElAKTCGXK8N2SMSNZGLA3am8BciDhHKva0Lz8lc4XqLrvBgKJmfAApVQNEMPJAIWX 5vh5zO2suJggkSDYPVRCTjBm5Hso6Ql7iA9CMxo4FbMUgWwIPWHRjbYESAts+y4Z558vA6Mqbvel Hq+EEV/e3ekHXlgffVxr+rUlNVrCqfrhjwswI5+gWRQMj+sYnlCljuy0hxlZYfhDh87Gv3boVM+V Ekq4wsqPZUruu49U1QJGhelXWGOlZPomiS+Im1ENpe/Awh7ZIrb6xA7nKeFWo8lQb5aAiEsJ0rEN Qg76fMH06RMnY9UU0bcWkQVmQvONlMwmJVcWc4U81I60gk1cmVfmeOJ9wO+2a4jSsdvuk0eIBdEs 0gGAN9Ty14uo96hsbAsULA1kyf3B98J3eKWMVo3PSinYzFgCQhGOGNF9hpJjsd5dGwgrlvu1+oyx XFs0a94+43TTx58WyWN8ICVgY2AYZUGgDlx3HC99kovaOqog2BQmv4bd+C/ccXw0P7msaI5hxSu+ +7NxflESAS1IV2nKC7SmDI1Shh7+Dl8tfsE0HBUzbepdSLqhoZnVnetVKpgB94SEAec+bNBCAlyN e2Shz8p3mIHCwNYTkcNQZx8WE/CpsIJqJXmH++l+dHrPKblfA8375ixZ3K0Y2DVkQuAEEub0xlNl h4JdkcWrxLqej4CULNHcGagFnqWfevR4zvb6qFu/fBdXlssMOO33D1+qJowG7npvzEWoUpiJSX+M SyD5rkAEwBpwMJEdcWExrk2ZBGnWUyCW7oInf9MKRG5LWnie/WU0Z6ImpoKSbBZ/eGvvfFLjHDWw dRV28Xu4pvU/TV0OH85fUpRnrhgdgySJKNrpPt41B/LY5E2LyJAO1SgdUZQkbfldxTvucUMeAIji 0/HiHta6Wl0yWrdQeKCWfzxkRkHqMKVxVH255kDiNwEfs29NPChMIStZV32kd1Jt+gn+oRWxpIKi qpeaU+OHc1FN2QI1KOhGfUEDxokexCrHK5Tf5kJVsrhgFSk/sFTo+ihjayFLtUZL6M0jQT1CKOK/ ALtNiLejThrXAXzWR4MTERyEK2ViIjlQYgtIYCYZ6gm9LlTeEk+O7DhP3HFMpi0fogFaNf3nRy+d ZTwH6wUyVV6/3EY2ii/iDUPCG5q8e3IarmoxTZcU9ZTlw+OhDBn99447YQ1kI/nI82cErWc2PgIG aIpFz7YBDBbTyzV4jjUYKEnARmP/ETUzt7bxYN4Ve/TEKlRNpdlr6clhkf6yMKPWZE4DVD914f6n 2PzQqktwqBDNNnZ1cvcOAWzKCZY+kC23B9CtqGLJb0bmggy9nrbuhxvbCMfKjwMPam0y2ocdj4PO YGCuoLETblGDEkQb8YjINutWKqDALACT696bNLsXTPQqiPzyCIBaVaTth/EndeIMwgFHkb2wgUC3 ROJy7PJcmZLNdk5Ln/l6i1QRj3o5l6Uwhu7oBmCDLOxM2sHBVZOV3/YDKxuPZCkqxFYCeoHUoVpf Ct5u+Ugcf5bmP+5bIOm2GSXW09wXB0KMHSj4isPhW1UrwRDYwfN5K3NkHzltorks7rB8qxAQc/I8 rp79soEm4b6wysoovlPZo+ZO3utpjy+QlYiP8LS9cP+EO/iXXFJkZG8cMT5zxh+YrqI60GHp3Gkh OgsKDosVdSsAwEXGHg3wjDLLXY4lz+lEocIdDc8iyPnHvisiAfZwx8pRKiaZWva7+WPg0vVWkmS2 KRttmF8cV5UeXjA3KUqSodYqLzXeDV3iQNHv5rOtUHG/vmRjMIpTA37QGQiNGTX1EXlO2qfuOkL+ QCFD+xmlcRiGxFxo6+agyvNV6dleuDwQzUq/YWsziaGZHDYE4/PAUgYSbw7Ob6sh3gAnZ/d61W1M nurmMBJ7DSgXyMujoJ/Ux+pvNaTiCxt4dViLU2oRwtMZ0lOudNptiRvxJaOtFRA7ggw5D/BFtQii eTo03mxFdioHIycx8lBC2B9E7yHuhMOsg+bPsW7Qts84A3nxQUh1qEXjfcOrEXPT9HmuP4YbXsR3 /Dea6H93h5rHup61cYMX3Xyu2FsTF9o/mhUDZOuOP22zxZxeYCnudXFKzRafeBiGiF27GJ4UzWvk d+NWI3K5C7g8lyM4+HG1PShrlTNH3cRUeE51c8SGs/v9ZUhHq2tOa/m8zp+HxzuRI7iTYZS5PyLj cNS95MlkA3sIvKmI8VmA8BbezvW9S12XzVlH7bK6ugjhI1GBSJjJeUMmfP/Og018+M4gRYlh9KnO gtfkl4UKfhJ/UvGaCGnxJNqngUkKHpg0bXR38INk5L6O/YB5jiJL3TgElawcZmBe3VO7rivGwavO Cd+If+/xNnrEsblxhk5j85IJR4ycIJELAZiMSZfWmb59lNFPWCFZzhAZegT2Dz8OvOR1NWgBRomW WhRQgzTgTamUmJerqHbypWXk5DrvR1IMDVbBbnz7wX0hQhDy5Fo9ceEEmuUWaSYxcokUg4sMCOE9 k5MD7aRMT8U/IawFK+5US5+/oaQIB8omAivaRIA2iGN84ybmm8nPrJbV6zSpBFjiCS5dzTHTL4ZS DWvgu1Pn7MSZoaCy12quTggwppxCnUCG6XI9jr79Tz2baRYhNYrW/O25XF6QnFw8oiLFZPxS2qEN F8HxXMJwzxybHt+MMlmTSGvKijiCGhp3VljNikQhGm24ATp9j13FOuQWo7XZOQNvF/p0XNpbgvtz wTbCV0K3/L4FdPo7lMsmPIqWALEhN0Mg0waQ6nPm2BISLWcF1x3VXq75xQOD6Sicr/lPK6rGue1+ +wR4usm3TiUgDTgX444WE0ztavaSSaoL+QCEKBXJHvE++broPBP5f8hsHu00cBbNrD0fn4pBEQva EWCKfUBaoEW7i+gb1YaXtHTLW6CyqiWJoahLs9LNi52Z29PQDi+XtC2X20SafAL1F0m3PT0XRoa8 qKYUX8TBZ+0iJtcMPDBUwQA0YwA3BFef9LD3XqxBa1OCEfkJStTo22VTXdPzx6tHtQ1JIbTKmdaD S8lrzlCeEEwU6rl1PAANNKOq7H2FJV9QzAjsO7bqzdt/Os3fjsKQpmZacOrNQHk0CcAOWfulHj4y uzysOVxvqpU5kn5vd1yUDvV4rYiXe751NDHf4gAbhDCT4bvRveRAv3uOgHU7a+drw450JSzAzS88 zrJD2inW6hzYeM4OrjiuktDgaEgi+ZFNVIGRNicoIm9ols51Gug5/FKkszThFFRsJ8JysYFWwNHY +zDwz0ScnIn/9DsZYWkdC85hOqUCSA4nBdGib52CrwjivYuqcrmgEWAMWIebwTvTRhwZCSV86jaR fDvxDvQrx/Hu/O/ZUPVDt+wshDJ+jbiimr2bTDQ5Yutmcq9kOFNLt7M5POcMqjXbsYZlXGh7gxzO zZWnWv9U8EQd8y4MGcnKFCQOwbyaL1DskFroeke//2LqFz3XbLJAXhZWDcjvIXA+EowNIMaxmKRZ CFfTCSwEQAfBtjpo0v9hXcX6ddotNLX9CijkZxTrlseuXxB0A1toac/nDsOk1BabTKVWF44gQJFW XIkV+rBNEpPnK60K9MYwxMqmmGO3BXz1xxKZjLznzgqE8OhCCA82FAgMoTfYP3Eo828LkjzyBBXU 3hJf+p10zhXb8oKZot9tmLslD6ZazXoKuedjbZn176kEP9s6TdBOVC+nPccLMusvX/OG7CubWEWc xqR/m6nAQxMe91/zD31IvePwojBiUnUlUWVFqwszQ0FvpqVTeReH/pwaOgtMGri4UdZoky6UtNzh 3DF45vZ/VviU76QsKrUcPzdMUK9dRPxYVSTgzLfk1iLrv+LNMUbJaL4QA38DEOWFqE+OLjTT21D7 X9kD6BPC2vrmj5YFrlDJxb7Sp2CEx9vgrsiMVTAuNe9JaKA0L10xcDbyDfmbrifJE4fh28cthZWx WKuF2oeqwY18fkV/BIQ97oSqoZZIyQ1up97D32/A7qGGqIKVCPS7Un2HB36/VLRPcZ3outvGBACM +NSVoKxx8kOe86Qgt8R03Ih65O0wVz4kd41cR1+wKqyPk96DF8wnUmWT9/9eCwj7oa2UFkQFadji vVerSQLz6opq3ECUdVL0DHUMchRh5e7pn04Gm7g2YaiuBuDe3lPqT2cnyn0wMjhgEnseIAyeIyKF 0/m6YkK5YRv6qr3JDEvCnPjtsANGQL8ZVZ9AQNZDb/I9/qXU2oHrfYeXDCFey85Xtid9WJqFXrrW SVRjogCO3Jwq+ME+RX5p4kencuH+vAkBqLu+Z/bO9MouQuzqVPwjuk7zD/vm/ioACiVpwubP+Xlc 9BudFMe7Ursx1jJjbrVtIxGNhHSqdatfD8XMhs20w7lmoyoz5Ul32N2yW4kgRnfPv45bRHJIYyxN VVOaeyCUeRxkX4b1yCRgvatvtnGSitBN0Fn0DkzIOwWAfItntiPLk2BDmAYt3zTZOcaQ72aAWbnb KsX5JTpYf/ylFQq0VqgpJ5zZ6vMUlpDyesLnW4RiEjmekE+ctFxwD+ZRUNIuTRJAtJBqHPYntMJG ObOrIsC/LPwCk+6yufsbB2W3Qm06LPDFyePAd1RpQgmMy7KJFIUpAbUjZgpcqHifspxqZa4aTbXU TctHokn/qFa1NmDCqvYy5M5GVlRssht7xB5SwEq2NhQY7XGuGU4zbYgE9/AcU3x7DS9gCOTzIV8D Q7B9xQj16Xh7I7YnddI7rAfQ6gfjiIagaq7ZxfTL8zJzFDbJrNRC/nW93sJPkXl4c0veBeTFzZ2D +ddPkzLfoNqUUxBpTZ/lK/tRY67lDJR6y3x1GrWpP8ouK0oTIYz6BsJJP1AS4V7NO+FIodJUsmP5 5YHQXR9Ol2cmQZlg055+O0rnW/DY/ci9QRtRW4dx5fF/ByUbIYRvZHqOZ8hXmjfotwNG0x4O/Kcj jKsx0e+ZoNAkMAa2wVzewm5+h+Fq5oVEIRUQQbXTBtUbqW4SWUVvh89+XuU6gU3MBi9aY97yJwYP Wo65jhw8O56SrjPfka5wOIxGwfgdzsEsufFwboXwlS8hcTkRDtXS+xFmCJXeTAfUYwRXrg+B/8TE qy/I5Yg2WhZe51oBlJVzLd77/REy6Q59aBjyK4SZitnqtDxvuREBifBrLaKksm5hQiOs+Jx/mGIQ B+WgzEbKQuO6wPZdT/ufWURxJLxdOx7s/rKmY1SX2y6dcyZPyos+vB/qHWn6g3k24gDZt7nNC8H2 c6jUqs2qkQYZH4T00ousFzFO0YFq3z2J9oKyrnn2Hjh+eqiqjUjWKYsMK6pAuIr3ZKzpKgb4OwWK xlZB5aSaN+r+/KPVGmpsDdfYqaRO8ME2DSvaquuj4ZAUMGZSydh5C6VJoX3dBUduTolZbJrJrFaM rMNZciWb/hyIgjI12XJMIfcQwV1ZbkkFD1ch7Ig/MYg52RADe1nrGzLVzzTNqQHqxmxJC7rMkHsF B1QJNOJLY42M18kHiD8ztHi6dzM4qn0YtQx7GEyY5s2cSUqREhAReydKtkiDzZa48jk1IvW5m+rH i7jgnMHaT1vcNrxVwlw/lzvRtAx+bmZwjnpHwVNkSXIARMYg248WdYR3cAyDL6Vnb4ikB4pAgbKv iskojjYBxVUcj07xVpYXVwCSfg/e0LQ0Hy1uxdfGkix3m7D+Yc/vB2OnKJkjuxd5PSEtiQompLXA YDTsGC3fGQnXWAzRBR1GIxxa+QS+j+p4WA4aK+g1MrxVk5XqbKS7XBO9NzuyctuhevoT1n7BwKNr 93Y62dwcoc1UzOfT9V8LD7C/gyUnDhsOLDmm20VrRuGwGde0LHNAn8xTIw1IIJs8+cZftkoLAMKA GSGnMVRtxgCpgEUJhwGn3qpwfmRs2Vxtk+O5RHGrnEdIOa8w7fDKPhtez4CaFeEgNaUfMV7rP7F9 ZD0xvrnIjpocWldiIyfuH0jwqwtmmf/5+DoqWpiwjpoGrNcrPhQwTACGVkWlm56nGIDOE+xSoxBr 0OuHLoRqNr9l3OpOc/Kwv5gFMyKBwosu0lUebiJh4BlFnFDF4aJt3OeAmSrDAk0eO8rUuTOR4MRB 3aejfKiKN3shENCldGdfy4IrYi44yGvNjvsEXX2e2UDs1K2JfZNdOf1JTOlFXMDBQCXu7PG5O5ZH C93cDZo1zQ4SjRhj8FJ5QHsCat8Q3bnBbxO2q8WCEieoDfQmRl83mO0IFh0PQSY+na6NOnX273gV XmgT/3sW5AjPHpvkkTKjgm7egVEDI87FS0j6bgjb6tj7V4cRLkVsQSUAFfRFCUkncg31w8i+IEI3 uTLwMWjxd6wC0TbPnYpBqTXKl+/FmlMd1cHTyPdTww4k5qh6het8lwonod6VDDB3bcKpRXEKYkmO M3wavA1YnylrTi48zUTvYo5lr3iFudMMQj+l4OK+aHT2+MIhw0fmKYkN9Qvtu711zTWqDazqMqHG lxNLeiRJHqH8pJK+8ByPCM/jrK9Qk9nWmMqHr2+MAZEhuFNjTDJO1Okle/WZXN7o2JbQFzQMla1b 2EozTYkAKTrAw0xb0NqvWUq4JE7Y2ooBMDxXohlvLVsDfru4vB2Z/lW7yi+I82ytsBterTlndjEt RebksmnYhHexKc7p5OFYIL+h35BL8efkIDCAIT+RQDqjBCTBy3Gpol/KST+J7DFbs+QKLPa9iaTx NcVhm9usbX9+ncuSlIV9LbtLD2VI00F8jt7TLcAWHK9G+KCV3jk8nK0Nl0ABe+vkxvIHFTXl4aMl nzy/Y+CHdGcApkfwQPrGlwaYhavHTYsuX0V+ad5sEcmcr2+pM7rEDTU2VRo24sOvF51+Fudw8sXm VB/c1LqJIWVpidfuqrKz1kUmuYliMOuqSG+joC0yEFRFjSXuKnCo3NqTfzmlBTB7iMT6jfVIkOmO iVxYV0PQef7P4lXISFUwWSgijGMf/jWf5X9dnFqFNVWSoDV1iZN+j9PReLSxym+y2VH2tSSwynSQ MJ28ymH5GEF91xzXJDTTUErO+QiNEb3IAZlaOmna2GWB/N8axpg04wl2BBAD0y+ENpm5gTxB5/HU ovsgQjPJyVjD2YLHW9JIbP/eUjWQ2UnHo644uDJpPRqabLQsDcDzLf/phE5hlivV399BmL6fSklX kgYIuG8lOsPwlLfVk8gzNAr1gX4Mknr9O+nFYEKcwNmOnKoRD5mV9BvD15WbjLHB3yjpVD4aDZfE XK1zC/tsvVMv35phRHI0DDgn84UclsSGL25EMH30Bl5bKFeZEanjatloXGf6H+0OunphH0hTpAwG aBRAvBN5XaN+9RQApniD1W3Uf8339p8tIYSbEh1zoWbz4DDJXFsTjfwkAkxIyLX+Ip/H+KhXb5M7 Wxq5FCqy9N/v7aBGFWGgBnVPrX+k5yPcEkU8ssAmhzVRjBP0SlNhPXjYtBWucBptqneqDVF8DoV+ 2odfe/TDhUiV0e8Bqm6ygPyTpnAV0npou4MsvA6T5hfzXvDzpkyMDulANsXFJ5J8s4rpKR/fRHKk 2b9oBnQbj+1iUD42kXwNmOiqyzb+8iAvpr9t2RqkqN31YOz6P6OIXFCZ9F/uA7FEwRXr8t3JvK4K 6HwRUQly1WYb7UzOHpudmPziDSA1q2iIgxX0RmMzRo5Sd7Awj12QsVc697vWAZyJqUVpHtF3rUqq Lgn2aNt5/YSu3tXAAkbXsaf05yjSGvjeiYI6f8kDs7zyR9KmoTk/kawcZPdpTq8Zcsg/NcDzCAVt Us5NVeJJTw7lsUxKFr8QCHWyCn/mazGXRDI0Tv48fRJVxehgBhYqntdrf5KUUgUOIhm6zz+EbUBG +SxBz7Fp5Yrn2ML8fbsLF6XaQuI6B5qgfY5GYvvG/mQhrcu+rxbas93lOzPU81Km2FAj+XD/MMjC dO+U9QauA8TTXiwEFy7XLyVCMRQ8NCLFAcOwwAQMex6u3hm9eyy94KS+ZN9VfMei5uVCEg1PGz3M I/gUQOM5Psg/O/NCBLQcu9wScP51qFDC6PAvq8S8G2TxX5M2GUjL4B/ugSp/6jvckCAb6Ud+0h2d 1D3W/BrPoHps0/DbWBLOVdyv2mR0Q3yWQSw50Ta6QqIIkSHORKORdBm6FNG1Vqsm3pOPX3GtYPSr dfY0YcGBl+XCERClNZuNir5Ci/Q6T30ow9tI1mw9SdRsszWsddfIArDH/pClgWaVap95bxgpr75t 9AfVIYRsAEQWPX0HjObdKb3UY8fI+UlADU4biTjWFExBkmqOwN87jSP91gAcOzcfkAUikCWbRmC7 Z/jR8Qj/AyP60Vay5lTYvNs7vdZ1bHLjrgMMRBvCJ+5yx2YOSiXrgqPJgO2dGEDvCAYwQnLjnQ3c 5unZFU+XLimlRPwqCX+1gqfFS2qpPxcR4d5M6CDI3kB0M+jPkQqVi04lGJFyQ4vSI2Mdct1/x7iV MTpM4eyRPttMxBw/HrhrIFcv48/vNeKj9FbgUB9bgq2/rKO90j21wkKHoVKK0Owj8447cJ4LCSWP BX1DZJ16biur5gXRqvQ/gsHPjnHm90K/Wjc1xENY7l9VWPMjdV1+FjEhMI2opN8SPr4iBoPqX2pb eXDqol3LadOAqbh6WopVFt80Dd6Bp1g6uRqZonbfoV/ZGBotit3ENDzmUas0oNpszWTc1dRmNYSK ot+qWDZe6n1hiA/LPhQOlZmAFFFkp/d+wkE1i18lJjXMNNLKhX/yTpvqhFyyFsBKv3Oy6/K6sDEp TQzZx/lbX2HgrD0zliPiLFuxpVBvAgm1rVmr5fdTBUnBsNn9Lzb8mzgrUmPRpuSz0Q9giAxdsN1o b0cQCbeJjnWcyJXfQkFGMKSmLsinBe9ycyz989//abODUCGyiGUwkgNfQSIVFFByC/bApM2CBVMi utKv7PGRxHorISZVBWn9xA4cWH3C7jWp8wXsrzvwQFFW1uZs932LhsyysbxSYfJKLxsJ3PC8eiCc vw1eCqVB6Mv1niTNagUfm7dvc4bmhjqL/VsN0/F3+3M2pQC0z6QKnU9N3N+Cw0GQ8MAIyV4GOpvZ 8/+jL61sP4mZwfg075BxBU6u5j5Pj/vx5/r6r6OTwELkMiDBUp8X3aveqfwoySh8jI2RoTg1pu3G DXR2KHre9PQ4DD6aaAqN6Mg6/zX16YTAHhUMKm3Yvtdy+w9whhBYvt2tbBblj72LkFZex2R7BQgp hz7g64nIVRLiOZsZ24iPyOc912KWWuqqzq99dwo/P2ukb6g8QUAQ4L6qfyHdaFeOuRnWhDTRWbCe 1W2hxgzrJswnmvXZzt//QUjzwqWjEw87U9Nk1F7+Nz0lQXjVJov8RkDslipjS/bx45ZW8Dropnke VE0z1hm68xhyPk9pJ+F11KIFwRuz5ayeuDxlty5hCUrGaKWTyF1BTg66UzMnt2nEcsRAVawpD8Xx mDC0mLANC/WyGmX34zPrxMoQXAddDpnWNM6RqfjX8pn2K3LgTjjoPoSNnJYvL2PImoJjuTMNV9DM diczjWK32Q3r+f5dtL1fHAzE2iuk51lgn1xDNzGjqyWbaR58Fewj6wNP/pLirO+Czt1PDd0pIoT7 pOCfzY94yi+K+MU6GzRvvi1H68zurdqS+UHtHXyUViQNzgMTql8rZ+yfnhwtocuaxX3BatilJicR W0tC7qIhp58t0eCMIyCGAFSlrHYvrcbQx0TwORq0xtp9p6YS/JaxYDrY5vTiViebevGB2BnGdZ2x V1iVdto3rHTm/5rBlOCLBGXJo57bqWgzMoqNsOJtX8V4zxYeWJ/swEZQd5hKLOfj76H9zcZqYaGk qvZaG04mgfAGF5/5qiqcmKFEUrMoHimVNaedRAihux96AJyBB86vBI/9dcq2eOamK/2R5P6njNds Cp5mZGpVqHSGIfzX2rfNiC+XtJ6kHGpr/9LJS9LLWCRpawPkXzgg54YTSlGez+jQCPZe29FBWIGY D+hoD5W8q3hukMeGLSoVG2YALBqXvDFORIWEkQvQ7Zl6Tj3c21Kk4IJUsfvO2lT+fxN+4cn0RG4W GfnhzsFt06PGiYH9GY20GOX07JEb5V26P3l0iPe6tA6d1f4RynikZGLof5F0GtF1dDevIbVQewBd tMVT2RH0a0SwDZJM9cALP9lq3sUya0aAs+xOlWNNWY4hc6dBTX4YKy/NRE8faju8f8zBtwfigrfA bB2XqWJPEQn+U8M5mSosoCCy8VknfMxx9l3I0RcgR8p8R9A6RO71OrL5a6TD7Y3z7/vmRF6IJSc2 rhwV+IfOIc8et3tPLJ0pb6rlVoIBrx7svtUQsFMFy6OfnpbVxDXO9ziY6Z25Jq3J5BvRP7gHI6PI pbumiUDfI2zJlNl8BeEDtxUyTOugv2iMOHxUJRt8si8BjW8tW6qp0IjPaGwAO27ZyZs0p7PKo9Vi ztlx52l1FPjUygVUykVy+jh4TdieP2jy8Ca9Hwv9j65ODSuI48VjIG1k5TOF+FrmLOaZPSHEavRt t2e2/bjkbB9c8y3lw/EtKmPzKpdEqYB/cA0wdO0cI9yj4kib6H7m1Y5B6n717YtF14LDPnqXRjU0 KHj8rhD+WIIrv7ti+jDlWlNWkDYIDGrtF7tghly3XOLTmolqYxTOtcOYJhWyExXK2s6I09wDxoIK NM1YnVcAEzISjZM293sIG/rrbwa+N8AfCJDdI6aOid4zKK6oMcu3jyqRulrLKd782G+ENeIM5WQN vb3TT8hBimxw9egWYDIfbuJefAJaExq520xIdbzz7lnHWqBW4RMMhj3RMn30N7zZW9ltDBCHmRR+ RuOnsaCQj9Lk4qRrN5NUeBSH3Wj+0+NTY0PHe2S6+TOY4iZNM7wghiaYHOf/93T9+k/Iqop2UL5N olDCYDhyITUaVkfdErvri+K/1B1kI+YhMqiRGNEzdtIkEoD6cN/q95Z/CaAPWhxZZYiQSIy6UXh1 CtQzvUQnsPj3OKYlHNHnhq9Kxv7EYRCawTZmcmVZuTHa9Z8vxfTmaAbkBlKYdwhKgat/aHeWlTSM xLUcomOEahqxrXAoO6KnPfweDPLGTbcFAr/LInoMOxM/Onf3DJwSFzShLDjrYZNz6mI+pQvrlbo7 VGUqWO/aPU6pg/cqbMCj+FrR5gInwXHhxZb1cwkgxOaBo+GKjbhLfp8NmOSYRPlpw55QaHY1ov+/ /NmVIz3l8jxBG162w59ze3BVVi+f/h/+cJKb3uwv4oz6oNJ0djtRzO0pqVmiYtokSGoG5YhvEB8s HPBbUoGu1LFqvFyuVyM9Wzug81nNvoMk+WRiMHiS+aV9eGwtrc7b2DSMYNu952+QIWNpTNzQciOK iDwLhu/zDhSVmDKclq78yGfkGfYSaVINvBdNYvpyATRyt/s/g3kBCys05j8Z1i15mpEqNqHCY7VM CEtVhZ8deV4yZGxk3J33cSbQcdZ1BYfqfL1Bj8kt/H27bY+KzRVwj1livj9F/dySrxma2WH3DJGK od04q3Nwwr4VljYzVJt7Pf7fTyaywxxJZB+ATwydmFfnMB4mLhvOEVngyHwUSGQEmbGXqiM6IbYx 03eLMHfL3JfTejTM4kaXkaxBJNImShGOdDTSDV4YNDmAdL6K/R3Kz02elVUfCrLTKgHaf0O6m/O9 yt41Wv+v1CXNlh/lt7r9d67fM5NobNG3MRsdfrUxbJDJt/sKZcF9ZYQQ6DFVBD3N1nvf+BPdQ3Y9 WAhzHU0ft5qbIyEMJhf7msNp5cXpQtL/K9gfwSqEeiVBWiw3XAogM35byHFhTkAScNJkBiIhBZvM LddydJrxyt83CnjtyWV4/6a7TX0UahEhrA6x34B9qIjLEuoRSWsBcVyBD5Je7genY0fWRN//psVl pZv1TzIgjGoMWxpKniaYiFNn9XhWhl5Hz/XAKzef6qycuwMIup7jpqxt8jvV0LmYKc+oViOi9o9X rfS8XNa0cr250d9XWLnIhRn5wQffdiVj8b3kRGxoRszYC2vJ4dnny+p3irJpmC2XMVj5X5SCOguX KO7r6zpEvKRNG2dIyO81cXhA2vKOvTXuYpZdaVEMZZ5kgRNZ181l69xUK/HP8YgVSzy3ap+iwuCb qGu0V4Sol//JyaG+p+VZ6qeyIMfHsPTa6AGWcxPeoTiHl1gJCRZDz+brFEaFC9qAdYIwR0N/oxUk tsOh0bSsYaZnkbhFPfRdSuljpsoc3DYeHluAGkggpTK4Z/0xGbJXOQSmgHNTpnXEnUyAH++Cj6f8 vkrsmlcS/fP4OVvGyGoK0GxFLsZzAn65r/FHumx+xCvXRJfCASG0Sf9u0dWxe8Emr9m01aNr9tRR pybn3BL3/8XMzPP2gPjWP0DPUzwAywlZ88kjO2GCGGdwXMkeGKiRqQrBVokb752BP+nYFZn1+Ahh bXFqsKW2RjAqtldHdyR2PqzOgekF7HLZWDZYdWzt6l3zH6ndrkFq+0lUOw6kWfD6F/7KEDTl/Emc SAcvwHwfcYmPR2yXtHUO5eWk5tX194IjoVR8Mo5GyLt3gZOULVFBx8KL/sb14fKQi3j60Ne/hOXn EA+oq1LXSvrawOspHf7kbdlhFQf26kHB4CJ13pp2O15UhtJQnVJUibniaSD2XNorQu6uQcGappBS Vm5RnoUcWR7qTHUJgMN2iCopujjMo5EZhQWnCL/d7z4UAbyAyPZo7ESp6UVY2Zv+W3vM+MVBg2yC BlwQRLnX1dLQovoP/WodOS/UM5bqj7F/7DCUjSspXqBqQtx3qivAXtHvvecwAWRC7KNQo7R6/pZs zwNBgYizK1djfynM2C4NnK3qqXXNoLvWvwCT6gFWZZ21WB6nKY9i5Ka2pZwZ+x+LmszhCJL+nmG1 gR/ANUit+DE2mVZrZKE7+cm1PNS5INvNC/QodTFUlAIfkdqnwJQkSEFgXfwlY2GpIZgL7pAXpGbr l0vwf/tPZMgUTGUxOXsJWKkO34CMcFyzXIAxhbwAcey95P/GSJXB+te48N+kK+zGofK/Pnrsi0bY EOKz688plJ8jq8U+hEcWQ2n8uXWvFtFSQ9DgtCJRkr/Pc6R8VFhkvzl0wh8ZAC9Dhl+SkeGCH/RE xKhu14q9BL32o2KcMyilKlsMO6/ZBTDdHilCJX+1UctA/y3sV4641h9Jiv9Zjn232f+OQix/Ii75 L2RupLlpRWZWkkEQdilNGh+Z4gRo7R+BevF+IiSudromrAu9TRWQVqjJnMjbeM4nlgdSY2j0urDC 1LWWwLd4oZRG3/4vYO8nNIII5jg9J2Sg87Z6ifsJ7G5yzTopDJTbkbHbkGKnKk6WttBTjBwvYpuQ OqGu/PNhNs2Nj1QDuUEVO05gGCrJoviFGwDQ8LEfNfNwGnlkeP5zw7WvoniOb30Fn9FYStatxGcr 6lFXAuFQntigcfEKNfGSQ4IPQ0Oq4tN89q8ID7tlKmHoCjsynAmgKsaPaVJcSuzNjvJRszgQ1Fu0 njPal4NyX4rDWvcsKf8fbr47TSYeNBg0t8kBSlZnJev4dFT69Du8EkoHSEklogFx+IXvFHK1XXZ0 3U9DmNrxdff8oRRsStAFWydlDJH0Gi1kyzqWrHoPnd9LodusBDM3PR2BJgiV/r8NRqdXGX6kkB4q CEhENTecjB1e7Gr7vdP80sqwsPPdPG027LvgnN1MylhKfVW0Za7eBsk8K4opRHjwnGp5uHGGhopM BkAOT/wbSbaPQvfkD2Xl+KMHrGSnbNIInQIbj38dWyfaVCgUut9rGS5veLXmCfT9ekLdywUbwvas n3NX42kPbUJZ0l6tEWNXK3qX4u1k7UN1AyuG6ngVzKb/ZWWQnj2wuR0Jdzt/oxEY4fYA7U5sDukT dhHluMJKz4KfM5hum7xa973UHdeSVqWJv0MbC0Wf5Id2RyoO9FxN5bMJkxk4eaU1q/99F6oMeZuf 0pLGTjjHE2mxgeM8QFpBjGhxAJbFmHjGW30vIzRrbGo/xjDkeC2i+omM3mDDGjHMc4xEa5IJgp0q nU40DrZIYRHPYk0jmj5mud+xzD4FTheGTSwL6bpUUyYoRYf0dopmkwu+YvqKIR00uHG6w27e1MCe MmgwFj3vnIwuAizxBINxvIUxHhZ+Cvn0YPV7cdcwbPFJiIaLc4kZaXcyd5n/3mu+qQnXo/754zi4 TtUtUSjsS/+Wu2lOOpJVdj+ncJCjMxSSKot2tVruwcs2T+MDJSoNQBcTxmO38VafDxA2ESbUFPn2 CziTOldd8HReBM62Fmdqgdiy7avELGcWjkAjHRCZS6xqvMSCEsiOgvAJkV98ciKXUqbpRDRULHF9 BWVuXkJEnmC+jpEncNj/vDE6QD8r5F9V0GbLRKVv8JIR6vvTjf+D2icPcswS4cwZ5kY1mmQHXjqO 6ysCRANi/2yHoLO1frUf1jXdWxFOKdRWcqeGIUM7Stuy1NMLh14RqSfgM+TbJWu/B5pV4KxaTFFo 9kyKxImaOP4ElJ37JGfjmK7LGHdfnICooXf7zM/mrGySCF9l1huOfGKV0ZzX0ItLGYHsTV/wnxku QUDsSY3Kyo4EsJ2aHShxbkJP4YUW9z0B0B+YH7vyGwrT6DC37PORUoeq2bR121qOPZbT6tuGDRxU xKbU0Fd21B2AKev8zrMXPFaouqAAopOnb2vXTPj5SNgy3x8SAz5SNGyR0/qn1idyCY4i0qOr2ZOp n9F7CkvmPrMQaqav71mI5TMozZ2XdFhCnUdD2HoQTUNPkQpqtcckVmEvMLOFs96Win18S0MZ0WAb s28shZjT3vd/umAB6gnufX4H4cB2kzgYICdmq9n/PQNNuxsoqc4TW2+ZSQ32z5IsPlimtrxaVkQe 0Z8vQa8XuyaqfgXc3RtxCslEQr1xRoeOUDRkRMbp03xcmhqADbw0em/frfabpGy58hwagD1ziQWY kZds9Vdh8CkuPIGYLlqDcJdQnm+nDqFAZ/H9sj7FrsmOwlxKQ79TrZmpYBQ6yv3j4CsiWWR9gzdK /lfTbu6TrNGBz3ESMcr7IL+AhR9SgjsfYA+vjzQYUzwQldcMTdto6flLV/OpIGo0yZ5TpQipchL2 bmIsK0TXwsJc29fl38hB/uWCEEc+lB6yTQ9Gpj8aGnkjkARzi/taCmVLHsPw2ymE7D2Ia4aWomKL OXsyU7eepI8UZQXWCkTZjZcy7OvVWpbAwuekuiIEflp64x7LsnHdns0bbJeiqTz1CQYklR12iB7a SqY3uMD4eT9SxhuCXfAg9hy8l87q/3R5JFIHmNi3CWQHILS1qQl3GjzrsGTfN89FTmSRLHFFxGfq Kmc3DyBRfMEcNoXykZILTpG45YXYTm01MQ33v+7q7x/KQqNYmd2OAKyE7A3kDR7boML31cu8bxdt xm5Wf5lm6qO6YdC9TbeKvbX8+mOLj9QxjgiuGMC+4SiZTqL5q/oc0ZdR+ORx7NUPN0a9BnhgJGxi X8YLggEmBe9Z7Yy/ayjqg3ociWiwREYFWHwApm6EGFn0Z/MdsZEP+w4V2frZDcZZ+s4MD/+r/znP m32P4xqfSk5aFvMwCXnVCBnxYjmalQbd8UUm4Jg0FKrl3Or2qWDyV3+g2cDCUhrQuwMigd4tkoG5 D4V0JiJrN/z24Nl6IMM03w5JDW1QGm83+RiRH/4rf+VubYSu/B7I7rrlEew229Zl/YVVCANj7pPl 8tbLAmylj9Ct9i/LotRyqpCN1WggY7iqRRZVoNa6x8w3Yn5fEx6FBnQgIYwRtwMg0b0Q8SoqDNK+ NTxa5u1R7FfwEr7y+jsPF/uPZjvZV702Moeix7jkjIaYgDTQNiySKAYoYZ63NohSvUj+3hyz31IF Q+ffZF/iXqNNzMWTB9IyDYYn82M/dnqbZPIx7O8cCv0XXuR0wNGPXr4cjCQq73XIwdAE8jVohPfs AlVMRoc52X7vQYEIUDgwJ3H5Vhck/TwO7l8bEHodLF4fETYLvWMKYjScit21cPelWfE8oqX6Yc8C 4M4maIe7Vd9q57EMGazLSTvBdPX+Y50Dq1jKrCOGGTv7kxNX5LOA2oTiBu/GI2AHaFEb4opk/wLd XmJV20wP3f6UpCeOQ2K1y3KgCRUxOsHuFODHVurp1CHm/Ja5xmQC08c6ybzMPF9woYHVRcgIiMLR h/ZAuFG/AltY+swaOJIokNbcbvTa62K60S7quauHCPtKyhscS/OZlRXczEhlCnbR2B0h0f/E9tNP BsOMXmkV/RI/0HGbpwFzcvxlM40iJY13dmDU3spKnLDndjEaS2p7VZrCZY9enaK6IviFBDUsVkbI 3uYFhXz1r1xXm9qjnVFMarwjbmFcJubxqNuJCchZLnKqB8aziDA0GZ/RyPeSFFyYhH/Jb5utSBg9 oihTLQEMoxWK6peVXo9ROv4TUGLnM7A4nfG+Ruo+SZ5sw+5T26dDLwJ1HXkWbwKgTwQp6iqW69gs 9xAJ/hNSqwYm8jHSVt6iuOINQykJad7sojycUoLwxj++XKQ5FWoYGuLi1ad4tuS7qtIW797Fn2Xs YKwIG0arAp6oP9ctMF2w2xIDayS+eAwNkuZQUz7lU5gU+Rgh40M8Qhea9wUqVqFRUFZT+FGLZAha up02ip1ubRKZvgfjY2RRudc8jCh/jeq9Im3RUWS+ej9Gi2E3rgwafuMGXrJkHIIR2ezDzSCCJ5ez 3c0vZSsrTCIXNu7lPwOzLQZdtN5x0Q7zHS6vSjqRZ2s4QAjnYlW7p6xOcF3XJmAV8CGt6wv1WW3O Exs+m06ZR5EBd6gZcsU4HFaxgpyhgWYQ+G+aVHAduI3DOu7sZal1qLb18FokqEbPKG975sDDj22C bHBQbXdBkZY0DWJSr2ubkXlCNxWaGHpJ8EMlMBvH+NV3hkkd+9n62YBqv82h1u9SEZDGpvv7Rxxb QZ2gfVqnlOHIvBVRCwtc07/6wv+BAPhiyHFc5gKSKe9exqageYmkdo15vhkeDGEZpAD2dlBV7tyq yDd6fYZO2+fhJI8Jjm2biYvIMINOzwMS3dQVeLQDSTu/HXtcFTi3sbnLmQCbQBidIeUtXf/eTZC/ /jb1Kql2pFiakl1jG4CFRELTBfO5oPQgUC+jEKrHziMYkRE97ZfYne7X2lP+egIPfRleqRb4CgTk a4jPbfmW9B2unjBXlxdKINM/j1vesg1yPvj5ooVJiipg6HR1wMKX+qUJ2GNZlLBY9jtqVCNM0qTs lLl6Nu7QUMevwH3/ZcRQbeRGiMWxYQGl42ZKfMF2aPLZIud3gyCiDH65DnWe/9up2CmMKv9NkCYz 4XTlb095zqRZ5cCJSHSPh81xGYVolRGbFXHQsTrmo9/580b0/f6xgcZgp9YG30UR5owS00hLj6dn r1z0r9x3Y4QfvxN9SvpdAmDhmt98pUShEIhipammW/2PfUhZ9IsPFillYTsttkjIODHUn4xkfgO4 kJ+2pbVNP77oHjT4oXHDAncwPdZX8uQPiQwe3Mpa2V2pS2srk+gebSWwgCV1eowbf+cb9PP5WcZo 2ndzimRlMx0xeUJMqjvsvPQjQEGB1vrMXweZJGIT52A8gsmnJUuuVHK9OXhFZthgeHVzHU72KLDw 3t48cWNlWFsfTMILUFMj2kHx9cW4YgNRDhpZhHevx+NzlhIvkCxheQwiyfffYeQiPZwC5LpfBufq 0qLb+vGqyepsVpocnxLWadb5O5UHh05/YBgMto17EvarlcP7J5WGHE04obf0HlN6v3zxx9+soByg qviI5gNY3XU2eJu4tpZgTf0HTY6+rplaHE5sGjCyI3OYIArQ1nKkuJXXZngT8F4QBfw/OHK6ecWb 3P2M3PROp5JfFoCtteZ/LKE0JVyLixjIARRM2NUP/+1A7hyZQij7kqnXV2J8Vujl/fzguDc9gGnK yogcbUL4ZfHPbsVFg+uePYRPLOJv9NfJOsLOvvtEKEyuvXKdCngE+X8BNe6pNr9AcdVJvhUi5aql 0zZj9aVDfbDW56lIt1gOmI0TbWjYqCWqpnn2AvgpuPs1fcXpWNo15wXHi0CHyadgY9khnzIXKgDL a3xENiLGQ50ES0zBbI1aE34R80hnJiavddsPkE5PYZNK/ZsYQwtYQxvGOD67v4UUnKLJN7yM0Vki SZvAAWzv1Ak6KoHaSH+ReCWf6U+PPSN8kOJpHf0iBBO/00cCQZXaYW+r6E1ut/VYp09o5t0Pg+BC Tlb1ry8CFddA5dsvthkrOJDIQWNnvll3qKJoNRNz5/8f8LdpIAYKdJQOFW/+nwwSqwr8oiPvKvrV tX+ROk9ElJlL0PjyLm8iEhYNFfc9qrMIE1aKxqNzkOaFWWirakB1vP3IhP/ty0S/ttX3g53BcKiT pwuWw4Q2JkK8OuUf84owLdlfUk/orIgG2AbDHVxEx3+OLIq2hliH+rqeuS7OS4b6sN5gl69KyhxX gcMB0wEh99H4Irz+RtxP0RYSdrodV8vH1KQ/xTixlQmAiKB/P/KPXx02mcmKU6UuRhCoUFVupgkg Zair4H8PHxxKgQB9AC3QtdOiTjCv/bxtp9c5aVb9k/pJQde/oIo7hWeMU9WIut2xxwkX57nS/mTZ XYL978B9XsHTS6+44YzHzqzu02v+awP3g5c5eG8LcSQJNKZpO8JqtS4JyF0mUWlyBK9ipvXi98hQ 8Cv+g86SptL9ta7cwZ3vvVeq5AMVrvXH4+WUGNsSet1itwf4IllREsrOYjmmN8QfZ++qqlWa1IIU 8VTZ9MoykuaNrLf7cWU0SnOyeUIo9H/BqONGdgumJlUVdToi7Cr8/0KqYWmy8vQ2K/o23UlC96im mcQ4TodfY2PdJihSjVBoomzEURh4unGxgpscPm2VZ9FmdtGw1JzUXwcnp8ooDhVm3kR6/2wwUm4Y FpE0DuUByDcK+nUp2XZHYZA0kaKXKaIoiWP8R7aLY1gYOP7HPJlL3HHouwV5+lkZKFluMy43L57V D886isHnvpuXjheOWINOfc5NFLLuQKh8jiAEw9vD+TfiJMqY6BfxFEatgCHY07gCMj/HyHINbFn4 E3/brJlhAvezSLajg9YtPtE9cEiZKPxRh7ij91rSay5zEeb6JjzsP23pojAxqWzdy5+Dr1ZyR/PN vCZz3EVPk5cEBWsMkLopbCfi24oWxTYKKlYI1a/Q5qoTR6KyVez5nF6nxLH4os2VqsVGHmcK2XnO afbdtgW3YsiY1tlJJe287gxKpzkDI44BqFUirHkk/r3tmucV0vzm4DJGZWqXddXaoNjxyYRsOChj iwtAI0/UNfme1H4t0sI71Akp3GMXsGvKXfKpsQzAwFotYO0FHETP6sqOy2y1DtXFUTMdS6Ek0cJr Lqr5Wb/WHx8DdoEnYMR6C9YhMLmx0cdQaI3ERzaxBiiTNgBFQo8vlK3pdd3dstF0ku9dBv87hxEt o6j22Qq05ynuTmd8VCOJfEQyIFoIFecTuKufuUj25VJBNm0cBgHCsww2/GxrE6NNghkgHBRg8qiz toY3kjwz62BAGkziZIz4AjcH47ADJ7jFnMsb8zsTLqjsFlTaQG8EGGr94EQRXujqzOSuaZJn4+QS MR+GOvo8GwT42etqFpI7y9GKuxAcZmodG+pYbPRjFZZ6D4OOAvTlKis+iR/HM2JzSEKv3SgHA6Z/ FOr8yRpQUwReCihtrrZllJ9CJGlw3sbyEgCsGkRB//MLgOpK1t2Nq1BZ20j4cHRiyQriPSO4gdCu 27t138QhbOjQ3x3ZVn+CQdHLdNCXaM7sUA77f/8qcqbSMq1iXNX5b54ONvzkfNvOS9/WD9mMT1sI 5lRkLcZUFYEekme0OAuLngVMYLj0eNkSAvZZGomK1p4RFpkYvKxsfUk7uyojDhj3pS75c1QMpcvw Uqok5TTpsgMu3q5NuhQiY9csvd8bxcWMhulxK41h1ox36xfkFBhMBR49Ig1M189A6rFa4DUmivH8 OjURdBV9QIAFOdMUzxVufWdPK/XqnZzhRbp9j/AbbBhCdhih/f/U4vx2LDQnctjZxtYyu793AFix AHTTLtnoX2kfF6+GpfFqOjY95U+GKibryDVRabZIvBlLwzCEhNiieobdx5IrLMf78bI7ywzOxkS8 CrxUgVsN6PmYrRBLn/tbzqYgFAouEBsKnSg2TQVzNQZ1eu5pt/OUfpnvJ4laEm7RRMYLRfedPbbJ 9NaX9SAB1bWk2w3t9EIKLY2kUk/p7TkZNVg8+xUl+WjlqniKgCNl5TMz/c9fgsI4WIufua9VEdyC 9U4FH4hh4ODGgP5V9rVjBGih0zvp/0CwTFr26jl8RN0t04dpwGJeGmei4MN287OSwDLzHkxRKnuF IjqXOfEaUyhUTsT9L9K2XSOMEggJiJUHaPzogMm7AETvXAN7awRWOH90Iql6uyVCuEn1y5Cv/bES kZE4XDw8YtyyYU9pdDhAzWAo3jHLSV1cZh41Vus3NWt+lisA2VJhkMmKiPX4dt5a8XNfSaatKs8x hqlTQXyQu9oI3JEHUK7ASSG+MrZARtzJiUwpTYg+BasM0Mg58l0dviJpj0MuEgLtMPnRBfdyqhsb m5hmvb3lnRhiMRSVb2gc3bymnoYgb4kWLt4NbDrSsyD/ir9ClsBO1uIJ34pK0RXpUzGHHnUgDWdx B/1vNKVqYgGIM+ZcZu95vrIb+Kb1C395Gx9eG7KGOa6eFAsjZ42BQ6XQ8W25C4bbZQD7ahXmXILx 5p252D1wXDln7DHO0RDT/0uLFwM8hy0+l9qY9hKmA1rBVSYS49GALXtotyg7kuQ3IMi1RzHuIZLf IPkvEm9AvA4QZopu/NujLpyC9um7aNhQ5YlHYAnocTLXkSp6HorNX2iS/FrJlSCywq7y3Jhd5KqC NGAD0e+I65OR3RUVYHaDjsjMfu2++Y7vQVlk6q0j/WWCN5UMDx8SBcfwp/9OoWJLJr6mUvospDLg iGQMFBV3H5GT6fasSVGaB1GuOu19sV5w2cyGzgC5R25gAtlnX2ebYG4XfDZp20HgT6BAhmRrApyv 8vqWMwzystrAABn3G63hM2TlBKTESh5l0ULVySKRGz4UmYvDIhqeZR36gTdBztrO2stR24R0A3MZ AYg9eKJwcK2rmpIw6scSY+T+6G7Dy1sWQ6yjGU3Y1etoakJCr4+G+7OaI+2cjXJAC3MnPDNDfpdU UrJH0T3/Az6Nzc5OXI+OgDQA4DpdWDE1GqidlVwQNpQ7+eNTvZCrbeNjHFfcD+nQjLjLe2NJFzor H803wIKKVhYHJWPhOT1zROfztyS58JRN0as7bo9Xu9DuSyNegUHYBpCBVPk7+u5NZsMmHfAJCfct d/+TIqChfkszrO5qKeLp8h9hgpewgIJvksYT/FnBnze2rmGMBsj1K5qa+pfsr8yIdBBC7wh4jD4B LtUU/IEhn06b81uCu2bt3Fv/sbtVNlMJ90ZWO+SIZ+BrwQGUnfYMxOwgdALHgrr10H4dlIxayAe/ l8KYJ1xPI0E50MAeitR5vr9cNWHb5dE9VfscBnpX2pGNgn7miEDyJ2ohx70Uj+O4zgA635QR1MvI ZpUllzZDPE5GKRzCjdHKnYfmI8WtfVwavKG58QH73KsEHCqd4eLOHeQ5XRw+xqaiv2AZjbgHpbES MjAGSyM2t1cDuxSyTKnwoVSqtXJ02XTCXua/F/9o/hK3EC2SiOEhZpX8f94L+c3DHFn6X4E8cn/p GVxCDriK9CxtsWlwHz3V47J4iWNSosfNG58B1ieShIQfnIF/RUmTeB2WmSSNjZDzK8M4NpzB8A3I f/mIt4f56dngqj26v2ZJ2Z5B4W3ACDeYyB3nm1ZdNkq45Kat4gU4SqQM8DaF8bKHBAFxTIQPYDus kNdUZNFBF4NIf7T6uBL031rZ6RALlzn7dfZ68mUc6VPdJRAm4M7CYsL8U6m2KbynXbpGEzhzkzEs hbRn4ZnsC42ahZIvLg5ZSiTzgFe51noCU/t02znVJEnXhjTMPhxLHB0d6lxxjipLTua9UtgYp/PN 3i+8HYXxV6k885gcmxL3wfFDfst7W8oNPkNAsqlZ/qFwFyU9MckotcMj+8+uYdzAGqtPTD9MguJI +juOWGCltoHtvqsP7IvAczPMAoIac1IGF+8l2e8v/AbAWumcHKoAUBdsAHTJ3ho+7MXiHeguKFMJ PQfXTx+4qMugnyScsaMLqUnCS6cMcWksYuyjmfYUulKi1zVILelJj0OfYMo3LXXvi/AdqQFcta6p Id4w2BpEi0GSBg8r4AZJyyclH9HjRuUpMIrG5JFVoGYyYX7EgH72AMFKjHBNwD2vcGVY8patOKBU 7ynqG+0iephhvLdFSBADnjuSQ3XkE4P60cqU5xmGE2VgKLEDJPGR8/o/IiotsutoFWi8DRVL23oG I0oNuvfL8oCBtzHTRIs6VODjTKhCkU60kq25bWdpswl20DFvV8XaX6f5FfDIMbnmKpsG5NXi3dqQ mZjA+VfG0lVcuNTyXl2SnGMwbPYyn+w1inkwlHTQng119W3hKkMvREehwSG0o9JHzMCR9pugCFSt VoBh96z4JNeQDyQ1HHwFbu5Fbww8M0v5YYBQPHO+GWF8PnguBZJOSR4JUcqgpk0QqErdwFlqPfpR dwSsJCU1pBuR8yogsfjQFKbQJgXRWiBWSNkrZep7czG+Vs52Tz2b51H+dnUYpQ9ZnfuCuVKKPYgI dESqWQO8nZ//2izeoIGQ9V+WYevEdUeEvm4O3yJcKNQyOk6UJa0R4RIrvYlF8zAt5fMU3mXK0ClV 4oY6cuZ453P37bhonKWD+UbkMvtfQJJn9amukSoUIkajJHiFUxmte9LFpb6UQFwVhntnGSEMzSjg jgnm8wHSycD5mR+/DYcAX6BnGsU+PKBwcFyobeEyOP/rL4Uyu0R4YOSyZphw4iajl2Zg1CExZLkb bwTyxP65yTA47ooyRUOYaJZOlKhM6gjp+iYMoJxpgBniUuBrHG6+JurRUoM/v7j5EBlayz3RrRPZ V0NL/zMgSbHd0uQih12E6AfK2OhuSaVehQQMGhxcy1gvfj0nyf21guR7Fsd9/PwfZ9mMVS7BHN1o FgqNmNDo5wwqvzAnFD/2c7LLBe9x+kt9z4edBKDz97lFMjKqvo3OWkcTz9pgjXrY+ATVv0d75gwn hxqoKDj9FoJy7QeWQKnf0u2AyKBq/9ns/yk5wEbXy0lACEQTq30HIzvMigGaavmJROTjGDd8RjHz OJCTSiO/OQBYLE+AsIEyxqxmlk9ff92j00GZTBuH51M8GKJK3WoYDOQ75Zu8qaBvW2wWueFlRMn8 msbcQj1o2MuBcTM2zpRSudL5EzaFOdNC5RaozD6j0RZYkUGQMAbW52E6tMg5k6kgUeJ16qvPB/No UHBR3WZM3LpOTwOIXNMFt62iTZ6MorznRpGWUn5vEb/azlX0sHHAI63iFAyXX1m+K4Q8fNNdzM8n oE1vp3DPlHT6MJ4VJ5U68o+yDoqJyWIgYCrW3u5eBolbVx8yD8WNx/jyOzECMpJrvVmJmfuF1Afz g4QfgQgyDtw+DacxouUIcwXTby8lXvbGXGAXVMLG++zzoYaYJJ9FvA3ENHTOAxSrS9Ut3sCtZRin W3sF6Uz2lQnH2S6qekPxGX1Etgxorq6Yu4/uxNbkyIEin3fJLyvniNT1JEftrJz5GzqqdCq9GWMf 1f7tWe5DEyfeAq1sCh3QX9WPe4FIDYxD0Ny5XvD8zjoNXXCVgd0omlmsPPefbwCExzFQFJIUDd/J gODRoseZyxWhil3MxA9js8uQyxhTZrxXNsLZUO1teqUOfB6mypN9/WsZY3v393tgQoyirY+bHk9y Znphlo5YSNjPrqQWnoeEiWMbtT/YHTSZtBgg8Jr/rEC+X3r1e1Nngdqu5qfZf3qQheh9bX0AvxdC lrR9oURmxznd/E/k0WOGRZtfArM/34goG70d0Cq3skRJ+QG/AM82i1hnzkgH1yhMM/FyFF+rrnWU En2WDPmny7BcRt44yjolnB7VGgsdkHWrc8p1E5gB4FKPS+Fu54ZYO5OuIxa8VS0zIxH03Rl55A5I re8f7kyDi6+IByACYQ2BSjx1GkfpVyoXg5Us0bt4JiusX84Xu95goYsdhrvbwOzxDC3WI0g6Dkya A+d7fVe4ErXFVnNWzgQLTf2NRbH5BSw4WNyDkLxkjJ/84lZWmZI3ehqDhpEP6vtJDIW9ivdek9+v AXtmORxSXWGvfqvG379HLpH+5L8S4nljWRKTWnnvRxn/9jsnBbKierXvS9W0gkdCO73lNsX6Z1Yh pBSmxiKvp1Pv6q51HEbsrM5YAAiTePMaysUWcySsLFqJFmjRNHP6twy0BbVJWAX3QqS9kTZfbiGL FjuajgSLB7ZbHPKoqWchvlUwXNtOpQfrH6EEpXv30FOI+eoJfHAdv3X1NwiWWhyRSWc6Y+X2di65 UrjCWbGR5vFsAzGoJ22GF7Dnjg9zG622tRreE8JSJybfXjCBxwBwK6/k/R3tEwkpH+Z0cRrfus15 o5joHcDQ3iQuzCFPHYzt42a33Hocg3kobx9LUKw2Wk+G3MJ+OpTSZFIyzAaNXbK+5UgQfS5U+trp IP0Fj2sXgd7w03I0K9iBEpW6gtxOhBbr45gwX/PWN9CS8TYc5LRyafcz+m6vE44JzuWlMYXv8Eet +xe+hZvyM+9fWWe8GXCbJI92t1jKiukPSUY4tT0GAJhp1RmYPS93nrgP4DKpGJEHUUs/Mc9TKEu4 UdmMdu8ZT1rrd37CQNGHM9vjQE18yLvb82kzrFH2mJhueGZq+YxXnP/epRTiR1uU9d1xBcqiNVWp plIqIoDqZ41RQuu0cT5VAxqFmlp0vh9qXPH+IyaBta3J2VHNa0PvVDqM2wpsVFhdPG1ay6T5Vn8j w5pk7pbJl/pkembyKd8lpq1+kkD3v/iZW8T1HJX45UgoFS5DaaPw2QecQ22R2xsTgILbrk2EojN5 aO/PK4iNVgaw6mdIEwsGUwvaNdQxfy4pWszVXUNKHXFma3v8PGhOIGKveMZ5HbEa3uMyo8Yzs57r DI+44jzWoXxH/L/91e2xznTQ+2NrbTxKUO3IqD04/zsa1p2+SQx7iRA8nmVIOw0av0s1XwjgLqwj RYklMDFISgMH0G/uRAi76Lr3R88TiVeV0slHBcvoL5R6+hxF989uVsU97n+GFsAWAto5n+UVt8Qv qIKgJ1huuIEAUIfu5lC3s+qAs4WV0w+sBExBZs3LJAaTuQ+M2JQKfsqIhInXVALEqGA6F+d6ZzXR pcYZ9HmqMPYRcIuypvHlJiGILLiCaOz+os7E+MW4om4c4fdaA3UU0DKF8H7EfVsCkCuvWlJusCuw vic+kUwlKIXKLCaD4TYL383NN0tGvwsBP5f5PS0ZtM0KlvwK3rPBt40tionMwtgjpB2nfsMhxDx0 hdx8dMN3vkzCjojxJuw6Sypv2QbumDpLpVSAM0bBUn6TVbjyeOkJ3iC/S3OETaBO/p8NCN4XtSzU 6MINRhq+JR/ChUsJMPx37HkI0wQtVKiZZyCExB/FDDz8fTN6Jykpl3MToOnr3/h992vdYDylBHMP YUpLW3nq7dQ8Ll52Hn6vb6NwTS5GabjK2FjePVywxVujYUNUdGHJ3G+S+49PKmj19GbE+dQT9JUS 5ApO1ejOf5eSDBabh+yt6DEBzxPajEsyLVXdq2BG7l/NbL0Y+/Qo09e5ojjwtUlbbpJRrCeZhOKQ QIyGdZP0C9jEATKiT+1JsiOg3N2Q3a0H1ONzZS3j0Z1dJKQ3OK5nFedRRubGLFkGPmx0wDyshbLl GBQvXUMf/ec/25Og66zlDmTg2k7kr8cu2bI7ywALdP4rdV+7jsPogz8MRCuusGK5U4MfmsMKD1ue xIrc4RoSmWl082Nfz4o7J7tj/8F00YEqLt1h1ibnvN1U2h+gEJu2msR7tZTUlYtwHVwOJ77IQisv W5zsy2UzssyYS0fFnCxSPZztjYSGpMZJUTE9ugFW5RhaPlJgyjgLHzO7s2pM/YKADwKNIkeS74/u ZaoKzWePnfe1C6d5oQclCciimHg57QggguJ4fG1LNlvVmCkchqqo/dLWnKyPutiQUY/UnUTIJvZm kWt8t3jcVV4tbgr50zqzadOCcqTadjuG+o8HvUmoHYqdeC0HLjDOcm0FJyEF4xkpAxiNi33hofTY /LMSglsr+z3p3XWCPvMpMrqw3j5xtjy1NiwYWAdF+/CHOAV1oxMjepQ6C3orj2g9T1ReNPhIvuPR 9R6DhPt6RAQbnGyUQnZKu3+6qIN1/DThyujHIPZuvxbsPlLUCSKf8Vu6WtxLsLv6alvzr3ZNPpWm W4OFSZCoCcEPt/tHlTMnpc45CieFfPoGvf1Dt0jV731EN5I3i63Dvp7IP4ylkV0UNqYaArjQeMow 0s48kQKgGEbl/yw3iTaMqRO6Th+1CkZAGFG9aYsXOpjJeteCxXVgbaQTz/9ToitwO4jeLesQTwdC OmF69kDfusztzEKqm7nLYZpty2HDNSm4qyO4yjL6Iyauqm80fWUiRITnm2pE7GLycqu6YRK/tWpo wAVnoyySYNd5TwmQWOULW789ff116zlW8gXyf0VvSVrkG27DoXYXyFRqNH+moSuWn37T+z4i+y5j EarCch7WqCPMw3/RncjjRqtm3IaKA4uByEigg2fnlnNoqTvt7/nnkPvg8tqpIRP/1Z6I5u0cqJu8 JHfpt/G0S40YvP4JGiMmRPKEms4+zZvRuQMt3CHmObRFO5RG9iDJfX8Qu6+UI9som0LE7dguHz7p jDRJxlM6BkhisAquIfr4QQ5yc4CgHgoXsSfoWcIS4/zutIXNxOt76oQBlWVVlF4mefHPswIGIFGg Zs/2ifBAUp/cLeKin6BKQdnznS5AaQs0t1p0/LO5p51E6YqOXHq2C/nbxIYcfMB6RUoYnAjpI4OO fb7abSieWh6SEQ3W3PLgXu9hxIbLvByj1nHvcDJ8vBa1Enh+T69OBsqTzTDc8Ubg7Lv2ASv0Jxan 0z5MPJ81y+UNY03/29qYeppZjyNoR4fs3KI1RylHlTENQ6PFU3CmY/tGngqQj5FTjWesCpv8OYgz OrlwlX7ZOZAnZDHjhxyj/+HGWWIbL5LYq8a9JZ9YRKbLDG5+NHDLfbKhCumzewkHGZdFmnx+2vAT NmGr3MVyMAz0SFKh7ySfKuhkVjz4hXUOwrbHrQ/nYzQwutNIeQHDIWo1S+agQ/23oPJE0AtSCXQa YPEXcKvzC10Ew1LVxkifurYJ/2kmbS9G+jrSYUip1ZPsWUay6AbmY/vHrHTBzHZAMrq0ekPmg/42 xw3/+HrMz2hwEGRFXo3BS238yBtahhbYiAiJdxSuOXNBfSKSVhe+mHA3DKuzOjR6yjz84bG5aXtH WTq0Ky+21hlkBWx7Ip8q7UFZvdHhWQSqD34gUG5HJeq9Mrd+sNu6Li3yUvi0rhGoQ/YdOrpRstLp 4+2FAUXePP7+x9YP4Xk2uPp5mQ5wGLR+Zo8dc21JH6PSlHP7e2TaJOyZVxZ+YnJ9C4mT+T9vQ2J6 bwVnWZzDlda1GJRtYJrl8TmyYQQmKwDAjAWvrMT9/1xVVT+yvUK7iOOtpHTT620SoAOmJRD69orQ mezydg4sbReFDk15sEnOi/f5+IjU9WV8gdpa396/HXSFTIr51gVVERF2AJsvmw5Z/YNQPTapNTo+ nPWb44WpL1nrdsiww2VBAGNYU7H7Hn5X3jQEJsFYqxa7BnNnERp6ZMkuQZZceyra/llsQmsxXjS6 FrptKLzvCjE9whY+GSSQwMpWTZE6y1aL+JosN7hfJUWHOJfrm2EmWCh0uvUB75GbDHfhmA2yZPiC mXSJ4QapmikPEx/gvSg3eTEOI3vv/Ax342Trk0Vfz6wk31ClCCIr2P71kp2TLS2GUYFyR//lS/PW eXXfG9nQJ0EdULqYP9KqsSZsY4LfvVfqYXRIhxzDvGFtjMAa7RDak1BiSO2k+8qA8GYdjbgdNuvN XvA6FjwEGJSV3YXYprFxMcwRhp/XTr7Y+nh7M7DEokRo7bMhvwiix37u0xHWhlEpoobnTaowjht+ PBLI2UH8m4DKlSmbY+EQmEuJOjDpKgKJPhwEO16EVsajepNznVxDI1ZJZNqQUStZxRyelVZi5VRX MPbjV0maX8vrohZK3RqIwqAuo8aZJPYPjiU+kuDnkAq2kdVf0sQYRWtLEqbN9qYIdM+oaO2YIuLo LhyKlW2Wkdji1gKg10Y4pzZRZYWlT8QE69HHUqIL/QNpUckXXOs+TxCU+FCL5e+2WqPe1a1qzqcG fvSFJCZPyMQee5KQqn9fbmdGkeupvX1SDi/DJDrtJ4tWrzkaegJqh5tm52NQWsBoOhhcWHhu5mkE yZu1nwp7GxyFYHPO8aPsnhZiL0VEFWReIt0U6qzZktRKU7nWhb0KfnK3Y6RJYT4sG/jsnZmHJo8U r/0W0jXnjrHDReUwwTK7uuQTtLv/jf9YwexaqBexahYLGwnX8Dof4OjmNO70A3LmR5ed1NiDMixj Hn4okfUS7EHe0wyiKZKPHOJngxeFOWiVdrzibWTcJdBlVmRbBp2/dz2vGvnM88tmrjTT6rXckZr9 unlwNLCQf5ELfvgBPKvVYSwj8zfg0xDHXrdCpKMsIje7HeeF6tB57BQaLqSCTL0P++GBEQqdqdl6 lcva7vxejG1A12y0aidtouhJugJVLPtst9JnCEUE+cs9j6XYByJAVCfZc4GkacyWbt3wHTUAN2yj GjvU7ZoY/rjb6tiUFcE1eHllbDXaTjOv3+MUpGA9+F7WZrbN+RvEPszvVyDSEHSGCGoUzAsD+QJk Yfrrwom4UBaKJOavxwrbfeP8NEnE91MDpZJ88T0eZjvz8Qra2zpPWroYLVtdWiJyZLMJPutxQWEl jujWkyxh1lDRmYbVNk41uUyFsHNUlMQtoe+p9jxRJicRpgmMQYGiGN/ZVXniX1y3MIgynXfkFe5a 9cwXDmIyyrpX2DFUTnBfwra+AwSGHqfJ10FQicpAQPFdQ1YiWwWXPjEzUL8BjEUehLRJQIt1fxll RHmPVtjIn1gvr38HNjZKrWC7ky91+/ysHI0XNHjpWmGyrvRgMMSFHj6H5ZKhWSKmZN8wRHbkKLwZ ei1KYcQMwGQ6wqXB0pzkXA5Y/v7+SDIKAgdRe8s7p5yegu1HNh1aLjscdlWjegXP3r3zXnbJ3uGy PtxMab+o7BAqoatYlTQ7XtNiP2SJShdkakZQhaxTNnsdsT9erGJzDY9V6awL64oRIFaLP1Xj0F0c Gw9wqsla3f1YiPWkcTxBqMuq4Ces4LXqn5dg4NvPJIfJA3n4ZjWIn3LBF8FtyoBNX3dIl9w1/JMK KYimYvEpkuaf0QvvNrrg6QdA032u+X4a97VHZ9BeLvn0Lx7KfPXIVHXqVXPWlj0f4ytrXGr5lDsF F1H7x3fjL80K3OqlQGd5Lrf5xzFDHjqCvQxRtO5sXhlVZZFkBVUnIjd18N3XsKCvBcr0CE10qRG3 B/m3VzsF4edwhjuS4MQFDcw56GIdn5UQa+c7cdvk13ErCPulPJxTUMBynu8PhHALm6orzNmZ1PcB 1gh1VocrOPIM7c57CBtlsJd4VgpV0uDTQpGf57q538ait60ire34w2iPJrVYxGjMQ/mFQtLT8sXt VNPjGMELd0DnTZUCT+ExkgpdUbUn+kyHvS54ms6j60iy6t97gh6VScYbrHYFa4yr4bTnmzLLK5yZ gk5nA0swkPuuQz4IJCpKo+Zv+t2Iz4kGV1DVhlRn1f7Id4DWOYZeSGEaH7okuzKkNzqMxZHh+AtG ieaNJJPI9B25OSLYigwv7cr4/q0ENTsTlZmmYRFpV6UySctc4emSz8Lbpk4aF3sZ6LufxHlQsowY CK8bKJq1pTsahC7xk53yDoAfwekY4SwGij4yp1O8rmEuuenQwphFiaefsCNT+o+Ep9i49vt37lE9 M/ulPGZPI5G6NrRqsr0NuaUMdWMGgu7qG2t0gJOaF0NAkSKXXdgFpx0qU/LdXu8vhRoN9IrRFcR5 5bljd5Ebu/13Lszus7i+rwEromUtMMn4WJ2+PSraYbrsWhUuQdB1MrgjXrdQcLkxaHEDzuPUmweD pE/zQwNpP2PsFM4ArFC4J0cxrxHSkG1oQLD9sdH13UVKpbLnxYQIUGbP1uhYqJrRAJrE4Gj8MjRE X9kxqpNnerAw/Uk5xIcIBFuh/4qMjk8xbrRi+QG1e65cQy4kGqiA+0tJrvXkjlt0RmkNxSMp78jb gtV1jLCBS7VB27xxxvcEJaEoXhKRXex2zV8e7VAN1hcMO8mWzljYDnVBPPKQbxlkmgblJKpdPq98 U00zoR4d6syYTPaZ4O7A3KPuauTsrvfMLY7Z+rDriE3SkiXPNWC/6l9sXOaATpcWNNSX2i2djyxI alfnZRKDfiSFXyXXG0FaIVYXj5MiIE3U1bb5hoj6SgD+3m8hSSgiTaKXWDmYVnCb6phm2mRALqXF +dfIpO9YtjgKeQ94GwfmYRmmSojMsuHYpCanagl/Y1DjjzlFOgVDWWcpsEx8Sg8OZAWjAX/tSxZk qPVyF0xi52DVv8yc7uTEswwbhGf9ehea+A7ixuwU2N5/qfGn1qSV2yC2TV36HWoiPQdCj5nDzDCz sFLbVIVJ/MkdXtIluC62NAEGE3pXplJNsduveJtJSaqPmL1CeWkFZf4UQgZ/BeT6n5kuHjUauRAq ZCjoxQ383rWaQ45Cjuo9Hm/8EdwQOijpeml6CexwZ2q0upzFdqLJZprLmMgAViX97jMOZmujQHcP EOrfyqovxKg6RbwiRTyeR65VcyKUFdMds7ss4tPzS5sRZ16anZZowhrvA0U54lTZow7/aMlfwI4P 8V1RT2zC1HS7lXec+9iZj/IIi96cyky+Ieu07VK/gkxLlsifTyl9zreL8b8+zHyG1zWgF9AumZuA Ip1dMPURunSL1M9RGk+ApsYBGWAVTBYIHUgkvef3DQjhhfV9BKrRsiifaHvsO6uXa29a9mkx72Gq p63pCajgMeSxfB+bUkldgUJ6ZpGsVPoYKCDfHBZCVwQLLEk8rsolPJhpSQgkEZQP3WqUvdZJEak2 Mr7+S8rjyt1wkEFJgVThQ5GuC/iPYBz0tof65t4suDeZv9qxwIl7lzL7Wnv0dwsVRy4C0JNB2LjN hH/Q/YH3yDDD9zZXDGBqMcA38D8TXYHfEs46JmG5Wu4pbPhkY8yNB+g07aD46NoLEfMCW35LMI7p JZ22bek4yPbg15njnmYQcINiimFXc/wLNQk0Gb4C5MDH9EZAZWNKAGYtKyJlND+4OmBm5SziEvk3 olwQDAacsWoShmlr01VwS3aUkPwow/rYPoF/dJfYf4XLnrTnqBhBFHLFzow1sJ4tQS8Jde82/izO 7sEJNoC11IFE2PS5aWmY6UkI+j2/JX8ghatNDOB/iiNdpFLqdERtsl3PzokMBaSzxuD/jQi8cYEh YE3Y86vQ399K5RXmJ/5Z0ESTxKsimkwK0YOJCko/ZykwC2LNTGXZD18gD4eUs4gBMu3NIxtqowrv HuKhQXphHJM2z0ViRLAgUWuSjFUpgZNWtQQg+G/LVXskpQtxMnaHsvfPhZl6AAP30caIRx5I1LDT ErwtWTD33Gdy/9vAoGCD0tVm3e3CHBsMT6ZtPbP5O19zD1FxCdD0J9thHZJojZaNfE4t2JQri25Z UyQ5ViFOVqc3IDarvYPX4mfK3CutZ+QWQ5jova8aAGqrQSQqJK7zXp5/GSFZhpjJuSt2Vax4uES4 Ru4TLjY3VRqb2W7jTfhIRNWxC1tGemjPBuIZet7qqtIYkNqLZ5h++kX0X28rJ7RvZVj7z0ddQ8n+ Pfj/ssNlXNhFnBCiuN1x6ibK480YRrJhqRW+FkqHIyezBUC7E5x51P5Mhh3+Wu/ycHfGWk74+yjH T4gohC4ILGCYDFtdaybJaAdzGureDcOYuRRbQGwJUEcKcL+a7vcpfAs2aF629o8PZ0YhKURHM3wa JjZlzEh77BvwzTSXwzGmU34+27PZNeJOUpQXLz9wIbv+ZR0WFwuzTBfYhzq4K3D87rNY6Gtnrzym 63hLtQOiV6NHgVZtkkis+amNXFuzWqkCrX8szOT5EgUfNOPALaJB7/ZYIaOnyqnGxU6kkUFNTCJi TCbbkZ1Qc2uPfO0CDWu4bvHCx0kzbXhx4IculEkom6DB0Oe9RtqXgNnHClkI9ONO6Jc3bfQHUdpH juF75LysjQAJ9VyZkAzEpW7weTTwUucFe7fqt73a+GqCy+xALQlBn9M54qTnSGRB6uoE78HrXCKV Ua+y0ZPllC1iJ+Q9Shal9gXLxjI1I5PbB9VBlmBjZRKPMzyZfGdUVBjW2zg6VReTHG6JDX1vpcsk Vw4kJYVIZ5OY2wsrqDAc8cl6sZyWn4k+BGYhFle0sQtgL1S8U4RgVaDAUQAbkg9g178k/t5ap6PG 37dY6r5A4Doy6k16dM6p99HFqLcGvUraF+66ccqNQsOj2p2h8UrAXymSLe5jTr9zylnTuKW2uAwV rXerzNDoAo3LPoUtqRSniG2aFWsNDswjR8Bl2ILMSsi0x4TWhUF1X+w9x20Ek2N+BzFWCuLFeGB6 gD+L9+OuUNSueZDNIQC+lXMUOF7T51rAKbMg8F5bOpHEB4vJGmTmfknNvrGmeNu9Jkq/MbjaXfAm sjleeAm1zNlPb+wgSzJ0R+dffwa5viUcElUFIXcxHE18pWnErrdAk6gzlKNxpvGMm128BYqHfWdP cFAs1wORVVuMblUNokSugOCPC6AJF+F5K+nKT8mT2DiflgDhb5+aQK+qMHQco6wJ1cQE8ftpYi0H ORnE1gvkngNbaDYaNIWc9+WSrhRhuAFYavzwFTWYc3KmXIXdE7CBZXiAmgxbeRyQa1gV25w6ty4m pEiKsqva/SqW3MSEJPfuqO2ftqImwVhM6l2W9h0y+HjBuOglUCzIUKaOdXkpCeEl+LOm5bo/UX3c IfFsvjOG0CJTaO4vq6GCTWxTEF3AmDMY+mwaIKJmZFG/jgO5BzEGQfnxswQ13ODe59RKRiBD6DOq /uU5GcoWpvLtQInjjBvvZDJh4b9NDDpplWyjhhzjH/vP4FVHvetX+E8vmA45W0g216cu8eKIjZFn ZxJGLUw0UR4thWnZDWSNuj64s9nFwm5nZlREbkK/GF0LOlutNsEqkZpBGmoymkZLG2wwHGeUMx7B F6zFI/1pSVw+vbpEIGnQrg1Xtg9fId0HiHW4RSheQ6ZOnmTi9rRwdE3NKEIaqhjLmAzOf1ti8ytK JK4s4SiuWfVbaV8MXkVud0XxlKLRZco6LGQQyByI1Qsu8cTEp1lzMFgivivaPCBdh/LBMqirJwtU uRsB2f+1VcSbjAgMJp78Sh5pfmW35udBRXDxZbmwy4gFA2bYx5GfLln0JVp5WkpXUEitMVHlRdwh ft4vDJg7ITV6rzf5RzBffPGZwUFY6X+4SGABtaraZ5CIP+zvoP5L3ups+GhDQLIW0L9dtOYotjO3 6JLQdQJBAOIXE08a3K6c/V7o43djj/yhFCNoX7okYpAFh6SLjDK9dFnmuRQq0js6pnM9hdhe3ime o2lHVAzXC7iZtxcKS4i5pM66Np+6Sq30ueB702yarASN8Ri8EWvoOpF813XY5pd/HlK50HtjzfUg QhPxI2wkGNQay5KcwFQjoK5iEDPDJWltkkhto00MqeX2giLZCcCaMy3m/PGfn1KyobbH9MKyNe7r BYW5h58/ejfVN0kH4TpB0AAw/VqbhjbIAXeOr+mNRqTXdzeF4k3elGg4mRr33/HkHlH0rxnB6Zz5 K1eZEYM8JfI0p8RLFOpSOoAfq/GN+88UAReF07Q/UWJj/0BA3UoK4nJjZG8NBeBPpuK7cs/ppTa9 XnrDBen/O06dCe8NBgY6MscFr5bP4fYDL//bh4M4RCDHRiZJmXa1Lr/zH8snnjlB6fSSwGYTP7Aw bhbq+ofovUDhWawFXFwjrSqg1un9b1z11eAPVhluMeGYEziqdRUZDaBGqhnO6zrJluX7ZhtT0zNh ykgXlO7vKVFmKOMUIpielSLV6FtitLwiaArBOBJQs6JmjYzarmHzAu4vLI3qzn+yVL9gbJwYmLC6 /i3WFs0L+ZRNfm/fhI/WMzLB5LMTx9h/jC+vm6PkA2e7Cr+gpBibHVVOB65niZ8JPx5RwinrbGyj aUOvimM3dg== `protect end_protected
gpl-2.0
545/Atari7800
Atari7900/Atari7900.srcs/sources_1/ip/XEVIOUS_BROM/synth/XEVIOUS_BROM.vhd
1
13960
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:blk_mem_gen:8.2 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY blk_mem_gen_v8_2; USE blk_mem_gen_v8_2.blk_mem_gen_v8_2; ENTITY XEVIOUS_BROM IS PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END XEVIOUS_BROM; ARCHITECTURE XEVIOUS_BROM_arch OF XEVIOUS_BROM IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF XEVIOUS_BROM_arch: ARCHITECTURE IS "yes"; COMPONENT blk_mem_gen_v8_2 IS GENERIC ( C_FAMILY : STRING; C_XDEVICEFAMILY : STRING; C_ELABORATION_DIR : STRING; C_INTERFACE_TYPE : INTEGER; C_AXI_TYPE : INTEGER; C_AXI_SLAVE_TYPE : INTEGER; C_USE_BRAM_BLOCK : INTEGER; C_ENABLE_32BIT_ADDRESS : INTEGER; C_CTRL_ECC_ALGO : STRING; C_HAS_AXI_ID : INTEGER; C_AXI_ID_WIDTH : INTEGER; C_MEM_TYPE : INTEGER; C_BYTE_SIZE : INTEGER; C_ALGORITHM : INTEGER; C_PRIM_TYPE : INTEGER; C_LOAD_INIT_FILE : INTEGER; C_INIT_FILE_NAME : STRING; C_INIT_FILE : STRING; C_USE_DEFAULT_DATA : INTEGER; C_DEFAULT_DATA : STRING; C_HAS_RSTA : INTEGER; C_RST_PRIORITY_A : STRING; C_RSTRAM_A : INTEGER; C_INITA_VAL : STRING; C_HAS_ENA : INTEGER; C_HAS_REGCEA : INTEGER; C_USE_BYTE_WEA : INTEGER; C_WEA_WIDTH : INTEGER; C_WRITE_MODE_A : STRING; C_WRITE_WIDTH_A : INTEGER; C_READ_WIDTH_A : INTEGER; C_WRITE_DEPTH_A : INTEGER; C_READ_DEPTH_A : INTEGER; C_ADDRA_WIDTH : INTEGER; C_HAS_RSTB : INTEGER; C_RST_PRIORITY_B : STRING; C_RSTRAM_B : INTEGER; C_INITB_VAL : STRING; C_HAS_ENB : INTEGER; C_HAS_REGCEB : INTEGER; C_USE_BYTE_WEB : INTEGER; C_WEB_WIDTH : INTEGER; C_WRITE_MODE_B : STRING; C_WRITE_WIDTH_B : INTEGER; C_READ_WIDTH_B : INTEGER; C_WRITE_DEPTH_B : INTEGER; C_READ_DEPTH_B : INTEGER; C_ADDRB_WIDTH : INTEGER; C_HAS_MEM_OUTPUT_REGS_A : INTEGER; C_HAS_MEM_OUTPUT_REGS_B : INTEGER; C_HAS_MUX_OUTPUT_REGS_A : INTEGER; C_HAS_MUX_OUTPUT_REGS_B : INTEGER; C_MUX_PIPELINE_STAGES : INTEGER; C_HAS_SOFTECC_INPUT_REGS_A : INTEGER; C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER; C_USE_SOFTECC : INTEGER; C_USE_ECC : INTEGER; C_EN_ECC_PIPE : INTEGER; C_HAS_INJECTERR : INTEGER; C_SIM_COLLISION_CHECK : STRING; C_COMMON_CLK : INTEGER; C_DISABLE_WARN_BHV_COLL : INTEGER; C_EN_SLEEP_PIN : INTEGER; C_USE_URAM : INTEGER; C_EN_RDADDRA_CHG : INTEGER; C_EN_RDADDRB_CHG : INTEGER; C_EN_DEEPSLEEP_PIN : INTEGER; C_EN_SHUTDOWN_PIN : INTEGER; C_DISABLE_WARN_BHV_RANGE : INTEGER; C_COUNT_36K_BRAM : STRING; C_COUNT_18K_BRAM : STRING; C_EST_POWER_SUMMARY : STRING ); PORT ( clka : IN STD_LOGIC; rsta : IN STD_LOGIC; ena : IN STD_LOGIC; regcea : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; rstb : IN STD_LOGIC; enb : IN STD_LOGIC; regceb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(14 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); injectsbiterr : IN STD_LOGIC; injectdbiterr : IN STD_LOGIC; eccpipece : IN STD_LOGIC; sbiterr : OUT STD_LOGIC; dbiterr : OUT STD_LOGIC; rdaddrecc : OUT STD_LOGIC_VECTOR(14 DOWNTO 0); sleep : IN STD_LOGIC; deepsleep : IN STD_LOGIC; shutdown : IN STD_LOGIC; s_aclk : IN STD_LOGIC; s_aresetn : IN STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_injectsbiterr : IN STD_LOGIC; s_axi_injectdbiterr : IN STD_LOGIC; s_axi_sbiterr : OUT STD_LOGIC; s_axi_dbiterr : OUT STD_LOGIC; s_axi_rdaddrecc : OUT STD_LOGIC_VECTOR(14 DOWNTO 0) ); END COMPONENT blk_mem_gen_v8_2; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF XEVIOUS_BROM_arch: ARCHITECTURE IS "blk_mem_gen_v8_2,Vivado 2015.2"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF XEVIOUS_BROM_arch : ARCHITECTURE IS "XEVIOUS_BROM,blk_mem_gen_v8_2,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF XEVIOUS_BROM_arch: ARCHITECTURE IS "XEVIOUS_BROM,blk_mem_gen_v8_2,{x_ipProduct=Vivado 2015.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.2,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=3,C_BYTE_SIZE=9,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=XEVIOUS_BROM.mif,C_INIT_FILE=XEVIOUS_BROM.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=0,C_HAS_REGCEA=0,C_USE_BYTE_WEA=0,C_WEA_WIDTH=1,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=8,C_READ_WIDTH_A=8,C_WRITE_DEPTH_A=32768,C_READ_DEPTH_A=32768,C_ADDRA_WIDTH=15,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=0,C_WEB_WIDTH=1,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=8,C_READ_WIDTH_B=8,C_WRITE_DEPTH_B=32768,C_READ_DEPTH_B=32768,C_ADDRB_WIDTH=15,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=8,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.326399 mW}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clka: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF addra: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF douta: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; BEGIN U0 : blk_mem_gen_v8_2 GENERIC MAP ( C_FAMILY => "zynq", C_XDEVICEFAMILY => "zynq", C_ELABORATION_DIR => "./", C_INTERFACE_TYPE => 0, C_AXI_TYPE => 1, C_AXI_SLAVE_TYPE => 0, C_USE_BRAM_BLOCK => 0, C_ENABLE_32BIT_ADDRESS => 0, C_CTRL_ECC_ALGO => "NONE", C_HAS_AXI_ID => 0, C_AXI_ID_WIDTH => 4, C_MEM_TYPE => 3, C_BYTE_SIZE => 9, C_ALGORITHM => 1, C_PRIM_TYPE => 1, C_LOAD_INIT_FILE => 1, C_INIT_FILE_NAME => "XEVIOUS_BROM.mif", C_INIT_FILE => "XEVIOUS_BROM.mem", C_USE_DEFAULT_DATA => 0, C_DEFAULT_DATA => "0", C_HAS_RSTA => 0, C_RST_PRIORITY_A => "CE", C_RSTRAM_A => 0, C_INITA_VAL => "0", C_HAS_ENA => 0, C_HAS_REGCEA => 0, C_USE_BYTE_WEA => 0, C_WEA_WIDTH => 1, C_WRITE_MODE_A => "WRITE_FIRST", C_WRITE_WIDTH_A => 8, C_READ_WIDTH_A => 8, C_WRITE_DEPTH_A => 32768, C_READ_DEPTH_A => 32768, C_ADDRA_WIDTH => 15, C_HAS_RSTB => 0, C_RST_PRIORITY_B => "CE", C_RSTRAM_B => 0, C_INITB_VAL => "0", C_HAS_ENB => 0, C_HAS_REGCEB => 0, C_USE_BYTE_WEB => 0, C_WEB_WIDTH => 1, C_WRITE_MODE_B => "WRITE_FIRST", C_WRITE_WIDTH_B => 8, C_READ_WIDTH_B => 8, C_WRITE_DEPTH_B => 32768, C_READ_DEPTH_B => 32768, C_ADDRB_WIDTH => 15, C_HAS_MEM_OUTPUT_REGS_A => 0, C_HAS_MEM_OUTPUT_REGS_B => 0, C_HAS_MUX_OUTPUT_REGS_A => 0, C_HAS_MUX_OUTPUT_REGS_B => 0, C_MUX_PIPELINE_STAGES => 0, C_HAS_SOFTECC_INPUT_REGS_A => 0, C_HAS_SOFTECC_OUTPUT_REGS_B => 0, C_USE_SOFTECC => 0, C_USE_ECC => 0, C_EN_ECC_PIPE => 0, C_HAS_INJECTERR => 0, C_SIM_COLLISION_CHECK => "ALL", C_COMMON_CLK => 0, C_DISABLE_WARN_BHV_COLL => 0, C_EN_SLEEP_PIN => 0, C_USE_URAM => 0, C_EN_RDADDRA_CHG => 0, C_EN_RDADDRB_CHG => 0, C_EN_DEEPSLEEP_PIN => 0, C_EN_SHUTDOWN_PIN => 0, C_DISABLE_WARN_BHV_RANGE => 0, C_COUNT_36K_BRAM => "8", C_COUNT_18K_BRAM => "0", C_EST_POWER_SUMMARY => "Estimated Power for IP : 2.326399 mW" ) PORT MAP ( clka => clka, rsta => '0', ena => '0', regcea => '0', wea => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addra => addra, dina => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), douta => douta, clkb => '0', rstb => '0', enb => '0', regceb => '0', web => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), addrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 15)), dinb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), injectsbiterr => '0', injectdbiterr => '0', eccpipece => '0', sleep => '0', deepsleep => '0', shutdown => '0', s_aclk => '0', s_aresetn => '0', s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_wlast => '0', s_axi_wvalid => '0', s_axi_bready => '0', s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arvalid => '0', s_axi_rready => '0', s_axi_injectsbiterr => '0', s_axi_injectdbiterr => '0' ); END XEVIOUS_BROM_arch;
gpl-2.0
cafe-alpha/wasca
v12/fpga_firmware/wasca/synthesis/submodules/Altera_UP_SD_Signal_Trigger.vhd
7
1749
-- (C) 2001-2015 Altera Corporation. All rights reserved. -- Your use of Altera Corporation's design tools, logic functions and other -- software and tools, and its AMPP partner logic functions, and any output -- files any of the foregoing (including device programming or simulation -- files), and any associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License Subscription -- Agreement, Altera MegaCore Function License Agreement, or other applicable -- license agreement, including, without limitation, that your use is for the -- sole purpose of programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the applicable -- agreement for further details. --------------------------------------------------------------------------------------- -- This module generates a trigger pulse every time it sees a transition -- from 0 to 1 on signal i_signal. -- -- NOTES/REVISIONS: --------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Altera_UP_SD_Signal_Trigger is port ( i_clock : in std_logic; i_reset_n : in std_logic; i_signal : in std_logic; o_trigger : out std_logic ); end entity; architecture rtl of Altera_UP_SD_Signal_Trigger is -- Local wires -- REGISTERED signal local_reg : std_logic; begin process (i_clock, i_reset_n) begin if (i_reset_n = '0') then local_reg <= '0'; else if (rising_edge(i_clock)) then local_reg <= i_signal; end if; end if; end process; o_trigger <= '1' when ((local_reg = '0') and (i_signal = '1')) else '0'; end rtl;
gpl-2.0
summershrimp/VHDLClock
Counter24.vhd
1
1018
Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; Entity Counter24 is Port( h:out std_logic_vector(2 downto 0); l:out std_logic_vector(3 downto 0); co:out std_logic; en:in std_logic; clk:in std_logic; rst:in std_logic ); End Entity Counter24; Architecture ArchCounter24 of Counter24 is Begin Process(clk, rst) Variable tlow:std_logic_vector(3 downto 0); Variable thigh:std_logic_vector(2 downto 0); Begin If rst = '1' then tlow := (Others => '0' ); thigh := (Others => '0' ); Elsif clk'event and clk='1' Then co<='0'; If en = '1' Then If tlow < 10 Then tlow := tlow + 1; End If; If tlow = 10 Then thigh := thigh + 1; tlow := (Others => '0' ); End If; If thigh = 2 Then if tlow = 4 Then thigh := (Others => '0'); tlow := (Others => '0'); co<='1'; End If; End If; h<=thigh; l<=tlow; End If; End If; End Process; End Architecture;
gpl-2.0
cafe-alpha/wasca
fpga_firmware/wasca/synthesis/wasca_rst_controller_001.vhd
6
9079
-- wasca_rst_controller_001.vhd -- Generated using ACDS version 15.0 145 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity wasca_rst_controller_001 is generic ( NUM_RESET_INPUTS : integer := 1; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 1; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := '0'; -- reset_in0.reset clk : in std_logic := '0'; -- clk.clk reset_out : out std_logic; -- reset_out.reset reset_req : out std_logic; -- .reset_req reset_in1 : in std_logic := '0'; reset_in10 : in std_logic := '0'; reset_in11 : in std_logic := '0'; reset_in12 : in std_logic := '0'; reset_in13 : in std_logic := '0'; reset_in14 : in std_logic := '0'; reset_in15 : in std_logic := '0'; reset_in2 : in std_logic := '0'; reset_in3 : in std_logic := '0'; reset_in4 : in std_logic := '0'; reset_in5 : in std_logic := '0'; reset_in6 : in std_logic := '0'; reset_in7 : in std_logic := '0'; reset_in8 : in std_logic := '0'; reset_in9 : in std_logic := '0'; reset_req_in0 : in std_logic := '0'; reset_req_in1 : in std_logic := '0'; reset_req_in10 : in std_logic := '0'; reset_req_in11 : in std_logic := '0'; reset_req_in12 : in std_logic := '0'; reset_req_in13 : in std_logic := '0'; reset_req_in14 : in std_logic := '0'; reset_req_in15 : in std_logic := '0'; reset_req_in2 : in std_logic := '0'; reset_req_in3 : in std_logic := '0'; reset_req_in4 : in std_logic := '0'; reset_req_in5 : in std_logic := '0'; reset_req_in6 : in std_logic := '0'; reset_req_in7 : in std_logic := '0'; reset_req_in8 : in std_logic := '0'; reset_req_in9 : in std_logic := '0' ); end entity wasca_rst_controller_001; architecture rtl of wasca_rst_controller_001 is component altera_reset_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component altera_reset_controller; begin rst_controller_001 : component altera_reset_controller generic map ( NUM_RESET_INPUTS => NUM_RESET_INPUTS, OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES, SYNC_DEPTH => SYNC_DEPTH, RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT, RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME, MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME, RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME, USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0, USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1, USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2, USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3, USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4, USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5, USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6, USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7, USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8, USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9, USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10, USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11, USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12, USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13, USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14, USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15, ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST ) port map ( reset_in0 => reset_in0, -- reset_in0.reset clk => clk, -- clk.clk reset_out => reset_out, -- reset_out.reset reset_req => reset_req, -- .reset_req reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); end architecture rtl; -- of wasca_rst_controller_001
gpl-2.0
upci/upci
Projeto/pc.vhd
1
2554
---- Program Counter --------------------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.numeric_std.all; USE ieee.std_logic_1164.all; USE ieee.std_logic_signed.all; USE work.processor_functions.all; ------------------------------------------------------------------------------------------------------------------ ENTITY pc IS PORT (clk, nrst: IN STD_LOGIC; -- reset ativo em zero PC_inc: IN STD_LOGIC; -- sinal que indica que o PC deve ser incrementado PC_load: IN STD_LOGIC; -- sinal que indica que PC deve ser substitui­do pelo valor em PC_bus PC_valid: IN STD_LOGIC; -- sinal que indica que o valor de PC deve ser colocado em PC_bus (ou Z se 0) PC_bus: INOUT STD_LOGIC_VECTOR(n-1 DOWNTO 0); PC_7seg: OUT STD_LOGIC_VECTOR(0 TO 15)); -- barramento de entrada/saida END ENTITY pc; ------------------------------------------------------------------------------------------------------------------ ARCHITECTURE rtl OF pc IS SIGNAL counter: INTEGER RANGE 0 to 2**n -1; -- contador em si SIGNAL counter_vector: STD_LOGIC_VECTOR(n-1 DOWNTO 0); COMPONENT bcd_to_7seg IS PORT (bcd: IN STD_LOGIC_VECTOR(3 DOWNTO 0); en: IN STD_LOGIC; output: OUT STD_LOGIC_VECTOR (0 TO 7)); END COMPONENT; BEGIN -- Se o PC_valid = '1', manda o valor do PC pro barramento. Caso contrario, manda Z. PC_bus <= counter_vector WHEN PC_valid = '1' ELSE (OTHERS => 'Z'); counter_vector <= STD_LOGIC_VECTOR(to_unsigned(counter, PC_bus'length)); -- Gera a visualizacao 7seg counter7seg_0: bcd_to_7seg PORT MAP(counter_vector(3 DOWNTO 0), seg_en, PC_7seg(0 TO 7)); counter7seg_1: bcd_to_7seg PORT MAP(counter_vector(7 DOWNTO 4), seg_en, PC_7seg(8 TO 15)); PROCESS (clk, nrst) IS BEGIN -- De forma assincrona, se o reset ficar em ni­vel 0, volta o contador pra 0 IF nrst = '0' THEN counter <= 0; -- Se teve uma borda de subida no clock, faz as outras coisas ELSIF rising_edge(clk) THEN -- A maior prioridade eh do incremento. Se esta em 1, incrementa o PC IF PC_inc = '1' THEN counter <= counter + 1; -- Caso contrario, verifica se eh pra carregar o valor do bus. ELSIF PC_load = '1' THEN -- O PC_load deve carregar apenas o endereco, desconsiderando o OPCODE counter <= TO_INTEGER(UNSIGNED(PC_bus(n-oplen-1 DOWNTO 0))); -- Cast de STD_LOGIC_VECTOR pra INTEGER END IF; END IF; END PROCESS; END ARCHITECTURE rtl; ------------------------------------------------------------------------------------------------------------------
gpl-2.0
summershrimp/VHDLClock
Timer.vhd
1
1185
Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; Entity Timer is Port( clk, seth, setm: in std_logic; ssig: out std_logic; hsigh, msigh: out std_logic_vector(2 downto 0); hsigl, msigl: out std_logic_vector(3 downto 0) ); End Entity; Architecture ArchTimer of Timer is Component Counter60 Port( h:out std_logic_vector(2 downto 0); l:out std_logic_vector(3 downto 0); co:out std_logic; en:in std_logic; clk:in std_logic; rst:in std_logic ); End Component Counter60; Component Counter24 Port( h:out std_logic_vector(2 downto 0); l:out std_logic_vector(3 downto 0); co:out std_logic; en:in std_logic; clk:in std_logic; rst:in std_logic ); End Component Counter24; Signal hclk, mclk, hco, mco, srst, en, gnd: std_logic; Begin en <= '1'; gnd <= '0'; Sec:Counter60 Port Map(co=> mco, en=>en, clk=>clk, rst=>srst ); Min:Counter60 Port Map(msigh, msigl, hco, en, mclk , gnd); Hor:Counter24 Port Map(h=>hsigh, l=>hsigl, en=>en, clk=>hclk, rst=>gnd ); ssig <= clk; hclk<= seth or hco; mclk<= setm or mco; srst<= seth or setm; End Architecture;
gpl-2.0
cafe-alpha/wasca
obsolete/fpga_firmware_V2/ip_repo/ABus2AXI4Lite/hdl/ABus2AXI4Lite_Slave_AXI.vhd
2
18750
-- This one is a slave interface, it provides Zynq PS with access to system registers -- and filesystem buffers. Since PS have its own memory interface, no need to provide -- access for DDR here. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ABus2AXI4Lite_Slave_AXI is generic ( -- Users to add parameters here -- User parameters ends -- Do not modify the parameters beyond this line -- Width of S_AXI data bus C_SLAVE_AXI_DATA_WIDTH : integer := 32; -- Width of S_AXI address bus C_SLAVE_AXI_ADDR_WIDTH : integer := 5 ); port ( -- Users to add ports here -- registers PCNTR : out std_logic_vector(15 downto 0); STATUS : out std_logic_vector(15 downto 0); MODE : in std_logic_vector(15 downto 0); HWVER : in std_logic_vector(15 downto 0); SWVER : out std_logic_vector(15 downto 0); -- User ports ends -- Do not modify the ports beyond this line -- Global Clock Signal SLAVE_AXI_ACLK : in std_logic; -- Global Reset Signal. This Signal is Active LOW SLAVE_AXI_ARESETN : in std_logic; -- Write address (issued by master, acceped by Slave) SLAVE_AXI_AWADDR : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); -- Write channel Protection type. This signal indicates the -- privilege and security level of the transaction, and whether -- the transaction is a data access or an instruction access. SLAVE_AXI_AWPROT : in std_logic_vector(2 downto 0); -- Write address valid. This signal indicates that the master signaling -- valid write address and control information. SLAVE_AXI_AWVALID : in std_logic; -- Write address ready. This signal indicates that the slave is ready -- to accept an address and associated control signals. SLAVE_AXI_AWREADY : out std_logic; -- Write data (issued by master, acceped by Slave) SLAVE_AXI_WDATA : in std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); -- Write strobes. This signal indicates which byte lanes hold -- valid data. There is one write strobe bit for each eight -- bits of the write data bus. SLAVE_AXI_WSTRB : in std_logic_vector((C_SLAVE_AXI_DATA_WIDTH/8)-1 downto 0); -- Write valid. This signal indicates that valid write -- data and strobes are available. SLAVE_AXI_WVALID : in std_logic; -- Write ready. This signal indicates that the slave -- can accept the write data. SLAVE_AXI_WREADY : out std_logic; -- Write response. This signal indicates the status -- of the write transaction. SLAVE_AXI_BRESP : out std_logic_vector(1 downto 0); -- Write response valid. This signal indicates that the channel -- is signaling a valid write response. SLAVE_AXI_BVALID : out std_logic; -- Response ready. This signal indicates that the master -- can accept a write response. SLAVE_AXI_BREADY : in std_logic; -- Read address (issued by master, acceped by Slave) SLAVE_AXI_ARADDR : in std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); -- Protection type. This signal indicates the privilege -- and security level of the transaction, and whether the -- transaction is a data access or an instruction access. SLAVE_AXI_ARPROT : in std_logic_vector(2 downto 0); -- Read address valid. This signal indicates that the channel -- is signaling valid read address and control information. SLAVE_AXI_ARVALID : in std_logic; -- Read address ready. This signal indicates that the slave is -- ready to accept an address and associated control signals. SLAVE_AXI_ARREADY : out std_logic; -- Read data (issued by slave) SLAVE_AXI_RDATA : out std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); -- Read response. This signal indicates the status of the -- read transfer. SLAVE_AXI_RRESP : out std_logic_vector(1 downto 0); -- Read valid. This signal indicates that the channel is -- signaling the required read data. SLAVE_AXI_RVALID : out std_logic; -- Read ready. This signal indicates that the master can -- accept the read data and response information. SLAVE_AXI_RREADY : in std_logic ); end ABus2AXI4Lite_Slave_AXI; architecture arch_imp of ABus2AXI4Lite_Slave_AXI is -- AXI4LITE signals signal axi_awaddr : std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); signal axi_awready : std_logic; signal axi_wready : std_logic; signal axi_bresp : std_logic_vector(1 downto 0); signal axi_bvalid : std_logic; signal axi_araddr : std_logic_vector(C_SLAVE_AXI_ADDR_WIDTH-1 downto 0); signal axi_arready : std_logic; signal axi_rdata : std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal axi_rresp : std_logic_vector(1 downto 0); signal axi_rvalid : std_logic; -- Example-specific design signals -- local parameter for addressing 32 bit / 64 bit C_SLAVE_AXI_DATA_WIDTH -- ADDR_LSB is used for addressing 32/64 bit registers/memories -- ADDR_LSB = 2 for 32 bits (n downto 2) -- ADDR_LSB = 3 for 64 bits (n downto 3) constant ADDR_LSB : integer := (C_SLAVE_AXI_DATA_WIDTH/32)+ 1; constant OPT_MEM_ADDR_BITS : integer := 2; ------------------------------------------------ ---- Signals for user logic register space example -------------------------------------------------- ---- Number of Slave Registers 8 signal slv_reg0 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg1 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg2 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg3 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg4 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg5 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg6 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg7 :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal slv_reg_rden : std_logic; signal slv_reg_wren : std_logic; signal reg_data_out :std_logic_vector(C_SLAVE_AXI_DATA_WIDTH-1 downto 0); signal byte_index : integer; begin -- I/O Connections assignments SLAVE_AXI_AWREADY <= axi_awready; SLAVE_AXI_WREADY <= axi_wready; SLAVE_AXI_BRESP <= axi_bresp; SLAVE_AXI_BVALID <= axi_bvalid; SLAVE_AXI_ARREADY <= axi_arready; SLAVE_AXI_RDATA <= axi_rdata; SLAVE_AXI_RRESP <= axi_rresp; SLAVE_AXI_RVALID <= axi_rvalid; -- Implement axi_awready generation -- axi_awready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is -- de-asserted when reset is low. process (SLAVE_AXI_ACLK) begin if rising_edge(SLAVE_AXI_ACLK) then if SLAVE_AXI_ARESETN = '0' then axi_awready <= '0'; else if (axi_awready = '0' and SLAVE_AXI_AWVALID = '1' and SLAVE_AXI_WVALID = '1') then -- slave is ready to accept write address when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_awready <= '1'; else axi_awready <= '0'; end if; end if; end if; end process; -- Implement axi_awaddr latching -- This process is used to latch the address when both -- S_AXI_AWVALID and S_AXI_WVALID are valid. process (SLAVE_AXI_ACLK) begin if rising_edge(SLAVE_AXI_ACLK) then if SLAVE_AXI_ARESETN = '0' then axi_awaddr <= (others => '0'); else if (axi_awready = '0' and SLAVE_AXI_AWVALID = '1' and SLAVE_AXI_WVALID = '1') then -- Write Address latching axi_awaddr <= SLAVE_AXI_AWADDR; end if; end if; end if; end process; -- Implement axi_wready generation -- axi_wready is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is -- de-asserted when reset is low. process (SLAVE_AXI_ACLK) begin if rising_edge(SLAVE_AXI_ACLK) then if SLAVE_AXI_ARESETN = '0' then axi_wready <= '0'; else if (axi_wready = '0' and SLAVE_AXI_WVALID = '1' and SLAVE_AXI_AWVALID = '1') then -- slave is ready to accept write data when -- there is a valid write address and write data -- on the write address and data bus. This design -- expects no outstanding transactions. axi_wready <= '1'; else axi_wready <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and write logic generation -- The write data is accepted and written to memory mapped registers when -- axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to -- select byte enables of slave registers while writing. -- These registers are cleared when reset (active low) is applied. -- Slave register write enable is asserted when valid address and data are available -- and the slave is ready to accept the write address and write data. slv_reg_wren <= axi_wready and SLAVE_AXI_WVALID and axi_awready and SLAVE_AXI_AWVALID ; process (SLAVE_AXI_ACLK) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin if rising_edge(SLAVE_AXI_ACLK) then if SLAVE_AXI_ARESETN = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); slv_reg4 <= (others => '0'); slv_reg5 <= (others => '0'); slv_reg6 <= (others => '0'); slv_reg7 <= (others => '0'); else loc_addr := axi_awaddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); if (slv_reg_wren = '1') then case loc_addr is when b"000" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 0 slv_reg0(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"001" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 1 slv_reg1(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"010" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 2 slv_reg2(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"011" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 3 slv_reg3(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"100" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 4 slv_reg4(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"101" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 5 slv_reg5(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"110" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 6 slv_reg6(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when b"111" => for byte_index in 0 to (C_SLAVE_AXI_DATA_WIDTH/8-1) loop if ( SLAVE_AXI_WSTRB(byte_index) = '1' ) then -- Respective byte enables are asserted as per write strobes -- slave registor 7 slv_reg7(byte_index*8+7 downto byte_index*8) <= SLAVE_AXI_WDATA(byte_index*8+7 downto byte_index*8); end if; end loop; when others => slv_reg0 <= slv_reg0; slv_reg1 <= slv_reg1; slv_reg2 <= slv_reg2; slv_reg3 <= slv_reg3; slv_reg4 <= slv_reg4; slv_reg5 <= slv_reg5; slv_reg6 <= slv_reg6; slv_reg7 <= slv_reg7; end case; end if; end if; end if; end process; -- Implement write response logic generation -- The write response and response valid signals are asserted by the slave -- when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. -- This marks the acceptance of address and indicates the status of -- write transaction. process (SLAVE_AXI_ACLK) begin if rising_edge(SLAVE_AXI_ACLK) then if SLAVE_AXI_ARESETN = '0' then axi_bvalid <= '0'; axi_bresp <= "00"; --need to work more on the responses else if (axi_awready = '1' and SLAVE_AXI_AWVALID = '1' and axi_wready = '1' and SLAVE_AXI_WVALID = '1' and axi_bvalid = '0' ) then axi_bvalid <= '1'; axi_bresp <= "00"; elsif (SLAVE_AXI_BREADY = '1' and axi_bvalid = '1') then --check if bready is asserted while bvalid is high) axi_bvalid <= '0'; -- (there is a possibility that bready is always asserted high) end if; end if; end if; end process; -- Implement axi_arready generation -- axi_arready is asserted for one S_AXI_ACLK clock cycle when -- S_AXI_ARVALID is asserted. axi_awready is -- de-asserted when reset (active low) is asserted. -- The read address is also latched when S_AXI_ARVALID is -- asserted. axi_araddr is reset to zero on reset assertion. process (SLAVE_AXI_ACLK) begin if rising_edge(SLAVE_AXI_ACLK) then if SLAVE_AXI_ARESETN = '0' then axi_arready <= '0'; axi_araddr <= (others => '1'); else if (axi_arready = '0' and SLAVE_AXI_ARVALID = '1') then -- indicates that the slave has acceped the valid read address axi_arready <= '1'; -- Read Address latching axi_araddr <= SLAVE_AXI_ARADDR; else axi_arready <= '0'; end if; end if; end if; end process; -- Implement axi_arvalid generation -- axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both -- S_AXI_ARVALID and axi_arready are asserted. The slave registers -- data are available on the axi_rdata bus at this instance. The -- assertion of axi_rvalid marks the validity of read data on the -- bus and axi_rresp indicates the status of read transaction.axi_rvalid -- is deasserted on reset (active low). axi_rresp and axi_rdata are -- cleared to zero on reset (active low). process (SLAVE_AXI_ACLK) begin if rising_edge(SLAVE_AXI_ACLK) then if SLAVE_AXI_ARESETN = '0' then axi_rvalid <= '0'; axi_rresp <= "00"; else if (axi_arready = '1' and SLAVE_AXI_ARVALID = '1' and axi_rvalid = '0') then -- Valid read data is available at the read data bus axi_rvalid <= '1'; axi_rresp <= "00"; -- 'OKAY' response elsif (axi_rvalid = '1' and SLAVE_AXI_RREADY = '1') then -- Read data is accepted by the master axi_rvalid <= '0'; end if; end if; end if; end process; -- Implement memory mapped register select and read logic generation -- Slave register read enable is asserted when valid address is available -- and the slave is ready to accept the read address. slv_reg_rden <= axi_arready and SLAVE_AXI_ARVALID and (not axi_rvalid) ; process (slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, axi_araddr, SLAVE_AXI_ARESETN, slv_reg_rden) variable loc_addr :std_logic_vector(OPT_MEM_ADDR_BITS downto 0); begin -- Address decoding for reading registers loc_addr := axi_araddr(ADDR_LSB + OPT_MEM_ADDR_BITS downto ADDR_LSB); case loc_addr is when b"000" => reg_data_out <= slv_reg0; when b"001" => reg_data_out <= HWVER & MODE;--slv_reg1; when b"010" => reg_data_out <= slv_reg2; -- when b"011" => -- reg_data_out <= slv_reg3; -- when b"100" => -- reg_data_out <= slv_reg4; -- when b"101" => -- reg_data_out <= slv_reg5; -- when b"110" => -- reg_data_out <= slv_reg6; -- when b"111" => -- reg_data_out <= slv_reg7; when others => reg_data_out <= (others => '0'); end case; end process; -- Output register or memory read data process( SLAVE_AXI_ACLK ) is begin if (rising_edge (SLAVE_AXI_ACLK)) then if ( SLAVE_AXI_ARESETN = '0' ) then axi_rdata <= (others => '0'); else if (slv_reg_rden = '1') then -- When there is a valid read address (S_AXI_ARVALID) with -- acceptance of read address by the slave (axi_arready), -- output the read dada -- Read address mux axi_rdata <= reg_data_out; -- register read data end if; end if; end if; end process; -- Add user logic here PCNTR <= slv_reg0(15 downto 0); STATUS <= slv_reg0(31 downto 16); --MODE : in std_logic_vector(15 downto 0); --HWVER : in std_logic_vector(15 downto 0); SWVER <= slv_reg2(15 downto 0); -- User logic ends end arch_imp;
gpl-2.0
cafe-alpha/wasca
fpga_firmware/wasca/synthesis/wasca_rst_controller.vhd
6
9018
-- wasca_rst_controller.vhd -- Generated using ACDS version 15.0 145 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity wasca_rst_controller is generic ( NUM_RESET_INPUTS : integer := 1; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := '0'; -- reset_in0.reset clk : in std_logic := '0'; -- clk.clk reset_out : out std_logic; -- reset_out.reset reset_in1 : in std_logic := '0'; reset_in10 : in std_logic := '0'; reset_in11 : in std_logic := '0'; reset_in12 : in std_logic := '0'; reset_in13 : in std_logic := '0'; reset_in14 : in std_logic := '0'; reset_in15 : in std_logic := '0'; reset_in2 : in std_logic := '0'; reset_in3 : in std_logic := '0'; reset_in4 : in std_logic := '0'; reset_in5 : in std_logic := '0'; reset_in6 : in std_logic := '0'; reset_in7 : in std_logic := '0'; reset_in8 : in std_logic := '0'; reset_in9 : in std_logic := '0'; reset_req : out std_logic; reset_req_in0 : in std_logic := '0'; reset_req_in1 : in std_logic := '0'; reset_req_in10 : in std_logic := '0'; reset_req_in11 : in std_logic := '0'; reset_req_in12 : in std_logic := '0'; reset_req_in13 : in std_logic := '0'; reset_req_in14 : in std_logic := '0'; reset_req_in15 : in std_logic := '0'; reset_req_in2 : in std_logic := '0'; reset_req_in3 : in std_logic := '0'; reset_req_in4 : in std_logic := '0'; reset_req_in5 : in std_logic := '0'; reset_req_in6 : in std_logic := '0'; reset_req_in7 : in std_logic := '0'; reset_req_in8 : in std_logic := '0'; reset_req_in9 : in std_logic := '0' ); end entity wasca_rst_controller; architecture rtl of wasca_rst_controller is component altera_reset_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component altera_reset_controller; begin rst_controller : component altera_reset_controller generic map ( NUM_RESET_INPUTS => NUM_RESET_INPUTS, OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES, SYNC_DEPTH => SYNC_DEPTH, RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT, RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME, MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME, RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME, USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0, USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1, USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2, USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3, USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4, USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5, USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6, USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7, USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8, USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9, USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10, USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11, USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12, USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13, USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14, USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15, ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST ) port map ( reset_in0 => reset_in0, -- reset_in0.reset clk => clk, -- clk.clk reset_out => reset_out, -- reset_out.reset reset_req => open, -- (terminated) reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); end architecture rtl; -- of wasca_rst_controller
gpl-2.0
summershrimp/VHDLClock
Alarm.vhd
1
915
Library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; Library work; use work.all; Entity Alarm is Port( hlow, mlow: out std_logic_vector(3 downto 0); hhigh,mhigh: out std_logic_vector(2 downto 0); en, hadd, madd: in std_logic ); End Entity Alarm; Architecture ArchAlarm of Alarm is Component Counter60 Port( h:out std_logic_vector(2 downto 0); l:out std_logic_vector(3 downto 0); en:in std_logic; clk:in std_logic; rst:in std_logic ); End Component Counter60; Component Counter24 Port( h:out std_logic_vector(2 downto 0); l:out std_logic_vector(3 downto 0); en:in std_logic; clk:in std_logic; rst:in std_logic ); End Component Counter24; Signal rst :std_logic; Begin rst<='0'; CM: Counter60 Port Map (mhigh, mlow, en, madd, rst); CH: Counter24 Port Map (hhigh, hlow, en, hadd, rst); End Architecture;
gpl-2.0
cafe-alpha/wasca
fpga_firmware/wasca/synthesis/wasca_rst_controller_002.vhd
6
9079
-- wasca_rst_controller_002.vhd -- Generated using ACDS version 15.0 145 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity wasca_rst_controller_002 is generic ( NUM_RESET_INPUTS : integer := 1; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 1; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := '0'; -- reset_in0.reset clk : in std_logic := '0'; -- clk.clk reset_out : out std_logic; -- reset_out.reset reset_req : out std_logic; -- .reset_req reset_in1 : in std_logic := '0'; reset_in10 : in std_logic := '0'; reset_in11 : in std_logic := '0'; reset_in12 : in std_logic := '0'; reset_in13 : in std_logic := '0'; reset_in14 : in std_logic := '0'; reset_in15 : in std_logic := '0'; reset_in2 : in std_logic := '0'; reset_in3 : in std_logic := '0'; reset_in4 : in std_logic := '0'; reset_in5 : in std_logic := '0'; reset_in6 : in std_logic := '0'; reset_in7 : in std_logic := '0'; reset_in8 : in std_logic := '0'; reset_in9 : in std_logic := '0'; reset_req_in0 : in std_logic := '0'; reset_req_in1 : in std_logic := '0'; reset_req_in10 : in std_logic := '0'; reset_req_in11 : in std_logic := '0'; reset_req_in12 : in std_logic := '0'; reset_req_in13 : in std_logic := '0'; reset_req_in14 : in std_logic := '0'; reset_req_in15 : in std_logic := '0'; reset_req_in2 : in std_logic := '0'; reset_req_in3 : in std_logic := '0'; reset_req_in4 : in std_logic := '0'; reset_req_in5 : in std_logic := '0'; reset_req_in6 : in std_logic := '0'; reset_req_in7 : in std_logic := '0'; reset_req_in8 : in std_logic := '0'; reset_req_in9 : in std_logic := '0' ); end entity wasca_rst_controller_002; architecture rtl of wasca_rst_controller_002 is component altera_reset_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component altera_reset_controller; begin rst_controller_002 : component altera_reset_controller generic map ( NUM_RESET_INPUTS => NUM_RESET_INPUTS, OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES, SYNC_DEPTH => SYNC_DEPTH, RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT, RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME, MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME, RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME, USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0, USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1, USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2, USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3, USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4, USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5, USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6, USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7, USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8, USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9, USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10, USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11, USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12, USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13, USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14, USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15, ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST ) port map ( reset_in0 => reset_in0, -- reset_in0.reset clk => clk, -- clk.clk reset_out => reset_out, -- reset_out.reset reset_req => reset_req, -- .reset_req reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); end architecture rtl; -- of wasca_rst_controller_002
gpl-2.0
peter-b/geda-gaf
netlist/examples/vams/vhdl/basic-vhdl/transitest.vhdl
15
192
LIBRARY ieee,disciplines; USE ieee.math_real.all; USE ieee.math_real.all; USE work.electrical_system.all; USE work.all; -- Entity declaration -- ENTITY top_entity IS END ENTITY top_entity;
gpl-2.0
peter-b/geda-gaf
netlist/docs/README.vhdl
7
598
The VHDL backend Written by Magnus Danielson and improved by Thomas Heidel A few things you have to care about: 1. In order to generate valid component declarations, you have to add an additional attribute to each pin. "type=IN" or "type=OUT" or "type=INOUT" 2. The "device" attribute must be unique to a symbol! The verilog symbols of the same type for example, have all the same device attribute and will therefore not work. 3. Make sure your component-library picks up the vhdl symbols instead of the verilog symbols Library paths that show up last are searched first!
gpl-2.0
hpcn-uam/hardware_packet_train
ZedBoard/VHDL/precise_timestamp/precise_timestamp.vhd
1
5316
--/******************************************************************************* -- * -- * -- * File: -- * precise_timestamp.vhd -- * -- * -- * Module: -- * precise_timestamp -- * -- * Author: -- * Mario Ruiz -- * -- * -- * Copyright (C) 2015 - Mario Ruiz and HPCN-UAM High Performance Computing and Networking -- * -- * Licence: -- * This file is part of the HPCN-NetFPGA 10G development base package. -- * -- * This file is free code: you can redistribute it and/or modify it under -- * the terms of the GNU Lesser General Public License version 2.0 as -- * published by the Free Software Foundation. -- * -- * This package is distributed in the hope that it will be useful, but -- * WITHOUT ANY WARRANTY; without even the implied warranty of -- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- * Lesser General Public License for more details. -- * -- * You should have received a copy of the GNU Lesser General Public -- * License along with the NetFPGA source package. If not, see -- * http://www.gnu.org/licenses/. -- * -- */ library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity precise_timestamp is generic ( constant width_counter : integer := 32; constant max_count : std_logic_vector := x"3B9ACA00"; -- 1.000.000.000 ns constant high_time_pps : std_logic_vector := x"05F5E100"; -- 100.000.000 ns constant counter_increment : integer :=10; -- 10ns constant max_count_2 : std_logic_vector := x"1DCD6500"; -- 500.000.000 ns constant fix_point_width : integer := 3 ); port ( aclk : in std_logic; aresetn : in std_logic; nanosecond : out std_logic_vector(width_counter-1 downto 0); second : out std_logic_vector(width_counter-1 downto 0); correction : in std_logic_vector(width_counter-1 downto 0); updatesec : in std_logic_vector(width_counter-1 downto 0); mode : in std_logic; correction_enable : in std_logic; pps : in std_logic; pps_sync : out std_logic; pps_internal : out std_logic ); end precise_timestamp; architecture Behavioral of precise_timestamp is component counter is generic ( constant width_counter : integer := 32; constant max_count : std_logic_vector := x"3B9ACA00"; -- 1.000.000.000 ns constant high_time_pps : std_logic_vector := x"05F5E100"; -- 100.000.000 ns constant counter_increment : integer :=10 -- 10ns ); port( aclk : in std_logic; aresetn : in std_logic; timedrift : in std_logic_vector (1 downto 0); pps : in std_logic; pps_internal : out std_logic; second : out std_logic_vector (width_counter-1 downto 0); nanosecond : out std_logic_vector (width_counter-1 downto 0); mode : in std_logic; updatesec : in std_logic_vector (width_counter-1 downto 0) ); end component; component tuning is generic ( constant width_counter : integer := 32; constant counter_increment : integer :=10 -- 10ns ); port ( aclk : in std_logic; aresetn : in std_logic; pps : in std_logic; correction : in std_logic_vector(width_counter-1 downto 0); timedrift : out std_logic_vector(1 downto 0); correction_enable : in std_logic ); end component; signal nsec_counter,sec_counter : std_logic_vector(width_counter-1 downto 0); signal time_corr : std_logic_vector(width_counter-1 downto 0 ); signal time_drift : std_logic_vector (1 downto 0); signal pps_out : std_logic; signal error_calc : std_logic_vector(width_counter-1 downto 0); signal correction_calc : std_logic_vector(width_counter-1 downto 0); signal pps_ant_ant : std_logic:='0'; signal pps_ant : std_logic:='0'; begin CONT : counter generic map ( width_counter => width_counter, max_count => max_count, high_time_pps => high_time_pps, counter_increment => counter_increment ) port map( aclk => aclk, aresetn => aresetn, timedrift => time_drift, pps => pps_ant_ant, pps_internal => pps_out, second => sec_counter, nanosecond => nsec_counter, mode => mode, updatesec => updatesec ); TUN : tuning generic map ( width_counter => width_counter, counter_increment => counter_increment ) port map ( aclk => aclk, aresetn => aresetn, pps => pps_ant_ant, correction => correction, timedrift => time_drift, correction_enable => correction_enable ); process (aclk) -- synchroniser pps begin if rising_edge(aclk) then pps_ant_ant <= pps_ant; pps_ant <= pps; end if; end process; pps_sync <= pps_ant_ant; pps_internal <= pps_out; second <= sec_counter; nanosecond <= nsec_counter; -- time_corr <= correction; -- error_out <= error_calc; end Behavioral;
gpl-2.0
systec-dk/openPOWERLINK_systec
Examples/altera_nios2/SYSTEC_ECUcore-EP3C/design_nios2_directIO/niosII_openMac_clock_2.vhd
8
29264
--Legal Notice: (C)2012 Altera Corporation. All rights reserved. Your --use of Altera Corporation's design tools, logic functions and other --software and tools, and its AMPP partner logic functions, and any --output files any of the foregoing (including device programming or --simulation files), and any associated documentation or information are --expressly subject to the terms and conditions of the Altera Program --License Subscription Agreement or other applicable license agreement, --including, without limitation, that your use is for the sole purpose --of programming logic devices manufactured by Altera and sold by Altera --or its authorized distributors. Please refer to the applicable --agreement for further details. -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity niosII_openMac_clock_2_edge_to_pulse is port ( -- inputs: signal clock : IN STD_LOGIC; signal data_in : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; -- outputs: signal data_out : OUT STD_LOGIC ); end entity niosII_openMac_clock_2_edge_to_pulse; architecture europa of niosII_openMac_clock_2_edge_to_pulse is signal data_in_d1 : STD_LOGIC; begin process (clock, reset_n) begin if reset_n = '0' then data_in_d1 <= std_logic'('0'); elsif clock'event and clock = '1' then data_in_d1 <= data_in; end if; end process; data_out <= data_in XOR data_in_d1; end europa; -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity niosII_openMac_clock_2_slave_FSM is port ( -- inputs: signal master_read_done_token : IN STD_LOGIC; signal master_write_done_token : IN STD_LOGIC; signal slave_clk : IN STD_LOGIC; signal slave_read : IN STD_LOGIC; signal slave_reset_n : IN STD_LOGIC; signal slave_write : IN STD_LOGIC; -- outputs: signal slave_read_request : OUT STD_LOGIC; signal slave_waitrequest : OUT STD_LOGIC; signal slave_write_request : OUT STD_LOGIC ); end entity niosII_openMac_clock_2_slave_FSM; architecture europa of niosII_openMac_clock_2_slave_FSM is signal internal_slave_read_request : STD_LOGIC; signal internal_slave_write_request : STD_LOGIC; signal next_slave_read_request : STD_LOGIC; signal next_slave_state : STD_LOGIC_VECTOR (2 DOWNTO 0); signal next_slave_write_request : STD_LOGIC; signal slave_state : STD_LOGIC_VECTOR (2 DOWNTO 0); begin process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then internal_slave_read_request <= std_logic'('0'); elsif slave_clk'event and slave_clk = '1' then if true then internal_slave_read_request <= next_slave_read_request; end if; end if; end process; process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then internal_slave_write_request <= std_logic'('0'); elsif slave_clk'event and slave_clk = '1' then if true then internal_slave_write_request <= next_slave_write_request; end if; end if; end process; process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then slave_state <= std_logic_vector'("001"); elsif slave_clk'event and slave_clk = '1' then if true then slave_state <= next_slave_state; end if; end if; end process; process (internal_slave_read_request, internal_slave_write_request, master_read_done_token, master_write_done_token, slave_read, slave_state, slave_write) begin case slave_state is -- synthesis parallel_case when std_logic_vector'("001") => --read request: go from IDLE state to READ_WAIT state if std_logic'(slave_read) = '1' then next_slave_state <= std_logic_vector'("010"); slave_waitrequest <= std_logic'('1'); next_slave_read_request <= NOT(internal_slave_read_request); next_slave_write_request <= internal_slave_write_request; elsif std_logic'(slave_write) = '1' then next_slave_state <= std_logic_vector'("100"); slave_waitrequest <= std_logic'('1'); next_slave_read_request <= internal_slave_read_request; next_slave_write_request <= NOT(internal_slave_write_request); else next_slave_state <= slave_state; slave_waitrequest <= std_logic'('0'); next_slave_read_request <= internal_slave_read_request; next_slave_write_request <= internal_slave_write_request; end if; -- when std_logic_vector'("001") when std_logic_vector'("010") => --stay in READ_WAIT state until master passes read done token if std_logic'(master_read_done_token) = '1' then next_slave_state <= std_logic_vector'("001"); slave_waitrequest <= std_logic'('0'); else next_slave_state <= std_logic_vector'("010"); slave_waitrequest <= std_logic'('1'); end if; next_slave_read_request <= internal_slave_read_request; next_slave_write_request <= internal_slave_write_request; -- when std_logic_vector'("010") when std_logic_vector'("100") => --stay in WRITE_WAIT state until master passes write done token if std_logic'(master_write_done_token) = '1' then next_slave_state <= std_logic_vector'("001"); slave_waitrequest <= std_logic'('0'); else next_slave_state <= std_logic_vector'("100"); slave_waitrequest <= std_logic'('1'); end if; next_slave_read_request <= internal_slave_read_request; next_slave_write_request <= internal_slave_write_request; -- when std_logic_vector'("100") when others => next_slave_state <= std_logic_vector'("001"); slave_waitrequest <= std_logic'('0'); next_slave_read_request <= internal_slave_read_request; next_slave_write_request <= internal_slave_write_request; -- when others end case; -- slave_state end process; --vhdl renameroo for output signals slave_read_request <= internal_slave_read_request; --vhdl renameroo for output signals slave_write_request <= internal_slave_write_request; end europa; -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity niosII_openMac_clock_2_master_FSM is port ( -- inputs: signal master_clk : IN STD_LOGIC; signal master_reset_n : IN STD_LOGIC; signal master_waitrequest : IN STD_LOGIC; signal slave_read_request_token : IN STD_LOGIC; signal slave_write_request_token : IN STD_LOGIC; -- outputs: signal master_read : OUT STD_LOGIC; signal master_read_done : OUT STD_LOGIC; signal master_write : OUT STD_LOGIC; signal master_write_done : OUT STD_LOGIC ); end entity niosII_openMac_clock_2_master_FSM; architecture europa of niosII_openMac_clock_2_master_FSM is signal internal_master_read1 : STD_LOGIC; signal internal_master_read_done : STD_LOGIC; signal internal_master_write1 : STD_LOGIC; signal internal_master_write_done : STD_LOGIC; signal master_state : STD_LOGIC_VECTOR (2 DOWNTO 0); signal next_master_read : STD_LOGIC; signal next_master_read_done : STD_LOGIC; signal next_master_state : STD_LOGIC_VECTOR (2 DOWNTO 0); signal next_master_write : STD_LOGIC; signal next_master_write_done : STD_LOGIC; begin process (master_clk, master_reset_n) begin if master_reset_n = '0' then internal_master_read_done <= std_logic'('0'); elsif master_clk'event and master_clk = '1' then if true then internal_master_read_done <= next_master_read_done; end if; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then internal_master_write_done <= std_logic'('0'); elsif master_clk'event and master_clk = '1' then if true then internal_master_write_done <= next_master_write_done; end if; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then internal_master_read1 <= std_logic'('0'); elsif master_clk'event and master_clk = '1' then if true then internal_master_read1 <= next_master_read; end if; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then internal_master_write1 <= std_logic'('0'); elsif master_clk'event and master_clk = '1' then if true then internal_master_write1 <= next_master_write; end if; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then master_state <= std_logic_vector'("001"); elsif master_clk'event and master_clk = '1' then if true then master_state <= next_master_state; end if; end if; end process; process (internal_master_read1, internal_master_read_done, internal_master_write1, internal_master_write_done, master_state, master_waitrequest, slave_read_request_token, slave_write_request_token) begin case master_state is -- synthesis parallel_case when std_logic_vector'("001") => --if read request token from slave then goto READ_WAIT state if std_logic'(slave_read_request_token) = '1' then next_master_state <= std_logic_vector'("010"); next_master_read <= std_logic'('1'); next_master_write <= std_logic'('0'); elsif std_logic'(slave_write_request_token) = '1' then next_master_state <= std_logic_vector'("100"); next_master_read <= std_logic'('0'); next_master_write <= std_logic'('1'); else next_master_state <= master_state; next_master_read <= std_logic'('0'); next_master_write <= std_logic'('0'); end if; next_master_read_done <= internal_master_read_done; next_master_write_done <= internal_master_write_done; -- when std_logic_vector'("001") when std_logic_vector'("010") => --stay in READ_WAIT state until master wait is deasserted if std_logic'(NOT(master_waitrequest)) = '1' then next_master_state <= std_logic_vector'("001"); next_master_read_done <= NOT(internal_master_read_done); next_master_read <= std_logic'('0'); else next_master_state <= std_logic_vector'("010"); next_master_read_done <= internal_master_read_done; next_master_read <= internal_master_read1; end if; next_master_write_done <= internal_master_write_done; next_master_write <= std_logic'('0'); -- when std_logic_vector'("010") when std_logic_vector'("100") => --stay in WRITE_WAIT state until slave wait is deasserted if std_logic'(NOT(master_waitrequest)) = '1' then next_master_state <= std_logic_vector'("001"); next_master_write <= std_logic'('0'); next_master_write_done <= NOT(internal_master_write_done); else next_master_state <= std_logic_vector'("100"); next_master_write <= internal_master_write1; next_master_write_done <= internal_master_write_done; end if; next_master_read_done <= internal_master_read_done; next_master_read <= std_logic'('0'); -- when std_logic_vector'("100") when others => next_master_state <= std_logic_vector'("001"); next_master_write <= std_logic'('0'); next_master_write_done <= internal_master_write_done; next_master_read <= std_logic'('0'); next_master_read_done <= internal_master_read_done; -- when others end case; -- master_state end process; --vhdl renameroo for output signals master_read <= internal_master_read1; --vhdl renameroo for output signals master_read_done <= internal_master_read_done; --vhdl renameroo for output signals master_write <= internal_master_write1; --vhdl renameroo for output signals master_write_done <= internal_master_write_done; end europa; -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity niosII_openMac_clock_2_bit_pipe is port ( -- inputs: signal clk1 : IN STD_LOGIC; signal clk2 : IN STD_LOGIC; signal data_in : IN STD_LOGIC; signal reset_clk1_n : IN STD_LOGIC; signal reset_clk2_n : IN STD_LOGIC; -- outputs: signal data_out : OUT STD_LOGIC ); end entity niosII_openMac_clock_2_bit_pipe; architecture europa of niosII_openMac_clock_2_bit_pipe is signal data_in_d1 : STD_LOGIC; attribute ALTERA_ATTRIBUTE : string; attribute ALTERA_ATTRIBUTE of data_in_d1 : signal is "{-to ""*""} CUT=ON ; PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of data_out : signal is "PRESERVE_REGISTER=ON"; begin process (clk1, reset_clk1_n) begin if reset_clk1_n = '0' then data_in_d1 <= std_logic'('0'); elsif clk1'event and clk1 = '1' then data_in_d1 <= data_in; end if; end process; process (clk2, reset_clk2_n) begin if reset_clk2_n = '0' then data_out <= std_logic'('0'); elsif clk2'event and clk2 = '1' then data_out <= data_in_d1; end if; end process; end europa; -- turn off superfluous VHDL processor warnings -- altera message_level Level1 -- altera message_off 10034 10035 10036 10037 10230 10240 10030 library altera; use altera.altera_europa_support_lib.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; --Clock Domain Crossing AdapterniosII_openMac_clock_2 entity niosII_openMac_clock_2 is port ( -- inputs: signal master_clk : IN STD_LOGIC; signal master_endofpacket : IN STD_LOGIC; signal master_readdata : IN STD_LOGIC_VECTOR (15 DOWNTO 0); signal master_reset_n : IN STD_LOGIC; signal master_waitrequest : IN STD_LOGIC; signal slave_address : IN STD_LOGIC_VECTOR (3 DOWNTO 0); signal slave_byteenable : IN STD_LOGIC_VECTOR (1 DOWNTO 0); signal slave_clk : IN STD_LOGIC; signal slave_nativeaddress : IN STD_LOGIC_VECTOR (2 DOWNTO 0); signal slave_read : IN STD_LOGIC; signal slave_reset_n : IN STD_LOGIC; signal slave_write : IN STD_LOGIC; signal slave_writedata : IN STD_LOGIC_VECTOR (15 DOWNTO 0); -- outputs: signal master_address : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); signal master_byteenable : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); signal master_nativeaddress : OUT STD_LOGIC_VECTOR (2 DOWNTO 0); signal master_read : OUT STD_LOGIC; signal master_write : OUT STD_LOGIC; signal master_writedata : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); signal slave_endofpacket : OUT STD_LOGIC; signal slave_readdata : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); signal slave_waitrequest : OUT STD_LOGIC ); end entity niosII_openMac_clock_2; architecture europa of niosII_openMac_clock_2 is component altera_std_synchronizer is GENERIC ( depth : NATURAL ); PORT ( signal dout : OUT STD_LOGIC; signal clk : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; signal din : IN STD_LOGIC ); end component altera_std_synchronizer; component niosII_openMac_clock_2_edge_to_pulse is port ( -- inputs: signal clock : IN STD_LOGIC; signal data_in : IN STD_LOGIC; signal reset_n : IN STD_LOGIC; -- outputs: signal data_out : OUT STD_LOGIC ); end component niosII_openMac_clock_2_edge_to_pulse; component niosII_openMac_clock_2_slave_FSM is port ( -- inputs: signal master_read_done_token : IN STD_LOGIC; signal master_write_done_token : IN STD_LOGIC; signal slave_clk : IN STD_LOGIC; signal slave_read : IN STD_LOGIC; signal slave_reset_n : IN STD_LOGIC; signal slave_write : IN STD_LOGIC; -- outputs: signal slave_read_request : OUT STD_LOGIC; signal slave_waitrequest : OUT STD_LOGIC; signal slave_write_request : OUT STD_LOGIC ); end component niosII_openMac_clock_2_slave_FSM; component niosII_openMac_clock_2_master_FSM is port ( -- inputs: signal master_clk : IN STD_LOGIC; signal master_reset_n : IN STD_LOGIC; signal master_waitrequest : IN STD_LOGIC; signal slave_read_request_token : IN STD_LOGIC; signal slave_write_request_token : IN STD_LOGIC; -- outputs: signal master_read : OUT STD_LOGIC; signal master_read_done : OUT STD_LOGIC; signal master_write : OUT STD_LOGIC; signal master_write_done : OUT STD_LOGIC ); end component niosII_openMac_clock_2_master_FSM; component niosII_openMac_clock_2_bit_pipe is port ( -- inputs: signal clk1 : IN STD_LOGIC; signal clk2 : IN STD_LOGIC; signal data_in : IN STD_LOGIC; signal reset_clk1_n : IN STD_LOGIC; signal reset_clk2_n : IN STD_LOGIC; -- outputs: signal data_out : OUT STD_LOGIC ); end component niosII_openMac_clock_2_bit_pipe; signal internal_master_read : STD_LOGIC; signal internal_master_write : STD_LOGIC; signal internal_slave_endofpacket : STD_LOGIC; signal internal_slave_waitrequest : STD_LOGIC; signal master_read_done : STD_LOGIC; signal master_read_done_sync : STD_LOGIC; signal master_read_done_token : STD_LOGIC; signal master_write_done : STD_LOGIC; signal master_write_done_sync : STD_LOGIC; signal master_write_done_token : STD_LOGIC; signal slave_address_d1 : STD_LOGIC_VECTOR (3 DOWNTO 0); signal slave_byteenable_d1 : STD_LOGIC_VECTOR (1 DOWNTO 0); signal slave_nativeaddress_d1 : STD_LOGIC_VECTOR (2 DOWNTO 0); signal slave_read_request : STD_LOGIC; signal slave_read_request_sync : STD_LOGIC; signal slave_read_request_token : STD_LOGIC; signal slave_readdata_p1 : STD_LOGIC_VECTOR (15 DOWNTO 0); signal slave_write_request : STD_LOGIC; signal slave_write_request_sync : STD_LOGIC; signal slave_write_request_token : STD_LOGIC; signal slave_writedata_d1 : STD_LOGIC_VECTOR (15 DOWNTO 0); attribute ALTERA_ATTRIBUTE : string; attribute ALTERA_ATTRIBUTE of master_address : signal is "PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of master_byteenable : signal is "PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of master_nativeaddress : signal is "PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of master_writedata : signal is "PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of slave_address_d1 : signal is "{-to ""*""} CUT=ON ; PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of slave_byteenable_d1 : signal is "{-to ""*""} CUT=ON ; PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of slave_nativeaddress_d1 : signal is "{-to ""*""} CUT=ON ; PRESERVE_REGISTER=ON"; attribute ALTERA_ATTRIBUTE of slave_readdata : signal is "{-from ""*""} CUT=ON"; attribute ALTERA_ATTRIBUTE of slave_writedata_d1 : signal is "{-to ""*""} CUT=ON ; PRESERVE_REGISTER=ON"; begin --in, which is an e_avalon_slave --out, which is an e_avalon_master the_altera_std_synchronizer : altera_std_synchronizer generic map( depth => 2 ) port map( clk => slave_clk, din => master_read_done, dout => master_read_done_sync, reset_n => slave_reset_n ); the_altera_std_synchronizer1 : altera_std_synchronizer generic map( depth => 2 ) port map( clk => slave_clk, din => master_write_done, dout => master_write_done_sync, reset_n => slave_reset_n ); --read_done_edge_to_pulse, which is an e_instance read_done_edge_to_pulse : niosII_openMac_clock_2_edge_to_pulse port map( data_out => master_read_done_token, clock => slave_clk, data_in => master_read_done_sync, reset_n => slave_reset_n ); --write_done_edge_to_pulse, which is an e_instance write_done_edge_to_pulse : niosII_openMac_clock_2_edge_to_pulse port map( data_out => master_write_done_token, clock => slave_clk, data_in => master_write_done_sync, reset_n => slave_reset_n ); --slave_FSM, which is an e_instance slave_FSM : niosII_openMac_clock_2_slave_FSM port map( slave_read_request => slave_read_request, slave_waitrequest => internal_slave_waitrequest, slave_write_request => slave_write_request, master_read_done_token => master_read_done_token, master_write_done_token => master_write_done_token, slave_clk => slave_clk, slave_read => slave_read, slave_reset_n => slave_reset_n, slave_write => slave_write ); the_altera_std_synchronizer2 : altera_std_synchronizer generic map( depth => 2 ) port map( clk => master_clk, din => slave_read_request, dout => slave_read_request_sync, reset_n => master_reset_n ); the_altera_std_synchronizer3 : altera_std_synchronizer generic map( depth => 2 ) port map( clk => master_clk, din => slave_write_request, dout => slave_write_request_sync, reset_n => master_reset_n ); --read_request_edge_to_pulse, which is an e_instance read_request_edge_to_pulse : niosII_openMac_clock_2_edge_to_pulse port map( data_out => slave_read_request_token, clock => master_clk, data_in => slave_read_request_sync, reset_n => master_reset_n ); --write_request_edge_to_pulse, which is an e_instance write_request_edge_to_pulse : niosII_openMac_clock_2_edge_to_pulse port map( data_out => slave_write_request_token, clock => master_clk, data_in => slave_write_request_sync, reset_n => master_reset_n ); --master_FSM, which is an e_instance master_FSM : niosII_openMac_clock_2_master_FSM port map( master_read => internal_master_read, master_read_done => master_read_done, master_write => internal_master_write, master_write_done => master_write_done, master_clk => master_clk, master_reset_n => master_reset_n, master_waitrequest => master_waitrequest, slave_read_request_token => slave_read_request_token, slave_write_request_token => slave_write_request_token ); --endofpacket_bit_pipe, which is an e_instance endofpacket_bit_pipe : niosII_openMac_clock_2_bit_pipe port map( data_out => internal_slave_endofpacket, clk1 => slave_clk, clk2 => master_clk, data_in => master_endofpacket, reset_clk1_n => slave_reset_n, reset_clk2_n => master_reset_n ); process (master_clk, master_reset_n) begin if master_reset_n = '0' then slave_readdata_p1 <= std_logic_vector'("0000000000000000"); elsif master_clk'event and master_clk = '1' then if std_logic'((internal_master_read AND NOT master_waitrequest)) = '1' then slave_readdata_p1 <= master_readdata; end if; end if; end process; process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then slave_readdata <= std_logic_vector'("0000000000000000"); elsif slave_clk'event and slave_clk = '1' then slave_readdata <= slave_readdata_p1; end if; end process; process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then slave_writedata_d1 <= std_logic_vector'("0000000000000000"); elsif slave_clk'event and slave_clk = '1' then slave_writedata_d1 <= slave_writedata; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then master_writedata <= std_logic_vector'("0000000000000000"); elsif master_clk'event and master_clk = '1' then master_writedata <= slave_writedata_d1; end if; end process; process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then slave_address_d1 <= std_logic_vector'("0000"); elsif slave_clk'event and slave_clk = '1' then slave_address_d1 <= slave_address; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then master_address <= std_logic_vector'("0000"); elsif master_clk'event and master_clk = '1' then master_address <= slave_address_d1; end if; end process; process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then slave_nativeaddress_d1 <= std_logic_vector'("000"); elsif slave_clk'event and slave_clk = '1' then slave_nativeaddress_d1 <= slave_nativeaddress; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then master_nativeaddress <= std_logic_vector'("000"); elsif master_clk'event and master_clk = '1' then master_nativeaddress <= slave_nativeaddress_d1; end if; end process; process (slave_clk, slave_reset_n) begin if slave_reset_n = '0' then slave_byteenable_d1 <= std_logic_vector'("00"); elsif slave_clk'event and slave_clk = '1' then slave_byteenable_d1 <= slave_byteenable; end if; end process; process (master_clk, master_reset_n) begin if master_reset_n = '0' then master_byteenable <= std_logic_vector'("00"); elsif master_clk'event and master_clk = '1' then master_byteenable <= slave_byteenable_d1; end if; end process; --vhdl renameroo for output signals master_read <= internal_master_read; --vhdl renameroo for output signals master_write <= internal_master_write; --vhdl renameroo for output signals slave_endofpacket <= internal_slave_endofpacket; --vhdl renameroo for output signals slave_waitrequest <= internal_slave_waitrequest; end europa;
gpl-2.0
systec-dk/openPOWERLINK_systec
Examples/ipcore/xilinx/library/pcores/axi_powerlink_v0_30_a/hdl/vhdl/axi_powerlink.vhd
3
86416
------------------------------------------------------------------------------- --! @file axi_powerlink.vhd -- --! @brief -- ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- -- -- This is the toplevel file for using the POWERLINK IP-Core -- with Xilinx AXI. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.math_real.log2; use ieee.math_real.ceil; use work.global.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library axi_lite_ipif_v1_01_a; use axi_lite_ipif_v1_01_a.axi_lite_ipif; library axi_master_burst_v1_00_a; use axi_master_burst_v1_00_a.axi_master_burst; -- standard libraries declarations library UNISIM; use UNISIM.vcomponents.all; -- pragma synthesis_off library IEEE; use IEEE.vital_timing.all; -- pragma synthesis_on -- other libraries declarations library AXI_LITE_IPIF_V1_01_A; library AXI_MASTER_BURST_V1_00_A; entity axi_powerlink is generic( C_FAMILY : string := "spartan6"; -- general C_GEN_PDI : boolean := false; C_GEN_PAR_IF : boolean := false; C_GEN_SPI_IF : boolean := false; C_GEN_AXI_BUS_IF : boolean := false; C_GEN_SIMPLE_IO : boolean := false; -- openMAC C_MAC_PKT_SIZE : integer := 1024; C_MAC_PKT_SIZE_LOG2 : integer := 10; C_MAC_RX_BUFFERS : integer := 16; C_USE_RMII : boolean := false; C_TX_INT_PKT : boolean := false; C_RX_INT_PKT : boolean := false; C_USE_2ND_PHY : boolean := true; C_NUM_SMI : integer range 1 to 2 := 2; C_MAC_GEN_SECOND_TIMER : boolean := false; --pdi C_PDI_REV : integer := 0; C_PCP_SYS_ID : integer := 0; C_PDI_GEN_ASYNC_BUF_0 : boolean := true; C_PDI_ASYNC_BUF_0 : integer := 50; C_PDI_GEN_ASYNC_BUF_1 : boolean := true; C_PDI_ASYNC_BUF_1 : integer := 50; C_PDI_GEN_LED : boolean := false; C_PDI_GEN_TIME_SYNC : boolean := true; C_PDI_GEN_EVENT : boolean := true; --global pdi and mac C_NUM_RPDO : integer := 3; C_RPDO_0_BUF_SIZE : integer := 100; C_RPDO_1_BUF_SIZE : integer := 100; C_RPDO_2_BUF_SIZE : integer := 100; C_NUM_TPDO : integer := 1; C_TPDO_BUF_SIZE : integer := 100; -- pap C_PAP_DATA_WIDTH : integer := 16; --C_PAP_BIG_END : boolean := false; C_PAP_LOW_ACT : boolean := false; -- spi C_SPI_CPOL : boolean := false; C_SPI_CPHA : boolean := false; --C_SPI_BIG_END : boolean := false; -- simpleIO C_PIO_VAL_LENGTH : integer := 50; -- debug C_OBSERVER_ENABLE : boolean := false; -- clock stabiliser C_INSTANCE_ODDR2 : boolean := false; -- sync IRQ pulse width C_USE_PULSE_2nd_CMP_TIMER : boolean := true; C_PULSE_WIDTH_2nd_CMP_TIMER : integer := 9; -- PDI AP AXI Slave C_S_AXI_PDI_AP_BASEADDR : std_logic_vector := X"00000000"; C_S_AXI_PDI_AP_HIGHADDR : std_logic_vector := X"000FFFFF"; C_S_AXI_PDI_AP_DATA_WIDTH : integer := 32; C_S_AXI_PDI_AP_ADDR_WIDTH : integer := 32; C_S_AXI_PDI_AP_USE_WSTRB : integer := 1; C_S_AXI_PDI_AP_DPHASE_TIMEOUT : integer := 8; -- PDI AP AXI Slave C_S_AXI_SMP_PCP_BASEADDR : std_logic_vector := X"00000000"; C_S_AXI_SMP_PCP_HIGHADDR : std_logic_vector := X"000FFFFF"; C_S_AXI_SMP_PCP_DATA_WIDTH : integer := 32; C_S_AXI_SMP_PCP_ADDR_WIDTH : integer := 32; C_S_AXI_SMP_PCP_USE_WSTRB : integer := 1; C_S_AXI_SMP_PCP_DPHASE_TIMEOUT : integer := 8; -- PDI PCP AXI Slave C_S_AXI_PDI_PCP_BASEADDR : std_logic_vector := X"00000000"; C_S_AXI_PDI_PCP_HIGHADDR : std_logic_vector := X"000FFFFF"; C_S_AXI_PDI_PCP_DATA_WIDTH : integer := 32; C_S_AXI_PDI_PCP_ADDR_WIDTH : integer := 32; C_S_AXI_PDI_PCP_USE_WSTRB : integer := 1; C_S_AXI_PDI_PCP_DPHASE_TIMEOUT : integer := 8; -- openMAC DMA AXI Master C_M_AXI_MAC_DMA_ADDR_WIDTH : INTEGER := 32; C_M_AXI_MAC_DMA_DATA_WIDTH : INTEGER := 32; C_M_AXI_MAC_DMA_NATIVE_DWIDTH : INTEGER := 32; C_M_AXI_MAC_DMA_LENGTH_WIDTH : INTEGER := 12; C_M_AXI_MAC_DMA_MAX_BURST_LEN : INTEGER := 16; C_MAC_DMA_BURST_SIZE_RX : INTEGER := 8; --in bytes C_MAC_DMA_BURST_SIZE_TX : INTEGER := 8; --in bytes C_MAC_DMA_FIFO_SIZE_RX : INTEGER := 32; --in bytes C_MAC_DMA_FIFO_SIZE_TX : INTEGER := 32; --in bytes -- openMAC PKT AXI Slave C_S_AXI_MAC_PKT_BASEADDR : std_logic_vector := X"00000000"; C_S_AXI_MAC_PKT_HIGHADDR : std_logic_vector := X"000FFFFF"; C_S_AXI_MAC_PKT_DATA_WIDTH : integer := 32; C_S_AXI_MAC_PKT_ADDR_WIDTH : integer := 32; C_S_AXI_MAC_PKT_USE_WSTRB : integer := 1; C_S_AXI_MAC_PKT_DPHASE_TIMEOUT : integer := 8; -- openMAC REG AXI Slave --- MAC_REG C_S_AXI_MAC_REG_RNG0_BASEADDR : std_logic_vector := X"00000000"; C_S_AXI_MAC_REG_RNG0_HIGHADDR : std_logic_vector := X"0000FFFF"; --- MAC_CMP C_S_AXI_MAC_REG_RNG1_BASEADDR : std_logic_vector := X"00000000"; C_S_AXI_MAC_REG_RNG1_HIGHADDR : std_logic_vector := X"0000FFFF"; C_S_AXI_MAC_REG_DATA_WIDTH : integer := 32; C_S_AXI_MAC_REG_ADDR_WIDTH : integer := 32; C_S_AXI_MAC_REG_USE_WSTRB : integer := 1; C_S_AXI_MAC_REG_DPHASE_TIMEOUT : integer := 8; C_S_AXI_MAC_REG_ACLK_FREQ_HZ : integer := 20 --clock frequency in Hz ); port( M_AXI_MAC_DMA_aclk : in std_logic; M_AXI_MAC_DMA_aresetn : in std_logic; M_AXI_MAC_DMA_arready : in std_logic; M_AXI_MAC_DMA_awready : in std_logic; M_AXI_MAC_DMA_bvalid : in std_logic; M_AXI_MAC_DMA_rlast : in std_logic; M_AXI_MAC_DMA_rvalid : in std_logic; M_AXI_MAC_DMA_wready : in std_logic; S_AXI_MAC_PKT_ACLK : in std_logic; S_AXI_MAC_PKT_ARESETN : in std_logic; S_AXI_MAC_PKT_ARVALID : in std_logic; S_AXI_MAC_PKT_AWVALID : in std_logic; S_AXI_MAC_PKT_BREADY : in std_logic; S_AXI_MAC_PKT_RREADY : in std_logic; S_AXI_MAC_PKT_WVALID : in std_logic; S_AXI_MAC_REG_ACLK : in std_logic; S_AXI_MAC_REG_ARESETN : in std_logic; S_AXI_MAC_REG_ARVALID : in std_logic; S_AXI_MAC_REG_AWVALID : in std_logic; S_AXI_MAC_REG_BREADY : in std_logic; S_AXI_MAC_REG_RREADY : in std_logic; S_AXI_MAC_REG_WVALID : in std_logic; S_AXI_PDI_AP_ACLK : in std_logic; S_AXI_PDI_AP_ARESETN : in std_logic; S_AXI_PDI_AP_ARVALID : in std_logic; S_AXI_PDI_AP_AWVALID : in std_logic; S_AXI_PDI_AP_BREADY : in std_logic; S_AXI_PDI_AP_RREADY : in std_logic; S_AXI_PDI_AP_WVALID : in std_logic; S_AXI_PDI_PCP_ACLK : in std_logic; S_AXI_PDI_PCP_ARESETN : in std_logic; S_AXI_PDI_PCP_ARVALID : in std_logic; S_AXI_PDI_PCP_AWVALID : in std_logic; S_AXI_PDI_PCP_BREADY : in std_logic; S_AXI_PDI_PCP_RREADY : in std_logic; S_AXI_PDI_PCP_WVALID : in std_logic; S_AXI_SMP_PCP_ACLK : in std_logic; S_AXI_SMP_PCP_ARESETN : in std_logic; S_AXI_SMP_PCP_ARVALID : in std_logic; S_AXI_SMP_PCP_AWVALID : in std_logic; S_AXI_SMP_PCP_BREADY : in std_logic; S_AXI_SMP_PCP_RREADY : in std_logic; S_AXI_SMP_PCP_WVALID : in std_logic; clk100 : in std_logic; clk50 : in std_logic; pap_cs : in std_logic; pap_cs_n : in std_logic; pap_rd : in std_logic; pap_rd_n : in std_logic; pap_wr : in std_logic; pap_wr_n : in std_logic; phy0_RxDv : in std_logic; phy0_RxErr : in std_logic; phy0_SMIDat_I : in std_logic; phy0_link : in std_logic; phy1_RxDv : in std_logic; phy1_RxErr : in std_logic; phy1_SMIDat_I : in std_logic; phy1_link : in std_logic; phyMii0_RxClk : in std_logic; phyMii0_RxDv : in std_logic; phyMii0_RxEr : in std_logic; phyMii0_TxClk : in std_logic; phyMii1_RxClk : in std_logic; phyMii1_RxDv : in std_logic; phyMii1_RxEr : in std_logic; phyMii1_TxClk : in std_logic; phy_SMIDat_I : in std_logic; spi_clk : in std_logic; spi_mosi : in std_logic; spi_sel_n : in std_logic; M_AXI_MAC_DMA_bresp : in std_logic_vector(1 downto 0); M_AXI_MAC_DMA_rdata : in std_logic_vector(C_M_AXI_MAC_DMA_DATA_WIDTH-1 downto 0); M_AXI_MAC_DMA_rresp : in std_logic_vector(1 downto 0); S_AXI_MAC_PKT_ARADDR : in std_logic_vector(C_S_AXI_MAC_PKT_ADDR_WIDTH-1 downto 0); S_AXI_MAC_PKT_AWADDR : in std_logic_vector(C_S_AXI_MAC_PKT_ADDR_WIDTH-1 downto 0); S_AXI_MAC_PKT_WDATA : in std_logic_vector(C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0); S_AXI_MAC_PKT_WSTRB : in std_logic_vector((C_S_AXI_MAC_PKT_DATA_WIDTH/8)-1 downto 0); S_AXI_MAC_REG_ARADDR : in std_logic_vector(C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0); S_AXI_MAC_REG_AWADDR : in std_logic_vector(C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0); S_AXI_MAC_REG_WDATA : in std_logic_vector(C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0); S_AXI_MAC_REG_WSTRB : in std_logic_vector((C_S_AXI_MAC_REG_DATA_WIDTH/8)-1 downto 0); S_AXI_PDI_AP_ARADDR : in std_logic_vector(C_S_AXI_PDI_AP_ADDR_WIDTH-1 downto 0); S_AXI_PDI_AP_AWADDR : in std_logic_vector(C_S_AXI_PDI_AP_ADDR_WIDTH-1 downto 0); S_AXI_PDI_AP_WDATA : in std_logic_vector(C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0); S_AXI_PDI_AP_WSTRB : in std_logic_vector((C_S_AXI_PDI_AP_DATA_WIDTH/8)-1 downto 0); S_AXI_PDI_PCP_ARADDR : in std_logic_vector(C_S_AXI_PDI_PCP_ADDR_WIDTH-1 downto 0); S_AXI_PDI_PCP_AWADDR : in std_logic_vector(C_S_AXI_PDI_PCP_ADDR_WIDTH-1 downto 0); S_AXI_PDI_PCP_WDATA : in std_logic_vector(C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0); S_AXI_PDI_PCP_WSTRB : in std_logic_vector((C_S_AXI_PDI_PCP_DATA_WIDTH/8)-1 downto 0); S_AXI_SMP_PCP_ARADDR : in std_logic_vector(C_S_AXI_SMP_PCP_ADDR_WIDTH-1 downto 0); S_AXI_SMP_PCP_AWADDR : in std_logic_vector(C_S_AXI_SMP_PCP_ADDR_WIDTH-1 downto 0); S_AXI_SMP_PCP_WDATA : in std_logic_vector(C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0); S_AXI_SMP_PCP_WSTRB : in std_logic_vector((C_S_AXI_SMP_PCP_DATA_WIDTH/8)-1 downto 0); pap_addr : in std_logic_vector(15 downto 0); pap_be : in std_logic_vector(C_PAP_DATA_WIDTH/8-1 downto 0); pap_be_n : in std_logic_vector(C_PAP_DATA_WIDTH/8-1 downto 0); pap_data_I : in std_logic_vector(C_PAP_DATA_WIDTH-1 downto 0); pap_gpio_I : in std_logic_vector(1 downto 0); phy0_RxDat : in std_logic_vector(1 downto 0); phy1_RxDat : in std_logic_vector(1 downto 0); phyMii0_RxDat : in std_logic_vector(3 downto 0); phyMii1_RxDat : in std_logic_vector(3 downto 0); pio_pconfig : in std_logic_vector(3 downto 0); pio_portInLatch : in std_logic_vector(3 downto 0); pio_portio_I : in std_logic_vector(31 downto 0); M_AXI_MAC_DMA_arvalid : out std_logic; M_AXI_MAC_DMA_awvalid : out std_logic; M_AXI_MAC_DMA_bready : out std_logic; M_AXI_MAC_DMA_md_error : out std_logic; M_AXI_MAC_DMA_rready : out std_logic; M_AXI_MAC_DMA_wlast : out std_logic; M_AXI_MAC_DMA_wvalid : out std_logic; S_AXI_MAC_PKT_ARREADY : out std_logic; S_AXI_MAC_PKT_AWREADY : out std_logic; S_AXI_MAC_PKT_BVALID : out std_logic; S_AXI_MAC_PKT_RVALID : out std_logic; S_AXI_MAC_PKT_WREADY : out std_logic; S_AXI_MAC_REG_ARREADY : out std_logic; S_AXI_MAC_REG_AWREADY : out std_logic; S_AXI_MAC_REG_BVALID : out std_logic; S_AXI_MAC_REG_RVALID : out std_logic; S_AXI_MAC_REG_WREADY : out std_logic; S_AXI_PDI_AP_ARREADY : out std_logic; S_AXI_PDI_AP_AWREADY : out std_logic; S_AXI_PDI_AP_BVALID : out std_logic; S_AXI_PDI_AP_RVALID : out std_logic; S_AXI_PDI_AP_WREADY : out std_logic; S_AXI_PDI_PCP_ARREADY : out std_logic; S_AXI_PDI_PCP_AWREADY : out std_logic; S_AXI_PDI_PCP_BVALID : out std_logic; S_AXI_PDI_PCP_RVALID : out std_logic; S_AXI_PDI_PCP_WREADY : out std_logic; S_AXI_SMP_PCP_ARREADY : out std_logic; S_AXI_SMP_PCP_AWREADY : out std_logic; S_AXI_SMP_PCP_BVALID : out std_logic; S_AXI_SMP_PCP_RVALID : out std_logic; S_AXI_SMP_PCP_WREADY : out std_logic; ap_asyncIrq : out std_logic; ap_asyncIrq_n : out std_logic; ap_syncIrq : out std_logic; ap_syncIrq_n : out std_logic; led_error : out std_logic; led_status : out std_logic; mac_irq : out std_logic; pap_ack : out std_logic; pap_ack_n : out std_logic; pap_data_T : out std_logic; phy0_Rst_n : out std_logic; phy0_SMIClk : out std_logic; phy0_SMIDat_O : out std_logic; phy0_SMIDat_T : out std_logic; phy0_TxEn : out std_logic; phy0_clk : out std_logic; phy1_Rst_n : out std_logic; phy1_SMIClk : out std_logic; phy1_SMIDat_O : out std_logic; phy1_SMIDat_T : out std_logic; phy1_TxEn : out std_logic; phy1_clk : out std_logic; phyMii0_TxEn : out std_logic; phyMii0_TxEr : out std_logic; phyMii1_TxEn : out std_logic; phyMii1_TxEr : out std_logic; phy_Rst_n : out std_logic; phy_SMIClk : out std_logic; phy_SMIDat_O : out std_logic; phy_SMIDat_T : out std_logic; pio_operational : out std_logic; spi_miso : out std_logic; tcp_irq : out std_logic; M_AXI_MAC_DMA_araddr : out std_logic_vector(C_M_AXI_MAC_DMA_ADDR_WIDTH-1 downto 0); M_AXI_MAC_DMA_arburst : out std_logic_vector(1 downto 0); M_AXI_MAC_DMA_arcache : out std_logic_vector(3 downto 0); M_AXI_MAC_DMA_arlen : out std_logic_vector(7 downto 0); M_AXI_MAC_DMA_arprot : out std_logic_vector(2 downto 0); M_AXI_MAC_DMA_arsize : out std_logic_vector(2 downto 0); M_AXI_MAC_DMA_awaddr : out std_logic_vector(C_M_AXI_MAC_DMA_ADDR_WIDTH-1 downto 0); M_AXI_MAC_DMA_awburst : out std_logic_vector(1 downto 0); M_AXI_MAC_DMA_awcache : out std_logic_vector(3 downto 0); M_AXI_MAC_DMA_awlen : out std_logic_vector(7 downto 0); M_AXI_MAC_DMA_awprot : out std_logic_vector(2 downto 0); M_AXI_MAC_DMA_awsize : out std_logic_vector(2 downto 0); M_AXI_MAC_DMA_wdata : out std_logic_vector(C_M_AXI_MAC_DMA_DATA_WIDTH-1 downto 0); M_AXI_MAC_DMA_wstrb : out std_logic_vector((C_M_AXI_MAC_DMA_DATA_WIDTH/8)-1 downto 0); S_AXI_MAC_PKT_BRESP : out std_logic_vector(1 downto 0); S_AXI_MAC_PKT_RDATA : out std_logic_vector(C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0); S_AXI_MAC_PKT_RRESP : out std_logic_vector(1 downto 0); S_AXI_MAC_REG_BRESP : out std_logic_vector(1 downto 0); S_AXI_MAC_REG_RDATA : out std_logic_vector(C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0); S_AXI_MAC_REG_RRESP : out std_logic_vector(1 downto 0); S_AXI_PDI_AP_BRESP : out std_logic_vector(1 downto 0); S_AXI_PDI_AP_RDATA : out std_logic_vector(C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0); S_AXI_PDI_AP_RRESP : out std_logic_vector(1 downto 0); S_AXI_PDI_PCP_BRESP : out std_logic_vector(1 downto 0); S_AXI_PDI_PCP_RDATA : out std_logic_vector(C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0); S_AXI_PDI_PCP_RRESP : out std_logic_vector(1 downto 0); S_AXI_SMP_PCP_BRESP : out std_logic_vector(1 downto 0); S_AXI_SMP_PCP_RDATA : out std_logic_vector(C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0); S_AXI_SMP_PCP_RRESP : out std_logic_vector(1 downto 0); led_gpo : out std_logic_vector(7 downto 0); led_opt : out std_logic_vector(1 downto 0); led_phyAct : out std_logic_vector(1 downto 0); led_phyLink : out std_logic_vector(1 downto 0); pap_data_O : out std_logic_vector(C_PAP_DATA_WIDTH-1 downto 0); pap_gpio_O : out std_logic_vector(1 downto 0); pap_gpio_T : out std_logic_vector(1 downto 0); phy0_TxDat : out std_logic_vector(1 downto 0); phy1_TxDat : out std_logic_vector(1 downto 0); phyMii0_TxDat : out std_logic_vector(3 downto 0); phyMii1_TxDat : out std_logic_vector(3 downto 0); pio_portOutValid : out std_logic_vector(3 downto 0); pio_portio_O : out std_logic_vector(31 downto 0); pio_portio_T : out std_logic_vector(31 downto 0); test_port : out std_logic_vector(255 downto 0) := (others => '0') ); -- Entity declarations -- -- Click here to add additional declarations -- attribute SIGIS : string; -- Entity attributes -- attribute SIGIS of M_AXI_MAC_DMA_aclk : signal is "Clk"; attribute SIGIS of M_AXI_MAC_DMA_aresetn : signal is "Rst"; attribute SIGIS of S_AXI_MAC_PKT_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_MAC_PKT_ARESETN : signal is "Rst"; attribute SIGIS of S_AXI_MAC_REG_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_MAC_REG_ARESETN : signal is "Rst"; attribute SIGIS of S_AXI_PDI_AP_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_PDI_AP_ARESETN : signal is "Rst"; attribute SIGIS of S_AXI_PDI_PCP_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_PDI_PCP_ARESETN : signal is "Rst"; attribute SIGIS of S_AXI_SMP_PCP_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_SMP_PCP_ARESETN : signal is "Rst"; attribute SIGIS of clk100 : signal is "Clk"; attribute SIGIS of phy0_clk : signal is "Clk"; attribute SIGIS of phy1_clk : signal is "Clk"; end axi_powerlink; architecture struct of axi_powerlink is ---- Architecture declarations ----- function get_max( a, b : integer) return integer is begin if a < b then return b; else return a; end if; end get_max; ---- Component declarations ----- component clkXing generic( gCsNum : natural := 2; gDataWidth : natural := 32 ); port ( iArst : in std_logic; iFastClk : in std_logic; iFastCs : in std_logic_vector(gCsNum-1 downto 0); iFastRNW : in std_logic; iSlowClk : in std_logic; iSlowRdAck : in std_logic; iSlowReaddata : in std_logic_vector(gDataWidth-1 downto 0); iSlowWrAck : in std_logic; oFastRdAck : out std_logic; oFastReaddata : out std_logic_vector(gDataWidth-1 downto 0); oFastWrAck : out std_logic; oSlowCs : out std_logic_vector(gCsNum-1 downto 0); oSlowRNW : out std_logic ); end component; component ipif_master_handler generic( C_MAC_DMA_IPIF_AWIDTH : integer := 32; C_MAC_DMA_IPIF_NATIVE_DWIDTH : integer := 32; dma_highadr_g : integer := 31; gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; m_burstcount_width_g : integer := 4 ); port ( Bus2MAC_DMA_MstRd_d : in std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH-1 downto 0); Bus2MAC_DMA_MstRd_eof_n : in std_logic := '1'; Bus2MAC_DMA_MstRd_rem : in std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0); Bus2MAC_DMA_MstRd_sof_n : in std_logic := '1'; Bus2MAC_DMA_MstRd_src_dsc_n : in std_logic := '1'; Bus2MAC_DMA_MstRd_src_rdy_n : in std_logic := '1'; Bus2MAC_DMA_MstWr_dst_dsc_n : in std_logic := '1'; Bus2MAC_DMA_MstWr_dst_rdy_n : in std_logic := '1'; Bus2MAC_DMA_Mst_CmdAck : in std_logic := '0'; Bus2MAC_DMA_Mst_Cmd_Timeout : in std_logic := '0'; Bus2MAC_DMA_Mst_Cmplt : in std_logic := '0'; Bus2MAC_DMA_Mst_Error : in std_logic := '0'; Bus2MAC_DMA_Mst_Rearbitrate : in std_logic := '0'; MAC_DMA_CLK : in std_logic; MAC_DMA_Rst : in std_logic; m_address : in std_logic_vector(dma_highadr_g downto 0); m_burstcount : in std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : in std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : in std_logic_vector(3 downto 0); m_read : in std_logic := '0'; m_write : in std_logic := '0'; m_writedata : in std_logic_vector(31 downto 0); MAC_DMA2Bus_MstRd_Req : out std_logic := '0'; MAC_DMA2Bus_MstRd_dst_dsc_n : out std_logic := '1'; MAC_DMA2Bus_MstRd_dst_rdy_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_Req : out std_logic := '0'; MAC_DMA2Bus_MstWr_d : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH-1 downto 0); MAC_DMA2Bus_MstWr_eof_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_rem : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0); MAC_DMA2Bus_MstWr_sof_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_src_dsc_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_src_rdy_n : out std_logic := '1'; MAC_DMA2Bus_Mst_Addr : out std_logic_vector(C_MAC_DMA_IPIF_AWIDTH-1 downto 0); MAC_DMA2Bus_Mst_BE : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0); MAC_DMA2Bus_Mst_Length : out std_logic_vector(11 downto 0); MAC_DMA2Bus_Mst_Lock : out std_logic := '0'; MAC_DMA2Bus_Mst_Reset : out std_logic := '0'; MAC_DMA2Bus_Mst_Type : out std_logic := '0'; m_clk : out std_logic; m_readdata : out std_logic_vector(31 downto 0); m_readdatavalid : out std_logic := '0'; m_waitrequest : out std_logic := '1' ); end component; component openMAC_16to32conv generic( bus_address_width : integer := 10; gEndian : string := "little" ); port ( bus_address : in std_logic_vector(bus_address_width-1 downto 0); bus_byteenable : in std_logic_vector(3 downto 0); bus_read : in std_logic; bus_select : in std_logic; bus_write : in std_logic; bus_writedata : in std_logic_vector(31 downto 0); clk : in std_logic; rst : in std_logic; s_readdata : in std_logic_vector(15 downto 0); s_waitrequest : in std_logic; bus_ack_rd : out std_logic; bus_ack_wr : out std_logic; bus_readdata : out std_logic_vector(31 downto 0); s_address : out std_logic_vector(bus_address_width-1 downto 0); s_byteenable : out std_logic_vector(1 downto 0); s_chipselect : out std_logic; s_read : out std_logic; s_write : out std_logic; s_writedata : out std_logic_vector(15 downto 0) ); end component; component powerlink generic( Simulate : integer := 0; endian_g : string := "little"; gNumSmi : integer range 1 to 2 := 2; genABuf1_g : integer := 1; genABuf2_g : integer := 1; genEvent_g : integer := 0; genInternalAp_g : integer := 1; genIoBuf_g : integer := 1; genLedGadget_g : integer := 0; genOnePdiClkDomain_g : integer := 0; genPdi_g : integer := 1; genSimpleIO_g : integer := 0; genSmiIO : integer := 1; genSpiAp_g : integer := 0; genTimeSync_g : integer := 0; gen_dma_observer_g : integer := 1; iAsyBuf1Size_g : integer := 100; iAsyBuf2Size_g : integer := 100; iBufSizeLOG2_g : integer := 10; iBufSize_g : integer := 1024; iPdiRev_g : integer := 21930; iRpdo0BufSize_g : integer := 100; iRpdo1BufSize_g : integer := 100; iRpdo2BufSize_g : integer := 100; iRpdos_g : integer := 3; iTpdoBufSize_g : integer := 100; iTpdos_g : integer := 1; m_burstcount_const_g : integer := 1; m_burstcount_width_g : integer := 4; m_data_width_g : integer := 16; m_rx_burst_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_tx_burst_size_g : integer := 16; m_tx_fifo_size_g : integer := 16; papBigEnd_g : integer := 0; papDataWidth_g : integer := 8; papLowAct_g : integer := 0; pcpSysId : integer := 1; pioValLen_g : integer := 50; spiBigEnd_g : integer := 0; spiCPHA_g : integer := 0; spiCPOL_g : integer := 0; use2ndCmpTimer_g : integer := 1; usePulse2ndCmpTimer_g : integer := 1; pulseWidth2ndCmpTimer_g : integer := 9; use2ndPhy_g : integer := 1; useIntPacketBuf_g : integer := 1; useRmii_g : integer := 1; useRxIntPacketBuf_g : integer := 1 ); port ( ap_address : in std_logic_vector(12 downto 0); ap_byteenable : in std_logic_vector(3 downto 0); ap_chipselect : in std_logic; ap_read : in std_logic; ap_write : in std_logic; ap_writedata : in std_logic_vector(31 downto 0); clk50 : in std_logic; clkAp : in std_logic; clkEth : in std_logic; clkPcp : in std_logic; m_clk : in std_logic; m_readdata : in std_logic_vector(m_data_width_g-1 downto 0) := (others => '0'); m_readdatavalid : in std_logic := '0'; m_waitrequest : in std_logic; mac_address : in std_logic_vector(11 downto 0); mac_byteenable : in std_logic_vector(1 downto 0); mac_chipselect : in std_logic; mac_read : in std_logic; mac_write : in std_logic; mac_writedata : in std_logic_vector(15 downto 0); mbf_address : in std_logic_vector(ibufsizelog2_g-3 downto 0); mbf_byteenable : in std_logic_vector(3 downto 0); mbf_chipselect : in std_logic; mbf_read : in std_logic; mbf_write : in std_logic; mbf_writedata : in std_logic_vector(31 downto 0); pap_addr : in std_logic_vector(15 downto 0); pap_be : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_be_n : in std_logic_vector(papDataWidth_g/8-1 downto 0); pap_cs : in std_logic; pap_cs_n : in std_logic; pap_data_I : in std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_gpio_I : in std_logic_vector(1 downto 0) := (others => '0'); pap_rd : in std_logic; pap_rd_n : in std_logic; pap_wr : in std_logic; pap_wr_n : in std_logic; pcp_address : in std_logic_vector(12 downto 0); pcp_byteenable : in std_logic_vector(3 downto 0); pcp_chipselect : in std_logic; pcp_read : in std_logic; pcp_write : in std_logic; pcp_writedata : in std_logic_vector(31 downto 0); phy0_RxDat : in std_logic_vector(1 downto 0); phy0_RxDv : in std_logic; phy0_RxErr : in std_logic; phy0_SMIDat_I : in std_logic := '1'; phy0_link : in std_logic := '0'; phy1_RxDat : in std_logic_vector(1 downto 0) := (others => '0'); phy1_RxDv : in std_logic; phy1_RxErr : in std_logic; phy1_SMIDat_I : in std_logic := '1'; phy1_link : in std_logic := '0'; phyMii0_RxClk : in std_logic; phyMii0_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii0_RxDv : in std_logic; phyMii0_RxEr : in std_logic; phyMii0_TxClk : in std_logic; phyMii1_RxClk : in std_logic; phyMii1_RxDat : in std_logic_vector(3 downto 0) := (others => '0'); phyMii1_RxDv : in std_logic; phyMii1_RxEr : in std_logic; phyMii1_TxClk : in std_logic; phy_SMIDat_I : in std_logic := '1'; pio_pconfig : in std_logic_vector(3 downto 0); pio_portInLatch : in std_logic_vector(3 downto 0); pio_portio_I : in std_logic_vector(31 downto 0) := (others => '0'); pkt_clk : in std_logic; rst : in std_logic; rstAp : in std_logic; rstPcp : in std_logic; smp_address : in std_logic; smp_byteenable : in std_logic_vector(3 downto 0); smp_read : in std_logic; smp_write : in std_logic; smp_writedata : in std_logic_vector(31 downto 0); spi_clk : in std_logic; spi_mosi : in std_logic; spi_sel_n : in std_logic; tcp_address : in std_logic_vector(1 downto 0); tcp_byteenable : in std_logic_vector(3 downto 0); tcp_chipselect : in std_logic; tcp_read : in std_logic; tcp_write : in std_logic; tcp_writedata : in std_logic_vector(31 downto 0); ap_asyncIrq : out std_logic := '0'; ap_asyncIrq_n : out std_logic := '1'; ap_irq : out std_logic := '0'; ap_irq_n : out std_logic := '1'; ap_readdata : out std_logic_vector(31 downto 0) := (others => '0'); ap_syncIrq : out std_logic := '0'; ap_syncIrq_n : out std_logic := '1'; ap_waitrequest : out std_logic; led_error : out std_logic := '0'; led_gpo : out std_logic_vector(7 downto 0) := (others => '0'); led_opt : out std_logic_vector(1 downto 0) := (others => '0'); led_phyAct : out std_logic_vector(1 downto 0) := (others => '0'); led_phyLink : out std_logic_vector(1 downto 0) := (others => '0'); led_status : out std_logic := '0'; m_address : out std_logic_vector(31 downto 0) := (others => '0'); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0) := (others => '0'); m_read : out std_logic := '0'; m_write : out std_logic := '0'; m_writedata : out std_logic_vector(m_data_width_g-1 downto 0) := (others => '0'); mac_irq : out std_logic := '0'; mac_readdata : out std_logic_vector(15 downto 0) := (others => '0'); mac_waitrequest : out std_logic; mbf_readdata : out std_logic_vector(31 downto 0) := (others => '0'); mbf_waitrequest : out std_logic; pap_ack : out std_logic := '0'; pap_ack_n : out std_logic := '1'; pap_data_O : out std_logic_vector(papDataWidth_g-1 downto 0); pap_data_T : out std_logic; pap_gpio_O : out std_logic_vector(1 downto 0); pap_gpio_T : out std_logic_vector(1 downto 0); pcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); pcp_waitrequest : out std_logic; phy0_Rst_n : out std_logic := '1'; phy0_SMIClk : out std_logic := '0'; phy0_SMIDat_O : out std_logic; phy0_SMIDat_T : out std_logic; phy0_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy0_TxEn : out std_logic := '0'; phy1_Rst_n : out std_logic := '1'; phy1_SMIClk : out std_logic := '0'; phy1_SMIDat_O : out std_logic; phy1_SMIDat_T : out std_logic; phy1_TxDat : out std_logic_vector(1 downto 0) := (others => '0'); phy1_TxEn : out std_logic := '0'; phyMii0_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii0_TxEn : out std_logic := '0'; phyMii0_TxEr : out std_logic := '0'; phyMii1_TxDat : out std_logic_vector(3 downto 0) := (others => '0'); phyMii1_TxEn : out std_logic := '0'; phyMii1_TxEr : out std_logic := '0'; phy_Rst_n : out std_logic := '1'; phy_SMIClk : out std_logic := '0'; phy_SMIDat_O : out std_logic; phy_SMIDat_T : out std_logic; pio_operational : out std_logic := '0'; pio_portOutValid : out std_logic_vector(3 downto 0) := (others => '0'); pio_portio_O : out std_logic_vector(31 downto 0); pio_portio_T : out std_logic_vector(31 downto 0); smp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); smp_waitrequest : out std_logic; spi_miso : out std_logic := '0'; tcp_irq : out std_logic := '0'; tcp_readdata : out std_logic_vector(31 downto 0) := (others => '0'); tcp_waitrequest : out std_logic; pap_data : inout std_logic_vector(papDataWidth_g-1 downto 0) := (others => '0'); pap_gpio : inout std_logic_vector(1 downto 0) := (others => '0'); phy0_SMIDat : inout std_logic := '1'; phy1_SMIDat : inout std_logic := '1'; phy_SMIDat : inout std_logic := '1'; pio_portio : inout std_logic_vector(31 downto 0) := (others => '0') ); end component; component axi_lite_ipif generic( C_ARD_ADDR_RANGE_ARRAY : slv64_array_type := (X"0000_0000_7000_0000",X"0000_0000_7000_00FF",X"0000_0000_7000_0100",X"0000_0000_7000_01FF"); C_ARD_NUM_CE_ARRAY : integer_array_type := (4,12); C_DPHASE_TIMEOUT : integer range 0 to 512 := 8; C_FAMILY : string := "virtex6"; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32; C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0) := X"000001FF"; C_USE_WSTRB : integer := 0 ); port ( IP2Bus_Data : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); IP2Bus_Error : in std_logic; IP2Bus_RdAck : in std_logic; IP2Bus_WrAck : in std_logic; S_AXI_ACLK : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARESETN : in std_logic; S_AXI_ARVALID : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; Bus2IP_Addr : out std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); Bus2IP_BE : out std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); Bus2IP_CS : out std_logic_vector((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0); Bus2IP_Clk : out std_logic; Bus2IP_Data : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); Bus2IP_RNW : out std_logic; Bus2IP_RdCE : out std_logic_vector(calc_num_ce(C_ARD_NUM_CE_ARRAY)-1 downto 0); Bus2IP_Resetn : out std_logic; Bus2IP_WrCE : out std_logic_vector(calc_num_ce(C_ARD_NUM_CE_ARRAY)-1 downto 0); S_AXI_ARREADY : out std_logic; S_AXI_AWREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic ); end component; component axi_master_burst generic( C_ADDR_PIPE_DEPTH : integer range 1 to 14 := 1; C_FAMILY : string := "virtex6"; C_LENGTH_WIDTH : integer range 12 to 20 := 12; C_MAX_BURST_LEN : integer range 16 to 256 := 16; C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32; C_M_AXI_DATA_WIDTH : integer range 32 to 256 := 32; C_NATIVE_DATA_WIDTH : integer range 32 to 128 := 32 ); port ( ip2bus_mst_addr : in std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); ip2bus_mst_be : in std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0); ip2bus_mst_length : in std_logic_vector(C_LENGTH_WIDTH-1 downto 0); ip2bus_mst_lock : in std_logic; ip2bus_mst_reset : in std_logic; ip2bus_mst_type : in std_logic; ip2bus_mstrd_dst_dsc_n : in std_logic; ip2bus_mstrd_dst_rdy_n : in std_logic; ip2bus_mstrd_req : in std_logic; ip2bus_mstwr_d : in std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0); ip2bus_mstwr_eof_n : in std_logic; ip2bus_mstwr_rem : in std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0); ip2bus_mstwr_req : in std_logic; ip2bus_mstwr_sof_n : in std_logic; ip2bus_mstwr_src_dsc_n : in std_logic; ip2bus_mstwr_src_rdy_n : in std_logic; m_axi_aclk : in std_logic; m_axi_aresetn : in std_logic; m_axi_arready : in std_logic; m_axi_awready : in std_logic; m_axi_bresp : in std_logic_vector(1 downto 0); m_axi_bvalid : in std_logic; m_axi_rdata : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); m_axi_rlast : in std_logic; m_axi_rresp : in std_logic_vector(1 downto 0); m_axi_rvalid : in std_logic; m_axi_wready : in std_logic; bus2ip_mst_cmd_timeout : out std_logic; bus2ip_mst_cmdack : out std_logic; bus2ip_mst_cmplt : out std_logic; bus2ip_mst_error : out std_logic; bus2ip_mst_rearbitrate : out std_logic; bus2ip_mstrd_d : out std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0); bus2ip_mstrd_eof_n : out std_logic; bus2ip_mstrd_rem : out std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0); bus2ip_mstrd_sof_n : out std_logic; bus2ip_mstrd_src_dsc_n : out std_logic; bus2ip_mstrd_src_rdy_n : out std_logic; bus2ip_mstwr_dst_dsc_n : out std_logic; bus2ip_mstwr_dst_rdy_n : out std_logic; m_axi_araddr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); m_axi_arburst : out std_logic_vector(1 downto 0); m_axi_arcache : out std_logic_vector(3 downto 0); m_axi_arlen : out std_logic_vector(7 downto 0); m_axi_arprot : out std_logic_vector(2 downto 0); m_axi_arsize : out std_logic_vector(2 downto 0); m_axi_arvalid : out std_logic; m_axi_awaddr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0); m_axi_awburst : out std_logic_vector(1 downto 0); m_axi_awcache : out std_logic_vector(3 downto 0); m_axi_awlen : out std_logic_vector(7 downto 0); m_axi_awprot : out std_logic_vector(2 downto 0); m_axi_awsize : out std_logic_vector(2 downto 0); m_axi_awvalid : out std_logic; m_axi_bready : out std_logic; m_axi_rready : out std_logic; m_axi_wdata : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0); m_axi_wlast : out std_logic; m_axi_wstrb : out std_logic_vector((C_M_AXI_DATA_WIDTH/8)-1 downto 0); m_axi_wvalid : out std_logic; md_error : out std_logic ); end component; ---- Architecture declarations ----- constant C_ADDR_PAD_ZERO : std_logic_vector(31 downto 0) := (others => '0'); -- openMAC REG PLB Slave constant C_MAC_REG_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_MAC_REG_RNG0_BASEADDR; constant C_MAC_REG_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_MAC_REG_RNG0_HIGHADDR; constant C_MAC_REG_MINSIZE : std_logic_vector(31 downto 0) := conv_std_logic_vector(get_max(conv_integer(C_S_AXI_MAC_REG_RNG0_HIGHADDR), conv_integer(C_S_AXI_MAC_REG_RNG1_HIGHADDR)), 32); -- openMAC CMP PLB Slave constant C_MAC_CMP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_MAC_REG_RNG1_BASEADDR; constant C_MAC_CMP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_MAC_REG_RNG1_HIGHADDR; -- openMAC PKT PLB Slave constant C_MAC_PKT_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_MAC_PKT_BASEADDR; constant C_MAC_PKT_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_MAC_PKT_HIGHADDR; constant C_MAC_PKT_MINSIZE : std_logic_vector(31 downto 0) := C_S_AXI_MAC_PKT_HIGHADDR; -- SimpleIO Slave constant C_SMP_PCP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_SMP_PCP_BASEADDR; constant C_SMP_PCP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_SMP_PCP_HIGHADDR; constant C_SMP_PCP_MINSIZE : std_logic_vector(31 downto 0) := C_S_AXI_SMP_PCP_HIGHADDR; -- PDI PCP Slave constant C_PDI_PCP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_PDI_PCP_BASEADDR; constant C_PDI_PCP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_PDI_PCP_HIGHADDR; constant C_PDI_PCP_MINSIZE : std_logic_vector(31 downto 0) := C_S_AXI_PDI_PCP_HIGHADDR; -- AP PCP Slave constant C_PDI_AP_BASE : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_PDI_AP_BASEADDR; constant C_PDI_AP_HIGH : std_logic_vector(63 downto 0) := C_ADDR_PAD_ZERO & C_S_AXI_PDI_AP_HIGHADDR; constant C_PDI_AP_MINSIZE : std_logic_vector(31 downto 0) := C_S_AXI_PDI_AP_HIGHADDR; -- POWERLINK IP-core constant C_MAC_PKT_EN : boolean := C_TX_INT_PKT or C_RX_INT_PKT; constant C_MAC_PKT_RX_EN : boolean := C_RX_INT_PKT; constant C_DMA_EN : boolean := not C_TX_INT_PKT or not C_RX_INT_PKT; constant C_PKT_BUF_EN : boolean := C_MAC_PKT_EN; constant C_M_BURSTCOUNT_WIDTH : integer := integer(ceil(log2(real(get_max(C_MAC_DMA_BURST_SIZE_RX,C_MAC_DMA_BURST_SIZE_TX)/4)))) + 1; --in dwords constant C_M_FIFO_SIZE_RX : integer := C_MAC_DMA_FIFO_SIZE_RX/4; --in dwords constant C_M_FIFO_SIZE_TX : integer := C_MAC_DMA_FIFO_SIZE_TX/4; --in dwords ---- Constants ----- constant VCC_CONSTANT : std_logic := '1'; constant GND_CONSTANT : std_logic := '0'; ---- Signal declarations used on the diagram ---- signal ap_chipselect : std_logic; signal ap_read : std_logic; signal ap_waitrequest : std_logic; signal ap_write : std_logic; signal bus2MAC_DMA_mstrd_eof_n : std_logic; signal bus2MAC_DMA_mstrd_sof_n : std_logic; signal bus2MAC_DMA_mstrd_src_dsc_n : std_logic; signal bus2MAC_DMA_mstrd_src_rdy_n : std_logic; signal bus2MAC_DMA_mstwr_dst_dsc_n : std_logic; signal bus2MAC_DMA_mstwr_dst_rdy_n : std_logic; signal bus2MAC_DMA_mst_cmdack : std_logic; signal bus2MAC_DMA_mst_cmd_timeout : std_logic; signal bus2MAC_DMA_mst_cmplt : std_logic; signal bus2MAC_DMA_mst_error : std_logic; signal bus2MAC_DMA_mst_rearbitrate : std_logic; signal Bus2MAC_PKT_Clk : std_logic; signal Bus2MAC_PKT_Reset : std_logic := '0'; signal Bus2MAC_PKT_Resetn : std_logic; signal Bus2MAC_PKT_RNW : std_logic; signal Bus2MAC_REG_Clk : std_logic; signal Bus2MAC_REG_Reset : std_logic := '0'; signal Bus2MAC_REG_Resetn : std_logic; signal Bus2MAC_REG_RNW : std_logic; signal Bus2MAC_REG_RNW_fast : std_logic; signal Bus2MAC_REG_RNW_n : std_logic; signal Bus2PDI_AP_Clk : std_logic; signal Bus2PDI_AP_Reset : std_logic := '0'; signal Bus2PDI_AP_Resetn : std_logic; signal Bus2PDI_AP_RNW : std_logic; signal Bus2PDI_PCP_Clk : std_logic; signal Bus2PDI_PCP_Reset : std_logic := '0'; signal Bus2PDI_PCP_Resetn : std_logic; signal Bus2PDI_PCP_RNW : std_logic; signal Bus2SMP_PCP_Clk : std_logic; signal Bus2SMP_PCP_Reset : std_logic := '0'; signal Bus2SMP_PCP_Resetn : std_logic; signal Bus2SMP_PCP_RNW : std_logic; signal clkAp : std_logic; signal clkPcp : std_logic; signal GND : std_logic; signal IP2Bus_Error_s : std_logic; signal IP2Bus_RdAck_fast : std_logic; signal IP2Bus_RdAck_s : std_logic; signal IP2Bus_WrAck_fast : std_logic; signal IP2Bus_WrAck_s : std_logic; signal mac_chipselect : std_logic; signal MAC_CMP2Bus_Error : std_logic; signal MAC_CMP2Bus_RdAck : std_logic; signal MAC_CMP2Bus_WrAck : std_logic; signal MAC_DMA2bus_mstrd_dst_dsc_n : std_logic; signal MAC_DMA2bus_mstrd_dst_rdy_n : std_logic; signal MAC_DMA2bus_mstrd_req : std_logic; signal MAC_DMA2bus_mstwr_eof_n : std_logic; signal MAC_DMA2bus_mstwr_req : std_logic; signal MAC_DMA2bus_mstwr_sof_n : std_logic; signal MAC_DMA2bus_mstwr_src_dsc_n : std_logic; signal MAC_DMA2bus_mstwr_src_rdy_n : std_logic; signal MAC_DMA2bus_mst_lock : std_logic; signal MAC_DMA2bus_mst_reset : std_logic; signal MAC_DMA2bus_mst_type : std_logic; signal MAC_DMA_areset : std_logic; signal mac_irq_s : std_logic; signal MAC_PKT2Bus_Error : std_logic; signal MAC_PKT2Bus_RdAck : std_logic; signal MAC_PKT2Bus_WrAck : std_logic; signal mac_read : std_logic; signal MAC_REG2Bus_Error : std_logic; signal MAC_REG2Bus_RdAck : std_logic; signal MAC_REG2Bus_WrAck : std_logic; signal mac_waitrequest : std_logic; signal mac_write : std_logic; signal mbf_chipselect : std_logic; signal mbf_read : std_logic; signal mbf_waitrequest : std_logic; signal mbf_write : std_logic; signal m_clk : std_logic; signal m_read : std_logic; signal m_readdatavalid : std_logic; signal m_waitrequest : std_logic; signal m_write : std_logic; signal NET38418 : std_ulogic; signal NET38470 : std_ulogic; signal pcp_chipselect : std_logic; signal pcp_read : std_logic; signal pcp_waitrequest : std_logic; signal pcp_write : std_logic; signal PDI_AP2Bus_Error : std_logic; signal PDI_AP2Bus_RdAck : std_logic; signal PDI_AP2Bus_WrAck : std_logic; signal PDI_PCP2Bus_Error : std_logic; signal PDI_PCP2Bus_RdAck : std_logic; signal PDI_PCP2Bus_WrAck : std_logic; signal pkt_clk : std_logic; signal rst : std_logic := '0'; signal rstAp : std_logic := '0'; signal rstPcp : std_logic := '0'; signal smp_address : std_logic; signal smp_chipselect : std_logic; signal SMP_PCP2Bus_Error : std_logic; signal SMP_PCP2Bus_RdAck : std_logic; signal SMP_PCP2Bus_WrAck : std_logic; signal smp_read : std_logic; signal smp_waitrequest : std_logic; signal smp_write : std_logic; signal tcp_chipselect : std_logic; signal tcp_irq_s : std_logic; signal tcp_read : std_logic; signal tcp_waitrequest : std_logic; signal tcp_write : std_logic; signal VCC : std_logic; signal ap_address : std_logic_vector (12 downto 0); signal ap_byteenable : std_logic_vector (3 downto 0); signal ap_readdata : std_logic_vector (31 downto 0); signal ap_writedata : std_logic_vector (31 downto 0); signal bus2MAC_DMA_mstrd_d : std_logic_vector (C_M_AXI_MAC_DMA_NATIVE_DWIDTH-1 downto 0); signal bus2MAC_DMA_mstrd_rem : std_logic_vector ((C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0); signal Bus2MAC_PKT_Addr : std_logic_vector (C_S_AXI_MAC_PKT_ADDR_WIDTH-1 downto 0); signal Bus2MAC_PKT_BE : std_logic_vector ((C_S_AXI_MAC_PKT_DATA_WIDTH/8)-1 downto 0); signal Bus2MAC_PKT_CS : std_logic_vector (0 downto 0); signal Bus2MAC_PKT_Data : std_logic_vector (C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0); signal Bus2MAC_REG_Addr : std_logic_vector (C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0); signal Bus2MAC_REG_BE : std_logic_vector ((C_S_AXI_MAC_REG_DATA_WIDTH/8)-1 downto 0); signal Bus2MAC_REG_CS : std_logic_vector (1 downto 0); signal Bus2MAC_REG_CS_fast : std_logic_vector (1 downto 0); signal Bus2MAC_REG_Data : std_logic_vector (C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0); signal Bus2PDI_AP_Addr : std_logic_vector (C_S_AXI_PDI_AP_ADDR_WIDTH-1 downto 0); signal Bus2PDI_AP_BE : std_logic_vector ((C_S_AXI_PDI_AP_DATA_WIDTH/8)-1 downto 0); signal Bus2PDI_AP_CS : std_logic_vector (0 downto 0); signal Bus2PDI_AP_Data : std_logic_vector (C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0); signal Bus2PDI_PCP_Addr : std_logic_vector (C_S_AXI_PDI_PCP_ADDR_WIDTH-1 downto 0); signal Bus2PDI_PCP_BE : std_logic_vector ((C_S_AXI_PDI_PCP_DATA_WIDTH/8)-1 downto 0); signal Bus2PDI_PCP_CS : std_logic_vector (0 downto 0); signal Bus2PDI_PCP_Data : std_logic_vector (C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0); signal Bus2SMP_PCP_Addr : std_logic_vector (C_S_AXI_SMP_PCP_ADDR_WIDTH-1 downto 0); signal Bus2SMP_PCP_BE : std_logic_vector ((C_S_AXI_SMP_PCP_DATA_WIDTH/8)-1 downto 0); signal Bus2SMP_PCP_CS : std_logic_vector (0 downto 0); signal Bus2SMP_PCP_Data : std_logic_vector (C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0); signal IP2Bus_Data_fast : std_logic_vector (C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0); signal IP2Bus_Data_s : std_logic_vector (C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0); signal mac_address : std_logic_vector (11 downto 0); signal mac_address_full : std_logic_vector (C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0); signal mac_byteenable : std_logic_vector (1 downto 0); signal MAC_CMP2Bus_Data : std_logic_vector (C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0); signal MAC_DMA2Bus_MstWr_d : std_logic_vector (C_M_AXI_MAC_DMA_NATIVE_DWIDTH-1 downto 0); signal MAC_DMA2bus_mstwr_rem : std_logic_vector ((C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0); signal MAC_DMA2bus_mst_addr : std_logic_vector (C_M_AXI_MAC_DMA_ADDR_WIDTH-1 downto 0); signal MAC_DMA2bus_mst_be : std_logic_vector ((C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0); signal MAC_DMA2bus_mst_length : std_logic_vector (C_M_AXI_MAC_DMA_LENGTH_WIDTH-1 downto 0); signal MAC_PKT2Bus_Data : std_logic_vector (C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0); signal mac_readdata : std_logic_vector (15 downto 0); signal MAC_REG2Bus_Data : std_logic_vector (C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0); signal mac_writedata : std_logic_vector (15 downto 0); signal mbf_address : std_logic_vector (C_MAC_PKT_SIZE_LOG2-3 downto 0); signal mbf_byteenable : std_logic_vector (3 downto 0); signal mbf_readdata : std_logic_vector (31 downto 0); signal mbf_writedata : std_logic_vector (31 downto 0); signal m_address : std_logic_vector (31 downto 0); signal m_burstcount : std_logic_vector (C_M_BURSTCOUNT_WIDTH-1 downto 0); signal m_burstcounter : std_logic_vector (C_M_BURSTCOUNT_WIDTH-1 downto 0); signal m_byteenable : std_logic_vector (3 downto 0); signal m_readdata : std_logic_vector (31 downto 0); signal m_writedata : std_logic_vector (31 downto 0); signal pcp_address : std_logic_vector (12 downto 0); signal pcp_byteenable : std_logic_vector (3 downto 0); signal pcp_readdata : std_logic_vector (31 downto 0); signal pcp_writedata : std_logic_vector (31 downto 0); signal PDI_AP2Bus_Data : std_logic_vector (C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0); signal PDI_PCP2Bus_Data : std_logic_vector (C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0); signal smp_byteenable : std_logic_vector (3 downto 0); signal SMP_PCP2Bus_Data : std_logic_vector (C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0); signal smp_readdata : std_logic_vector (31 downto 0); signal smp_writedata : std_logic_vector (31 downto 0); signal tcp_address : std_logic_vector (1 downto 0); signal tcp_byteenable : std_logic_vector (3 downto 0); signal tcp_readdata : std_logic_vector (31 downto 0); signal tcp_writedata : std_logic_vector (31 downto 0); begin ---- User Signal Assignments ---- -- connect mac reg with mac cmp or reg output signals with Bus2MAC_REG_CS select IP2Bus_Data_s <= MAC_CMP2Bus_Data when "01", MAC_REG2Bus_Data when others; --"10" and others are decoded to MAC_REG IP2Bus_WrAck_s <= MAC_REG2Bus_WrAck or MAC_CMP2Bus_WrAck; IP2Bus_RdAck_s <= MAC_REG2Bus_RdAck or MAC_CMP2Bus_RdAck; IP2Bus_Error_s <= MAC_REG2Bus_Error or MAC_CMP2Bus_Error; mac_address <= mac_address_full(mac_address'range); --mac_cmp assignments ---cmp_clk <= Bus2MAC_CMP_Clk; tcp_writedata <= Bus2MAC_REG_Data; tcp_read <= Bus2MAC_REG_RNW; tcp_write <= not Bus2MAC_REG_RNW; tcp_chipselect <= Bus2MAC_REG_CS(0); tcp_byteenable <= Bus2MAC_REG_BE; tcp_address <= Bus2MAC_REG_Addr(3 downto 2); MAC_CMP2Bus_Data <= tcp_readdata; MAC_CMP2Bus_RdAck <= tcp_chipselect and tcp_read and not tcp_waitrequest; MAC_CMP2Bus_WrAck <= tcp_chipselect and tcp_write and not tcp_waitrequest; MAC_CMP2Bus_Error <= '0'; --mac_pkt assignments pkt_clk <= Bus2MAC_PKT_Clk; Bus2MAC_PKT_Reset <= not Bus2MAC_PKT_Resetn; mbf_writedata <= Bus2MAC_PKT_Data; -- Bus2MAC_PKT_Data(7 downto 0) & Bus2MAC_PKT_Data(15 downto 8) & -- Bus2MAC_PKT_Data(23 downto 16) & Bus2MAC_PKT_Data(31 downto 24); mbf_read <= Bus2MAC_PKT_RNW; mbf_write <= not Bus2MAC_PKT_RNW; mbf_chipselect <= Bus2MAC_PKT_CS(0); mbf_byteenable <= Bus2MAC_PKT_BE; mbf_address <= Bus2MAC_PKT_Addr(C_MAC_PKT_SIZE_LOG2-1 downto 2); MAC_PKT2Bus_Data <= mbf_readdata; MAC_PKT2Bus_RdAck <= mbf_chipselect and mbf_read and not mbf_waitrequest; MAC_PKT2Bus_WrAck <= mbf_chipselect and mbf_write and not mbf_waitrequest; MAC_PKT2Bus_Error <= '0'; --test_port --test_port(181 downto 179) <= mac_chipselect & mac_write & mac_read; --test_port(178) <= mac_waitrequest; --test_port(177 downto 176) <= mac_byteenable; -- --test_port(171 downto 160) <= mac_address; --test_port(159 downto 144) <= mac_writedata; --test_port(143 downto 128) <= mac_readdata; -- --test_port(104 downto 102) <= Bus2MAC_REG_CS & Bus2MAC_REG_RNW; --test_port(101 downto 100) <= IP2Bus_WrAck_s & IP2Bus_RdAck_s; --test_port(99 downto 96) <= Bus2MAC_REG_BE; -- --test_port(95 downto 64) <= Bus2MAC_REG_Addr; --test_port(63 downto 32) <= Bus2MAC_REG_Data; --test_port(31 downto 0) <= IP2Bus_Data_s; test_port(255 downto 251) <= m_read & m_write & m_waitrequest & m_readdatavalid & MAC_DMA2Bus_Mst_Type; test_port(244 downto 240) <= MAC_DMA2Bus_MstWr_Req & MAC_DMA2Bus_MstWr_sof_n & MAC_DMA2Bus_MstWr_eof_n & MAC_DMA2Bus_MstWr_src_rdy_n & Bus2MAC_DMA_MstWr_dst_rdy_n; test_port(234 downto 230) <= MAC_DMA2Bus_MstRd_Req & Bus2MAC_DMA_MstRd_sof_n & Bus2MAC_DMA_MstRd_eof_n & Bus2MAC_DMA_MstRd_src_rdy_n & MAC_DMA2Bus_MstRd_dst_rdy_n; test_port(142 downto 140) <= Bus2MAC_DMA_Mst_Cmplt & Bus2MAC_DMA_Mst_Error & Bus2MAC_DMA_Mst_Cmd_Timeout; test_port(MAC_DMA2Bus_Mst_Length'length+120-1 downto 120) <= MAC_DMA2Bus_Mst_Length; test_port(m_burstcount'length+110-1 downto 110) <= m_burstcount; test_port(m_burstcounter'length+96-1 downto 96) <= m_burstcounter; test_port(95 downto 64) <= m_address; test_port(63 downto 32) <= m_writedata; test_port(31 downto 0) <= m_readdata; ---- Component instantiations ---- MAC_REG_16to32 : openMAC_16to32conv generic map ( bus_address_width => C_S_AXI_MAC_REG_ADDR_WIDTH, gEndian => "little" ) port map( bus_ack_rd => MAC_REG2Bus_RdAck, bus_ack_wr => MAC_REG2Bus_WrAck, bus_address => Bus2MAC_REG_Addr( C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0 ), bus_byteenable => Bus2MAC_REG_BE( (C_S_AXI_MAC_REG_DATA_WIDTH/8)-1 downto 0 ), bus_read => Bus2MAC_REG_RNW, bus_readdata => MAC_REG2Bus_Data( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), bus_select => Bus2MAC_REG_CS(1), bus_write => Bus2MAC_REG_RNW_n, bus_writedata => Bus2MAC_REG_Data( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), clk => clk50, rst => Bus2MAC_REG_Reset, s_address => mac_address_full( C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0 ), s_byteenable => mac_byteenable, s_chipselect => mac_chipselect, s_read => mac_read, s_readdata => mac_readdata, s_waitrequest => mac_waitrequest, s_write => mac_write, s_writedata => mac_writedata ); MAC_REG_AXI_SINGLE_SLAVE : axi_lite_ipif generic map ( C_ARD_ADDR_RANGE_ARRAY => (C_MAC_REG_BASE,C_MAC_REG_HIGH,C_MAC_CMP_BASE,C_MAC_CMP_HIGH), C_ARD_NUM_CE_ARRAY => (1,1), C_DPHASE_TIMEOUT => C_S_AXI_MAC_REG_DPHASE_TIMEOUT, C_FAMILY => C_FAMILY, C_S_AXI_ADDR_WIDTH => C_S_AXI_MAC_REG_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_MAC_REG_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_MAC_REG_MINSIZE, C_USE_WSTRB => C_S_AXI_MAC_REG_USE_WSTRB ) port map( Bus2IP_Addr => Bus2MAC_REG_Addr( C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0 ), Bus2IP_BE => Bus2MAC_REG_BE( (C_S_AXI_MAC_REG_DATA_WIDTH/8)-1 downto 0 ), Bus2IP_CS => Bus2MAC_REG_CS_fast( 1 downto 0 ), Bus2IP_Clk => Bus2MAC_REG_Clk, Bus2IP_Data => Bus2MAC_REG_Data( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), Bus2IP_RNW => Bus2MAC_REG_RNW_fast, Bus2IP_RdCE => open, Bus2IP_Resetn => Bus2MAC_REG_Resetn, Bus2IP_WrCE => open, IP2Bus_Data => IP2Bus_Data_fast( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), IP2Bus_Error => IP2Bus_Error_s, IP2Bus_RdAck => IP2Bus_RdAck_fast, IP2Bus_WrAck => IP2Bus_WrAck_fast, S_AXI_ACLK => S_AXI_MAC_REG_ACLK, S_AXI_ARADDR => S_AXI_MAC_REG_ARADDR( C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0 ), S_AXI_ARESETN => S_AXI_MAC_REG_ARESETN, S_AXI_ARREADY => S_AXI_MAC_REG_ARREADY, S_AXI_ARVALID => S_AXI_MAC_REG_ARVALID, S_AXI_AWADDR => S_AXI_MAC_REG_AWADDR( C_S_AXI_MAC_REG_ADDR_WIDTH-1 downto 0 ), S_AXI_AWREADY => S_AXI_MAC_REG_AWREADY, S_AXI_AWVALID => S_AXI_MAC_REG_AWVALID, S_AXI_BREADY => S_AXI_MAC_REG_BREADY, S_AXI_BRESP => S_AXI_MAC_REG_BRESP, S_AXI_BVALID => S_AXI_MAC_REG_BVALID, S_AXI_RDATA => S_AXI_MAC_REG_RDATA( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), S_AXI_RREADY => S_AXI_MAC_REG_RREADY, S_AXI_RRESP => S_AXI_MAC_REG_RRESP, S_AXI_RVALID => S_AXI_MAC_REG_RVALID, S_AXI_WDATA => S_AXI_MAC_REG_WDATA( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), S_AXI_WREADY => S_AXI_MAC_REG_WREADY, S_AXI_WSTRB => S_AXI_MAC_REG_WSTRB( (C_S_AXI_MAC_REG_DATA_WIDTH/8)-1 downto 0 ), S_AXI_WVALID => S_AXI_MAC_REG_WVALID ); THE_POWERLINK_IP_CORE : powerlink generic map ( Simulate => booleanToInteger(false), endian_g => "little", gNumSmi => C_NUM_SMI, genABuf1_g => booleanToInteger(C_PDI_GEN_ASYNC_BUF_0), genABuf2_g => booleanToInteger(C_PDI_GEN_ASYNC_BUF_1), genEvent_g => booleanToInteger(C_PDI_GEN_EVENT), genInternalAp_g => booleanToInteger(C_GEN_AXI_BUS_IF), genIoBuf_g => booleanToInteger(false), genLedGadget_g => booleanToInteger(C_PDI_GEN_LED), genOnePdiClkDomain_g => booleanToInteger(false), genPdi_g => booleanToInteger(C_GEN_PDI), genSimpleIO_g => booleanToInteger(C_GEN_SIMPLE_IO), genSmiIO => booleanToInteger(false), genSpiAp_g => booleanToInteger(C_GEN_SPI_IF), genTimeSync_g => booleanToInteger(C_PDI_GEN_TIME_SYNC), gen_dma_observer_g => booleanToInteger(C_OBSERVER_ENABLE), iAsyBuf1Size_g => C_PDI_ASYNC_BUF_0, iAsyBuf2Size_g => C_PDI_ASYNC_BUF_1, iBufSizeLOG2_g => C_MAC_PKT_SIZE_LOG2, iBufSize_g => C_MAC_PKT_SIZE, iPdiRev_g => C_PDI_REV, iRpdo0BufSize_g => C_RPDO_0_BUF_SIZE, iRpdo1BufSize_g => C_RPDO_1_BUF_SIZE, iRpdo2BufSize_g => C_RPDO_2_BUF_SIZE, iRpdos_g => C_NUM_RPDO, iTpdoBufSize_g => C_TPDO_BUF_SIZE, iTpdos_g => C_NUM_TPDO, m_burstcount_const_g => booleanToInteger(true), m_burstcount_width_g => C_M_BURSTCOUNT_WIDTH, m_data_width_g => 32, m_rx_burst_size_g => C_MAC_DMA_BURST_SIZE_RX/4, m_rx_fifo_size_g => C_M_FIFO_SIZE_RX, m_tx_burst_size_g => C_MAC_DMA_BURST_SIZE_TX/4, m_tx_fifo_size_g => C_M_FIFO_SIZE_TX, papBigEnd_g => booleanToInteger(false), papDataWidth_g => C_PAP_DATA_WIDTH, papLowAct_g => booleanToInteger(C_PAP_LOW_ACT), pcpSysId => C_PCP_SYS_ID, pioValLen_g => C_PIO_VAL_LENGTH, pulseWidth2ndCmpTimer_g => C_PULSE_WIDTH_2nd_CMP_TIMER, spiBigEnd_g => booleanToInteger(false), spiCPHA_g => booleanToInteger(C_SPI_CPHA), spiCPOL_g => booleanToInteger(C_SPI_CPOL), use2ndCmpTimer_g => booleanToInteger(C_MAC_GEN_SECOND_TIMER), use2ndPhy_g => booleanToInteger(C_USE_2ND_PHY), useIntPacketBuf_g => booleanToInteger(C_MAC_PKT_EN), usePulse2ndCmpTimer_g => booleanToInteger(C_USE_PULSE_2nd_CMP_TIMER), useRmii_g => booleanToInteger(C_USE_RMII), useRxIntPacketBuf_g => booleanToInteger(C_MAC_PKT_RX_EN) ) port map( ap_address => ap_address, ap_asyncIrq => ap_asyncIrq, ap_asyncIrq_n => ap_asyncIrq_n, ap_byteenable => ap_byteenable, ap_chipselect => ap_chipselect, ap_irq => open, ap_irq_n => open, ap_read => ap_read, ap_readdata => ap_readdata, ap_syncIrq => ap_syncIrq, ap_syncIrq_n => ap_syncIrq_n, ap_waitrequest => ap_waitrequest, ap_write => ap_write, ap_writedata => ap_writedata, clk50 => clk50, clkAp => clkAp, clkEth => clk100, clkPcp => clkPcp, led_error => led_error, led_gpo => led_gpo, led_opt => led_opt, led_phyAct => led_phyAct, led_phyLink => led_phyLink, led_status => led_status, m_address => m_address, m_burstcount => m_burstcount( C_M_BURSTCOUNT_WIDTH-1 downto 0 ), m_burstcounter => m_burstcounter( C_M_BURSTCOUNT_WIDTH-1 downto 0 ), m_byteenable => m_byteenable( 3 downto 0 ), m_clk => m_clk, m_read => m_read, m_readdata => m_readdata( 31 downto 0 ), m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata( 31 downto 0 ), mac_address => mac_address, mac_byteenable => mac_byteenable, mac_chipselect => mac_chipselect, mac_irq => mac_irq_s, mac_read => mac_read, mac_readdata => mac_readdata, mac_waitrequest => mac_waitrequest, mac_write => mac_write, mac_writedata => mac_writedata, mbf_address => mbf_address( C_MAC_PKT_SIZE_LOG2-3 downto 0 ), mbf_byteenable => mbf_byteenable, mbf_chipselect => mbf_chipselect, mbf_read => mbf_read, mbf_readdata => mbf_readdata, mbf_waitrequest => mbf_waitrequest, mbf_write => mbf_write, mbf_writedata => mbf_writedata, pap_ack => pap_ack, pap_ack_n => pap_ack_n, pap_addr => pap_addr, pap_be => pap_be( C_PAP_DATA_WIDTH/8-1 downto 0 ), pap_be_n => pap_be_n( C_PAP_DATA_WIDTH/8-1 downto 0 ), pap_cs => pap_cs, pap_cs_n => pap_cs_n, pap_data => open, pap_data_I => pap_data_I( C_PAP_DATA_WIDTH-1 downto 0 ), pap_data_O => pap_data_O( C_PAP_DATA_WIDTH-1 downto 0 ), pap_data_T => pap_data_T, pap_gpio => open, pap_gpio_I => pap_gpio_I, pap_gpio_O => pap_gpio_O, pap_gpio_T => pap_gpio_T, pap_rd => pap_rd, pap_rd_n => pap_rd_n, pap_wr => pap_wr, pap_wr_n => pap_wr_n, pcp_address => pcp_address, pcp_byteenable => pcp_byteenable, pcp_chipselect => pcp_chipselect, pcp_read => pcp_read, pcp_readdata => pcp_readdata, pcp_waitrequest => pcp_waitrequest, pcp_write => pcp_write, pcp_writedata => pcp_writedata, phy0_Rst_n => phy0_Rst_n, phy0_RxDat => phy0_RxDat, phy0_RxDv => phy0_RxDv, phy0_RxErr => phy0_RxErr, phy0_SMIClk => phy0_SMIClk, phy0_SMIDat => open, phy0_SMIDat_I => phy0_SMIDat_I, phy0_SMIDat_O => phy0_SMIDat_O, phy0_SMIDat_T => phy0_SMIDat_T, phy0_TxDat => phy0_TxDat, phy0_TxEn => phy0_TxEn, phy0_link => phy0_link, phy1_Rst_n => phy1_Rst_n, phy1_RxDat => phy1_RxDat, phy1_RxDv => phy1_RxDv, phy1_RxErr => phy1_RxErr, phy1_SMIClk => phy1_SMIClk, phy1_SMIDat => open, phy1_SMIDat_I => phy1_SMIDat_I, phy1_SMIDat_O => phy1_SMIDat_O, phy1_SMIDat_T => phy1_SMIDat_T, phy1_TxDat => phy1_TxDat, phy1_TxEn => phy1_TxEn, phy1_link => phy1_link, phyMii0_RxClk => phyMii0_RxClk, phyMii0_RxDat => phyMii0_RxDat, phyMii0_RxDv => phyMii0_RxDv, phyMii0_RxEr => phyMii0_RxEr, phyMii0_TxClk => phyMii0_TxClk, phyMii0_TxDat => phyMii0_TxDat, phyMii0_TxEn => phyMii0_TxEn, phyMii0_TxEr => phyMii0_TxEr, phyMii1_RxClk => phyMii1_RxClk, phyMii1_RxDat => phyMii1_RxDat, phyMii1_RxDv => phyMii1_RxDv, phyMii1_RxEr => phyMii1_RxEr, phyMii1_TxClk => phyMii1_TxClk, phyMii1_TxDat => phyMii1_TxDat, phyMii1_TxEn => phyMii1_TxEn, phyMii1_TxEr => phyMii1_TxEr, phy_Rst_n => phy_Rst_n, phy_SMIClk => phy_SMIClk, phy_SMIDat => open, phy_SMIDat_I => phy_SMIDat_I, phy_SMIDat_O => phy_SMIDat_O, phy_SMIDat_T => phy_SMIDat_T, pio_operational => pio_operational, pio_pconfig => pio_pconfig, pio_portInLatch => pio_portInLatch, pio_portOutValid => pio_portOutValid, pio_portio => open, pio_portio_I => pio_portio_I, pio_portio_O => pio_portio_O, pio_portio_T => pio_portio_T, pkt_clk => pkt_clk, rst => rst, rstAp => rstAp, rstPcp => rstPcp, smp_address => smp_address, smp_byteenable => smp_byteenable, smp_read => smp_read, smp_readdata => smp_readdata, smp_waitrequest => smp_waitrequest, smp_write => smp_write, smp_writedata => smp_writedata, spi_clk => spi_clk, spi_miso => spi_miso, spi_mosi => spi_mosi, spi_sel_n => spi_sel_n, tcp_address => tcp_address, tcp_byteenable => tcp_byteenable, tcp_chipselect => tcp_chipselect, tcp_irq => tcp_irq_s, tcp_read => tcp_read, tcp_readdata => tcp_readdata, tcp_waitrequest => tcp_waitrequest, tcp_write => tcp_write, tcp_writedata => tcp_writedata ); MAC_DMA_areset <= not(M_AXI_MAC_DMA_aresetn); Bus2MAC_REG_RNW_n <= not(Bus2MAC_REG_RNW); Bus2MAC_REG_Reset <= not(Bus2MAC_REG_Resetn); rstPcp <= Bus2SMP_PCP_Reset or Bus2PDI_PCP_Reset or Bus2MAC_PKT_Reset; rstAp <= Bus2PDI_AP_Reset; rst <= Bus2MAC_REG_Reset; macRegClkXing : clkXing generic map ( gCsNum => 2, gDataWidth => C_S_AXI_MAC_REG_DATA_WIDTH ) port map( iArst => Bus2MAC_REG_Reset, iFastClk => Bus2MAC_REG_Clk, iFastCs => Bus2MAC_REG_CS_fast( 1 downto 0 ), iFastRNW => Bus2MAC_REG_RNW_fast, iSlowClk => clk50, iSlowRdAck => IP2Bus_RdAck_s, iSlowReaddata => IP2Bus_Data_s( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), iSlowWrAck => IP2Bus_WrAck_s, oFastRdAck => IP2Bus_RdAck_fast, oFastReaddata => IP2Bus_Data_fast( C_S_AXI_MAC_REG_DATA_WIDTH-1 downto 0 ), oFastWrAck => IP2Bus_WrAck_fast, oSlowCs => Bus2MAC_REG_CS( 1 downto 0 ), oSlowRNW => Bus2MAC_REG_RNW ); ---- Power , ground assignment ---- GND <= GND_CONSTANT; VCC <= VCC_CONSTANT; MAC_REG2Bus_Error <= GND; ---- Terminal assignment ---- -- Output\buffer terminals mac_irq <= mac_irq_s; tcp_irq <= tcp_irq_s; ---- Generate statements ---- genMacDmaPlbBurst : if C_DMA_EN = TRUE generate begin MAC_DMA_AXI_BURST_MASTER : axi_master_burst generic map ( C_ADDR_PIPE_DEPTH => 1, C_FAMILY => C_FAMILY, C_LENGTH_WIDTH => C_M_AXI_MAC_DMA_LENGTH_WIDTH, C_MAX_BURST_LEN => C_M_AXI_MAC_DMA_MAX_BURST_LEN, C_M_AXI_ADDR_WIDTH => C_M_AXI_MAC_DMA_ADDR_WIDTH, C_M_AXI_DATA_WIDTH => C_M_AXI_MAC_DMA_DATA_WIDTH, C_NATIVE_DATA_WIDTH => C_M_AXI_MAC_DMA_NATIVE_DWIDTH ) port map( bus2ip_mst_cmd_timeout => bus2MAC_DMA_mst_cmd_timeout, bus2ip_mst_cmdack => bus2MAC_DMA_mst_cmdack, bus2ip_mst_cmplt => bus2MAC_DMA_mst_cmplt, bus2ip_mst_error => bus2MAC_DMA_mst_error, bus2ip_mst_rearbitrate => bus2MAC_DMA_mst_rearbitrate, bus2ip_mstrd_d => bus2MAC_DMA_mstrd_d( C_M_AXI_MAC_DMA_NATIVE_DWIDTH-1 downto 0 ), bus2ip_mstrd_eof_n => bus2MAC_DMA_mstrd_eof_n, bus2ip_mstrd_rem => bus2MAC_DMA_mstrd_rem( (C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0 ), bus2ip_mstrd_sof_n => bus2MAC_DMA_mstrd_sof_n, bus2ip_mstrd_src_dsc_n => bus2MAC_DMA_mstrd_src_dsc_n, bus2ip_mstrd_src_rdy_n => bus2MAC_DMA_mstrd_src_rdy_n, bus2ip_mstwr_dst_dsc_n => bus2MAC_DMA_mstwr_dst_dsc_n, bus2ip_mstwr_dst_rdy_n => bus2MAC_DMA_mstwr_dst_rdy_n, ip2bus_mst_addr => MAC_DMA2bus_mst_addr( C_M_AXI_MAC_DMA_ADDR_WIDTH-1 downto 0 ), ip2bus_mst_be => MAC_DMA2bus_mst_be( (C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0 ), ip2bus_mst_length => MAC_DMA2bus_mst_length( C_M_AXI_MAC_DMA_LENGTH_WIDTH-1 downto 0 ), ip2bus_mst_lock => MAC_DMA2bus_mst_lock, ip2bus_mst_reset => MAC_DMA2bus_mst_reset, ip2bus_mst_type => MAC_DMA2bus_mst_type, ip2bus_mstrd_dst_dsc_n => MAC_DMA2bus_mstrd_dst_dsc_n, ip2bus_mstrd_dst_rdy_n => MAC_DMA2bus_mstrd_dst_rdy_n, ip2bus_mstrd_req => MAC_DMA2bus_mstrd_req, ip2bus_mstwr_d => MAC_DMA2bus_mstwr_d( C_M_AXI_MAC_DMA_NATIVE_DWIDTH-1 downto 0 ), ip2bus_mstwr_eof_n => MAC_DMA2bus_mstwr_eof_n, ip2bus_mstwr_rem => MAC_DMA2bus_mstwr_rem( (C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0 ), ip2bus_mstwr_req => MAC_DMA2bus_mstwr_req, ip2bus_mstwr_sof_n => MAC_DMA2bus_mstwr_sof_n, ip2bus_mstwr_src_dsc_n => MAC_DMA2bus_mstwr_src_dsc_n, ip2bus_mstwr_src_rdy_n => MAC_DMA2bus_mstwr_src_rdy_n, m_axi_aclk => M_AXI_MAC_DMA_aclk, m_axi_araddr => M_AXI_MAC_DMA_araddr( C_M_AXI_MAC_DMA_ADDR_WIDTH-1 downto 0 ), m_axi_arburst => M_AXI_MAC_DMA_arburst, m_axi_arcache => M_AXI_MAC_DMA_arcache, m_axi_aresetn => M_AXI_MAC_DMA_aresetn, m_axi_arlen => M_AXI_MAC_DMA_arlen, m_axi_arprot => M_AXI_MAC_DMA_arprot, m_axi_arready => M_AXI_MAC_DMA_arready, m_axi_arsize => M_AXI_MAC_DMA_arsize, m_axi_arvalid => M_AXI_MAC_DMA_arvalid, m_axi_awaddr => M_AXI_MAC_DMA_awaddr( C_M_AXI_MAC_DMA_ADDR_WIDTH-1 downto 0 ), m_axi_awburst => M_AXI_MAC_DMA_awburst, m_axi_awcache => M_AXI_MAC_DMA_awcache, m_axi_awlen => M_AXI_MAC_DMA_awlen, m_axi_awprot => M_AXI_MAC_DMA_awprot, m_axi_awready => M_AXI_MAC_DMA_awready, m_axi_awsize => M_AXI_MAC_DMA_awsize, m_axi_awvalid => M_AXI_MAC_DMA_awvalid, m_axi_bready => M_AXI_MAC_DMA_bready, m_axi_bresp => M_AXI_MAC_DMA_bresp, m_axi_bvalid => M_AXI_MAC_DMA_bvalid, m_axi_rdata => M_AXI_MAC_DMA_rdata( C_M_AXI_MAC_DMA_DATA_WIDTH-1 downto 0 ), m_axi_rlast => M_AXI_MAC_DMA_rlast, m_axi_rready => M_AXI_MAC_DMA_rready, m_axi_rresp => M_AXI_MAC_DMA_rresp, m_axi_rvalid => M_AXI_MAC_DMA_rvalid, m_axi_wdata => M_AXI_MAC_DMA_wdata( C_M_AXI_MAC_DMA_DATA_WIDTH-1 downto 0 ), m_axi_wlast => M_AXI_MAC_DMA_wlast, m_axi_wready => M_AXI_MAC_DMA_wready, m_axi_wstrb => M_AXI_MAC_DMA_wstrb( (C_M_AXI_MAC_DMA_DATA_WIDTH/8)-1 downto 0 ), m_axi_wvalid => M_AXI_MAC_DMA_wvalid, md_error => M_AXI_MAC_DMA_md_error ); end generate genMacDmaPlbBurst; genThePlbMaster : if C_DMA_EN = TRUE generate begin THE_IPIF_MASTER_HANDLER : ipif_master_handler generic map ( C_MAC_DMA_IPIF_AWIDTH => C_M_AXI_MAC_DMA_ADDR_WIDTH, C_MAC_DMA_IPIF_NATIVE_DWIDTH => C_M_AXI_MAC_DMA_NATIVE_DWIDTH, dma_highadr_g => m_address'high, gen_rx_fifo_g => not C_RX_INT_PKT, gen_tx_fifo_g => not C_TX_INT_PKT, m_burstcount_width_g => C_M_BURSTCOUNT_WIDTH ) port map( Bus2MAC_DMA_MstRd_d => bus2MAC_DMA_mstrd_d( C_M_AXI_MAC_DMA_NATIVE_DWIDTH-1 downto 0 ), Bus2MAC_DMA_MstRd_eof_n => bus2MAC_DMA_mstrd_eof_n, Bus2MAC_DMA_MstRd_rem => bus2MAC_DMA_mstrd_rem( (C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0 ), Bus2MAC_DMA_MstRd_sof_n => bus2MAC_DMA_mstrd_sof_n, Bus2MAC_DMA_MstRd_src_dsc_n => bus2MAC_DMA_mstrd_src_dsc_n, Bus2MAC_DMA_MstRd_src_rdy_n => bus2MAC_DMA_mstrd_src_rdy_n, Bus2MAC_DMA_MstWr_dst_dsc_n => bus2MAC_DMA_mstwr_dst_dsc_n, Bus2MAC_DMA_MstWr_dst_rdy_n => bus2MAC_DMA_mstwr_dst_rdy_n, Bus2MAC_DMA_Mst_CmdAck => bus2MAC_DMA_mst_cmdack, Bus2MAC_DMA_Mst_Cmd_Timeout => bus2MAC_DMA_mst_cmd_timeout, Bus2MAC_DMA_Mst_Cmplt => bus2MAC_DMA_mst_cmplt, Bus2MAC_DMA_Mst_Error => bus2MAC_DMA_mst_error, Bus2MAC_DMA_Mst_Rearbitrate => bus2MAC_DMA_mst_rearbitrate, MAC_DMA2Bus_MstRd_Req => MAC_DMA2bus_mstrd_req, MAC_DMA2Bus_MstRd_dst_dsc_n => MAC_DMA2bus_mstrd_dst_dsc_n, MAC_DMA2Bus_MstRd_dst_rdy_n => MAC_DMA2bus_mstrd_dst_rdy_n, MAC_DMA2Bus_MstWr_Req => MAC_DMA2bus_mstwr_req, MAC_DMA2Bus_MstWr_d => MAC_DMA2Bus_MstWr_d( C_M_AXI_MAC_DMA_NATIVE_DWIDTH-1 downto 0 ), MAC_DMA2Bus_MstWr_eof_n => MAC_DMA2bus_mstwr_eof_n, MAC_DMA2Bus_MstWr_rem => MAC_DMA2bus_mstwr_rem( (C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0 ), MAC_DMA2Bus_MstWr_sof_n => MAC_DMA2bus_mstwr_sof_n, MAC_DMA2Bus_MstWr_src_dsc_n => MAC_DMA2bus_mstwr_src_dsc_n, MAC_DMA2Bus_MstWr_src_rdy_n => MAC_DMA2bus_mstwr_src_rdy_n, MAC_DMA2Bus_Mst_Addr => MAC_DMA2bus_mst_addr( C_M_AXI_MAC_DMA_ADDR_WIDTH-1 downto 0 ), MAC_DMA2Bus_Mst_BE => MAC_DMA2bus_mst_be( (C_M_AXI_MAC_DMA_NATIVE_DWIDTH/8)-1 downto 0 ), MAC_DMA2Bus_Mst_Length => MAC_DMA2bus_mst_length( C_M_AXI_MAC_DMA_LENGTH_WIDTH-1 downto 0 ), MAC_DMA2Bus_Mst_Lock => MAC_DMA2bus_mst_lock, MAC_DMA2Bus_Mst_Reset => MAC_DMA2bus_mst_reset, MAC_DMA2Bus_Mst_Type => MAC_DMA2bus_mst_type, MAC_DMA_CLK => M_AXI_MAC_DMA_aclk, MAC_DMA_Rst => MAC_DMA_areset, m_address => m_address( 31 downto 0 ), m_burstcount => m_burstcount( C_M_BURSTCOUNT_WIDTH-1 downto 0 ), m_burstcounter => m_burstcounter( C_M_BURSTCOUNT_WIDTH-1 downto 0 ), m_byteenable => m_byteenable, m_clk => m_clk, m_read => m_read, m_readdata => m_readdata, m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata ); end generate genThePlbMaster; genMacPktPLbSingleSlave : if C_PKT_BUF_EN generate begin MAC_PKT_AXI_SINGLE_SLAVE : axi_lite_ipif generic map ( C_ARD_ADDR_RANGE_ARRAY => (C_MAC_PKT_BASE,C_MAC_PKT_HIGH), C_ARD_NUM_CE_ARRAY => (0=>1), C_DPHASE_TIMEOUT => C_S_AXI_MAC_PKT_DPHASE_TIMEOUT, C_FAMILY => C_FAMILY, C_S_AXI_ADDR_WIDTH => C_S_AXI_MAC_PKT_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_MAC_PKT_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_MAC_PKT_MINSIZE, C_USE_WSTRB => C_S_AXI_MAC_PKT_USE_WSTRB ) port map( Bus2IP_Addr => Bus2MAC_PKT_Addr( C_S_AXI_MAC_PKT_ADDR_WIDTH-1 downto 0 ), Bus2IP_BE => Bus2MAC_PKT_BE( (C_S_AXI_MAC_PKT_DATA_WIDTH/8)-1 downto 0 ), Bus2IP_CS => Bus2MAC_PKT_CS( 0 downto 0 ), Bus2IP_Clk => Bus2MAC_PKT_Clk, Bus2IP_Data => Bus2MAC_PKT_Data( C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0 ), Bus2IP_RNW => Bus2MAC_PKT_RNW, Bus2IP_RdCE => open, Bus2IP_Resetn => Bus2MAC_PKT_Resetn, Bus2IP_WrCE => open, IP2Bus_Data => MAC_PKT2Bus_Data( C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0 ), IP2Bus_Error => MAC_PKT2Bus_Error, IP2Bus_RdAck => MAC_PKT2Bus_RdAck, IP2Bus_WrAck => MAC_PKT2Bus_WrAck, S_AXI_ACLK => S_AXI_MAC_PKT_ACLK, S_AXI_ARADDR => S_AXI_MAC_PKT_ARADDR( C_S_AXI_MAC_PKT_ADDR_WIDTH-1 downto 0 ), S_AXI_ARESETN => S_AXI_MAC_PKT_ARESETN, S_AXI_ARREADY => S_AXI_MAC_PKT_ARREADY, S_AXI_ARVALID => S_AXI_MAC_PKT_ARVALID, S_AXI_AWADDR => S_AXI_MAC_PKT_AWADDR( C_S_AXI_MAC_PKT_ADDR_WIDTH-1 downto 0 ), S_AXI_AWREADY => S_AXI_MAC_PKT_AWREADY, S_AXI_AWVALID => S_AXI_MAC_PKT_AWVALID, S_AXI_BREADY => S_AXI_MAC_PKT_BREADY, S_AXI_BRESP => S_AXI_MAC_PKT_BRESP, S_AXI_BVALID => S_AXI_MAC_PKT_BVALID, S_AXI_RDATA => S_AXI_MAC_PKT_RDATA( C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0 ), S_AXI_RREADY => S_AXI_MAC_PKT_RREADY, S_AXI_RRESP => S_AXI_MAC_PKT_RRESP, S_AXI_RVALID => S_AXI_MAC_PKT_RVALID, S_AXI_WDATA => S_AXI_MAC_PKT_WDATA( C_S_AXI_MAC_PKT_DATA_WIDTH-1 downto 0 ), S_AXI_WREADY => S_AXI_MAC_PKT_WREADY, S_AXI_WSTRB => S_AXI_MAC_PKT_WSTRB( (C_S_AXI_MAC_PKT_DATA_WIDTH/8)-1 downto 0 ), S_AXI_WVALID => S_AXI_MAC_PKT_WVALID ); end generate genMacPktPLbSingleSlave; genPdiPcp : if (C_GEN_PDI) generate begin PDI_PCP_AXI_SINGLE_SLAVE : axi_lite_ipif generic map ( C_ARD_ADDR_RANGE_ARRAY => (C_PDI_PCP_BASE,C_PDI_PCP_HIGH), C_ARD_NUM_CE_ARRAY => (0=>1), C_DPHASE_TIMEOUT => C_S_AXI_PDI_PCP_DPHASE_TIMEOUT, C_FAMILY => C_FAMILY, C_S_AXI_ADDR_WIDTH => C_S_AXI_PDI_PCP_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_PDI_PCP_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_PDI_PCP_MINSIZE, C_USE_WSTRB => C_S_AXI_PDI_PCP_USE_WSTRB ) port map( Bus2IP_Addr => Bus2PDI_PCP_Addr( C_S_AXI_PDI_PCP_ADDR_WIDTH-1 downto 0 ), Bus2IP_BE => Bus2PDI_PCP_BE( (C_S_AXI_PDI_PCP_DATA_WIDTH/8)-1 downto 0 ), Bus2IP_CS => Bus2PDI_PCP_CS( 0 downto 0 ), Bus2IP_Clk => Bus2PDI_PCP_Clk, Bus2IP_Data => Bus2PDI_PCP_Data( C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0 ), Bus2IP_RNW => Bus2PDI_PCP_RNW, Bus2IP_RdCE => open, Bus2IP_Resetn => Bus2PDI_PCP_Resetn, Bus2IP_WrCE => open, IP2Bus_Data => PDI_PCP2Bus_Data( C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0 ), IP2Bus_Error => PDI_PCP2Bus_Error, IP2Bus_RdAck => PDI_PCP2Bus_RdAck, IP2Bus_WrAck => PDI_PCP2Bus_WrAck, S_AXI_ACLK => S_AXI_PDI_PCP_ACLK, S_AXI_ARADDR => S_AXI_PDI_PCP_ARADDR( C_S_AXI_PDI_PCP_ADDR_WIDTH-1 downto 0 ), S_AXI_ARESETN => S_AXI_PDI_PCP_ARESETN, S_AXI_ARREADY => S_AXI_PDI_PCP_ARREADY, S_AXI_ARVALID => S_AXI_PDI_PCP_ARVALID, S_AXI_AWADDR => S_AXI_PDI_PCP_AWADDR( C_S_AXI_PDI_PCP_ADDR_WIDTH-1 downto 0 ), S_AXI_AWREADY => S_AXI_PDI_PCP_AWREADY, S_AXI_AWVALID => S_AXI_PDI_PCP_AWVALID, S_AXI_BREADY => S_AXI_PDI_PCP_BREADY, S_AXI_BRESP => S_AXI_PDI_PCP_BRESP, S_AXI_BVALID => S_AXI_PDI_PCP_BVALID, S_AXI_RDATA => S_AXI_PDI_PCP_RDATA( C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0 ), S_AXI_RREADY => S_AXI_PDI_PCP_RREADY, S_AXI_RRESP => S_AXI_PDI_PCP_RRESP, S_AXI_RVALID => S_AXI_PDI_PCP_RVALID, S_AXI_WDATA => S_AXI_PDI_PCP_WDATA( C_S_AXI_PDI_PCP_DATA_WIDTH-1 downto 0 ), S_AXI_WREADY => S_AXI_PDI_PCP_WREADY, S_AXI_WSTRB => S_AXI_PDI_PCP_WSTRB( (C_S_AXI_PDI_PCP_DATA_WIDTH/8)-1 downto 0 ), S_AXI_WVALID => S_AXI_PDI_PCP_WVALID ); end generate genPdiPcp; genPcpPdiLink : if C_GEN_PDI generate begin --pdi_pcp assignments clkPcp <= Bus2PDI_PCP_Clk; Bus2PDI_PCP_Reset <= not Bus2PDI_PCP_Resetn; pcp_writedata <= Bus2PDI_PCP_Data; -- Bus2MAC_PKT_Data(7 downto 0) & Bus2MAC_PKT_Data(15 downto 8) & -- Bus2MAC_PKT_Data(23 downto 16) & Bus2MAC_PKT_Data(31 downto 24); pcp_read <= Bus2PDI_PCP_RNW; pcp_write <= not Bus2PDI_PCP_RNW; pcp_chipselect <= Bus2PDI_PCP_CS(0); pcp_byteenable <= Bus2PDI_PCP_BE; pcp_address <= Bus2PDI_PCP_Addr(14 downto 2); PDI_PCP2Bus_Data <= pcp_readdata; PDI_PCP2Bus_RdAck <= pcp_chipselect and pcp_read and not pcp_waitrequest; PDI_PCP2Bus_WrAck <= pcp_chipselect and pcp_write and not pcp_waitrequest; PDI_PCP2Bus_Error <= '0'; end generate genPcpPdiLink; genPdiAp : if (C_GEN_AXI_BUS_IF) generate begin PDI_AP_AXI_SINGLE_SLAVE : axi_lite_ipif generic map ( C_ARD_ADDR_RANGE_ARRAY => (C_PDI_AP_BASE,C_PDI_AP_HIGH), C_ARD_NUM_CE_ARRAY => (0=>1), C_DPHASE_TIMEOUT => C_S_AXI_PDI_AP_DPHASE_TIMEOUT, C_FAMILY => C_FAMILY, C_S_AXI_ADDR_WIDTH => C_S_AXI_PDI_AP_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_PDI_AP_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_PDI_AP_MINSIZE, C_USE_WSTRB => C_S_AXI_PDI_AP_USE_WSTRB ) port map( Bus2IP_Addr => Bus2PDI_AP_Addr( C_S_AXI_PDI_AP_ADDR_WIDTH-1 downto 0 ), Bus2IP_BE => Bus2PDI_AP_BE( (C_S_AXI_PDI_AP_DATA_WIDTH/8)-1 downto 0 ), Bus2IP_CS => Bus2PDI_AP_CS( 0 downto 0 ), Bus2IP_Clk => Bus2PDI_AP_Clk, Bus2IP_Data => Bus2PDI_AP_Data( C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0 ), Bus2IP_RNW => Bus2PDI_AP_RNW, Bus2IP_RdCE => open, Bus2IP_Resetn => Bus2PDI_AP_Resetn, Bus2IP_WrCE => open, IP2Bus_Data => PDI_AP2Bus_Data( C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0 ), IP2Bus_Error => PDI_AP2Bus_Error, IP2Bus_RdAck => PDI_AP2Bus_RdAck, IP2Bus_WrAck => PDI_AP2Bus_WrAck, S_AXI_ACLK => S_AXI_PDI_AP_ACLK, S_AXI_ARADDR => S_AXI_PDI_AP_ARADDR( C_S_AXI_PDI_AP_ADDR_WIDTH-1 downto 0 ), S_AXI_ARESETN => S_AXI_PDI_AP_ARESETN, S_AXI_ARREADY => S_AXI_PDI_AP_ARREADY, S_AXI_ARVALID => S_AXI_PDI_AP_ARVALID, S_AXI_AWADDR => S_AXI_PDI_AP_AWADDR( C_S_AXI_PDI_AP_ADDR_WIDTH-1 downto 0 ), S_AXI_AWREADY => S_AXI_PDI_AP_AWREADY, S_AXI_AWVALID => S_AXI_PDI_AP_AWVALID, S_AXI_BREADY => S_AXI_PDI_AP_BREADY, S_AXI_BRESP => S_AXI_PDI_AP_BRESP, S_AXI_BVALID => S_AXI_PDI_AP_BVALID, S_AXI_RDATA => S_AXI_PDI_AP_RDATA( C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0 ), S_AXI_RREADY => S_AXI_PDI_AP_RREADY, S_AXI_RRESP => S_AXI_PDI_AP_RRESP, S_AXI_RVALID => S_AXI_PDI_AP_RVALID, S_AXI_WDATA => S_AXI_PDI_AP_WDATA( C_S_AXI_PDI_AP_DATA_WIDTH-1 downto 0 ), S_AXI_WREADY => S_AXI_PDI_AP_WREADY, S_AXI_WSTRB => S_AXI_PDI_AP_WSTRB( (C_S_AXI_PDI_AP_DATA_WIDTH/8)-1 downto 0 ), S_AXI_WVALID => S_AXI_PDI_AP_WVALID ); end generate genPdiAp; genApPdiLink : if C_GEN_PDI generate begin --ap_pcp assignments clkAp <= Bus2PDI_AP_Clk; Bus2PDI_AP_Reset <= not Bus2PDI_AP_Resetn; ap_writedata <= Bus2PDI_AP_Data; -- Bus2MAC_PKT_Data(7 downto 0) & Bus2MAC_PKT_Data(15 downto 8) & -- Bus2MAC_PKT_Data(23 downto 16) & Bus2MAC_PKT_Data(31 downto 24); ap_read <= Bus2PDI_AP_RNW; ap_write <= not Bus2PDI_AP_RNW; ap_chipselect <= Bus2PDI_AP_CS(0); ap_byteenable <= Bus2PDI_AP_BE; ap_address <= Bus2PDI_AP_Addr(14 downto 2); PDI_AP2Bus_Data <= ap_readdata; PDI_AP2Bus_RdAck <= ap_chipselect and ap_read and not ap_waitrequest; PDI_AP2Bus_WrAck <= ap_chipselect and ap_write and not ap_waitrequest; PDI_AP2Bus_Error <= '0'; end generate genApPdiLink; genSmpIo : if (C_GEN_SIMPLE_IO) generate begin SMP_IO_AXI_SINGLE_SLAVE : axi_lite_ipif generic map ( C_ARD_ADDR_RANGE_ARRAY => (C_SMP_PCP_BASE,C_SMP_PCP_HIGH), C_ARD_NUM_CE_ARRAY => (0=>1), C_DPHASE_TIMEOUT => C_S_AXI_SMP_PCP_DPHASE_TIMEOUT, C_FAMILY => C_FAMILY, C_S_AXI_ADDR_WIDTH => C_S_AXI_SMP_PCP_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_SMP_PCP_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_SMP_PCP_MINSIZE, C_USE_WSTRB => C_S_AXI_SMP_PCP_USE_WSTRB ) port map( Bus2IP_Addr => Bus2SMP_PCP_Addr( C_S_AXI_SMP_PCP_ADDR_WIDTH-1 downto 0 ), Bus2IP_BE => Bus2SMP_PCP_BE( (C_S_AXI_SMP_PCP_DATA_WIDTH/8)-1 downto 0 ), Bus2IP_CS => Bus2SMP_PCP_CS( 0 downto 0 ), Bus2IP_Clk => Bus2SMP_PCP_Clk, Bus2IP_Data => Bus2SMP_PCP_Data( C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0 ), Bus2IP_RNW => Bus2SMP_PCP_RNW, Bus2IP_RdCE => open, Bus2IP_Resetn => Bus2SMP_PCP_Resetn, Bus2IP_WrCE => open, IP2Bus_Data => SMP_PCP2Bus_Data( C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0 ), IP2Bus_Error => SMP_PCP2Bus_Error, IP2Bus_RdAck => SMP_PCP2Bus_RdAck, IP2Bus_WrAck => SMP_PCP2Bus_WrAck, S_AXI_ACLK => S_AXI_SMP_PCP_ACLK, S_AXI_ARADDR => S_AXI_SMP_PCP_ARADDR( C_S_AXI_SMP_PCP_ADDR_WIDTH-1 downto 0 ), S_AXI_ARESETN => S_AXI_SMP_PCP_ARESETN, S_AXI_ARREADY => S_AXI_SMP_PCP_ARREADY, S_AXI_ARVALID => S_AXI_SMP_PCP_ARVALID, S_AXI_AWADDR => S_AXI_SMP_PCP_AWADDR( C_S_AXI_SMP_PCP_ADDR_WIDTH-1 downto 0 ), S_AXI_AWREADY => S_AXI_SMP_PCP_AWREADY, S_AXI_AWVALID => S_AXI_SMP_PCP_AWVALID, S_AXI_BREADY => S_AXI_SMP_PCP_BREADY, S_AXI_BRESP => S_AXI_SMP_PCP_BRESP, S_AXI_BVALID => S_AXI_SMP_PCP_BVALID, S_AXI_RDATA => S_AXI_SMP_PCP_RDATA( C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0 ), S_AXI_RREADY => S_AXI_SMP_PCP_RREADY, S_AXI_RRESP => S_AXI_SMP_PCP_RRESP, S_AXI_RVALID => S_AXI_SMP_PCP_RVALID, S_AXI_WDATA => S_AXI_SMP_PCP_WDATA( C_S_AXI_SMP_PCP_DATA_WIDTH-1 downto 0 ), S_AXI_WREADY => S_AXI_SMP_PCP_WREADY, S_AXI_WSTRB => S_AXI_SMP_PCP_WSTRB( (C_S_AXI_SMP_PCP_DATA_WIDTH/8)-1 downto 0 ), S_AXI_WVALID => S_AXI_SMP_PCP_WVALID ); end generate genSmpIo; genSimpleIoSignals : if C_GEN_SIMPLE_IO generate begin --SMP_PCP assignments clkPcp <= Bus2SMP_PCP_Clk; Bus2SMP_PCP_Reset <= not Bus2SMP_PCP_Resetn; smp_writedata <= Bus2SMP_PCP_Data; smp_read <= Bus2SMP_PCP_RNW and Bus2SMP_PCP_CS(0); smp_write <= not Bus2SMP_PCP_RNW and Bus2SMP_PCP_CS(0); smp_chipselect <= Bus2SMP_PCP_CS(0); smp_byteenable <= Bus2SMP_PCP_BE; smp_address <= Bus2SMP_PCP_Addr(2); SMP_PCP2Bus_Data <= smp_readdata; SMP_PCP2Bus_RdAck <= smp_chipselect and smp_read and not smp_waitrequest; SMP_PCP2Bus_WrAck <= smp_chipselect and smp_write and not smp_waitrequest; SMP_PCP2Bus_Error <= '0'; end generate genSimpleIoSignals; oddr2_0 : if not C_INSTANCE_ODDR2 generate begin phy0_clk <= clk50; phy1_clk <= clk50; end generate oddr2_0; oddr2_1 : if C_INSTANCE_ODDR2 generate begin U10 : ODDR2 port map( C0 => clk50, C1 => NET38418, CE => VCC, D0 => VCC, D1 => GND, Q => phy0_clk, R => GND, S => GND ); U11 : ODDR2 port map( C0 => clk50, C1 => NET38470, CE => VCC, D0 => VCC, D1 => GND, Q => phy1_clk, R => GND, S => GND ); NET38470 <= not(clk50); NET38418 <= not(clk50); end generate oddr2_1; end struct;
gpl-2.0
systec-dk/openPOWERLINK_systec
Examples/ipcore/common/pdi/src/pdi_spi.vhd
3
13652
------------------------------------------------------------------------------- -- Parallel port (8/16bit) for PDI -- -- Copyright (C) 2010 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; entity pdi_spi is generic ( spiSize_g : integer := 8; cpol_g : boolean := false; cpha_g : boolean := false; spiBigEnd_g : boolean := false ); port ( -- SPI spi_clk : in std_logic; spi_sel : in std_logic; spi_miso : out std_logic; spi_mosi : in std_logic; -- clock for AP side ap_reset : in std_logic; ap_clk : in std_logic; -- Avalon Slave Interface for AP ap_chipselect : out std_logic; ap_read : out std_logic; ap_write : out std_logic; ap_byteenable : out std_logic_vector(3 DOWNTO 0); ap_address : out std_logic_vector(12 DOWNTO 0); ap_writedata : out std_logic_vector(31 DOWNTO 0); ap_readdata : in std_logic_vector(31 DOWNTO 0) ); end entity pdi_spi; architecture rtl of pdi_spi is --wake up command constant cmdWakeUp : std_logic_vector(7 downto 0) := x"03"; --0b00000011 constant cmdWakeUp1 : std_logic_vector(7 downto 0) := x"0A"; --0b00001010 constant cmdWakeUp2 : std_logic_vector(7 downto 0) := x"0C"; --0b00001100 constant cmdWakeUp3 : std_logic_vector(7 downto 0) := x"0F"; --0b00001111 --spi frame constants constant cmdHighaddr_c : std_logic_vector(2 downto 0) := "100"; constant cmdMidaddr_c : std_logic_vector(2 downto 0) := "101"; constant cmdWr_c : std_logic_vector(2 downto 0) := "110"; constant cmdRd_c : std_logic_vector(2 downto 0) := "111"; constant cmdWRSQ_c : std_logic_vector(2 downto 0) := "001"; constant cmdRDSQ_c : std_logic_vector(2 downto 0) := "010"; constant cmdLowaddr_c : std_logic_vector(2 downto 0) := "011"; constant cmdIdle_c : std_logic_vector(2 downto 0) := "000"; --pdi_spi control signals type fsm_t is (reset, reset1, reset2, reset3, idle, decode, waitwr, waitrd, wr, rd); signal fsm : fsm_t; signal addrReg : std_logic_vector(ap_address'left+2 downto 0); signal cmd : std_logic_vector(2 downto 0); signal highPriorLoad : std_logic; signal highPriorLoadVal : std_logic_vector(spiSize_g-1 downto 0); --spi core signals signal clk : std_logic; signal rst : std_logic; signal din : std_logic_vector(spiSize_g-1 downto 0); signal load : std_logic; signal dout : std_logic_vector(spiSize_g-1 downto 0); signal valid : std_logic; -- signal ap_byteenable_s : std_logic_vector(ap_byteenable'range); begin clk <= ap_clk; rst <= ap_reset; ap_chipselect <= '1' when fsm = wr or fsm = rd or fsm = waitrd else '0'; ap_write <= '1' when fsm = wr else '0'; ap_read <= '1' when fsm = waitrd or fsm = rd else '0'; ap_address <= addrReg(addrReg'left downto 2); ap_byteenable <= ap_byteenable_s; ap_byteenable_s <= --little endian "0001" when addrReg(1 downto 0) = "00" and spiBigEnd_g = false else "0010" when addrReg(1 downto 0) = "01" and spiBigEnd_g = false else "0100" when addrReg(1 downto 0) = "10" and spiBigEnd_g = false else "1000" when addrReg(1 downto 0) = "11" and spiBigEnd_g = false else --big endian --"0001" when addrReg(1 downto 0) = "11" and spiBigEnd_g = true else --"0010" when addrReg(1 downto 0) = "10" and spiBigEnd_g = true else --"0100" when addrReg(1 downto 0) = "01" and spiBigEnd_g = true else --"1000" when addrReg(1 downto 0) = "00" and spiBigEnd_g = true else "0000"; ap_writedata <= (dout & dout & dout & dout); din <= highPriorLoadVal when highPriorLoad = '1' else --load value that was just received ap_readdata( 7 downto 0) when ap_byteenable_s = "0001" else ap_readdata(15 downto 8) when ap_byteenable_s = "0010" else ap_readdata(23 downto 16) when ap_byteenable_s = "0100" else ap_readdata(31 downto 24) when ap_byteenable_s = "1000" else (others => '0'); load <= '1' when highPriorLoad = '1' else --load value that was just received '1' when fsm = rd else --load data from pdi to spi shift register '0'; cmd <= dout(dout'left downto dout'left-2); --get cmd pattern highPriorLoadVal <= not dout; --create inverse of received pattern thePdiSpiFsm : process(clk, rst) variable timeout : integer range 0 to 3; variable writes : integer range 0 to 32; variable reads : integer range 0 to 32; begin if rst = '1' then fsm <= reset; timeout := 0; writes := 0; reads := 0; addrReg <= (others => '0'); highPriorLoad <= '0'; elsif clk = '1' and clk'event then --default assignment highPriorLoad <= '0'; case fsm is when reset => fsm <= reset; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp then --wake up command (1/4) received fsm <= reset1; else --wake up command not decoded correctly fsm <= reset; end if; end if; when reset1 => fsm <= reset1; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp1 then --wake up command (2/4) sequence was correctly decoded! fsm <= reset2; else --wake up command not decoded correctly fsm <= reset; end if; end if; when reset2 => fsm <= reset2; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp2 then --wake up command (3/4) sequence was correctly decoded! fsm <= reset3; else --wake up command not decoded correctly fsm <= reset; end if; end if; when reset3 => fsm <= reset3; if valid = '1' then --load inverse pattern of received pattern highPriorLoad <= '1'; if dout = cmdWakeUp3 then --wake up command (4/4) sequence was correctly decoded! fsm <= idle; else --wake up command not decoded correctly fsm <= reset; end if; end if; when idle => if writes /= 0 then fsm <= waitwr; elsif reads /= 0 and valid = '1' then fsm <= waitrd; elsif valid = '1' then fsm <= decode; else fsm <= idle; end if; when decode => fsm <= idle; --default case cmd is when cmdHighaddr_c => addrReg(addrReg'left downto addrReg'left-4) <= dout(spiSize_g-4 downto 0); when cmdMidaddr_c => addrReg(addrReg'left-5 downto addrReg'left-9) <= dout(spiSize_g-4 downto 0); when cmdLowaddr_c => addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0); when cmdWr_c => addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0); fsm <= waitwr; writes := 1; when cmdRd_c => addrReg(addrReg'left-10 downto 0) <= dout(spiSize_g-4 downto 0); fsm <= waitrd; reads := 1; when cmdWRSQ_c => fsm <= waitwr; writes := conv_integer(dout(spiSize_g-4 downto 0)) + 1; --BYTES byte are written when cmdRDSQ_c => fsm <= waitrd; reads := conv_integer(dout(spiSize_g-4 downto 0)) + 1; --BYTES byte are read when cmdIdle_c => --don't interpret the command, inverse pattern and goto idle when others => --error, goto idle end case; when waitwr => --wait for data from spi master if valid = '1' then fsm <= wr; else fsm <= waitwr; end if; when waitrd => --spi master wants to read --wait for dpr to read if timeout = 3 then fsm <= rd; timeout := 0; else timeout := timeout + 1; fsm <= waitrd; end if; when wr => fsm <= idle; writes := writes - 1; addrReg <= addrReg + 1; when rd => fsm <= idle; reads := reads - 1; addrReg <= addrReg + 1; end case; end if; end process; theSpiCore : entity work.spi generic map ( frameSize_g => spiSize_g, cpol_g => cpol_g, cpha_g => cpha_g ) port map ( -- Control Interface clk => clk, rst => rst, din => din, load => load, dout => dout, valid => valid, -- SPI sck => spi_clk, ss => spi_sel, miso => spi_miso, mosi => spi_mosi ); end architecture rtl;
gpl-2.0
systec-dk/openPOWERLINK_systec
Examples/altera_nios2/TERASIC_DE2-115/design_nios2_directIO/sevsegEncode.vhd
10
902
LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY sevsegdec IS PORT ( d : IN STD_LOGIC_VECTOR (3 DOWNTO 0); seg_n : OUT STD_LOGIC_VECTOR (6 DOWNTO 0); seg : OUT STD_LOGIC_VECTOR (6 DOWNTO 0) ); END sevsegdec; ARCHITECTURE rtl of sevsegdec is SIGNAL seg_s : STD_LOGIC_VECTOR(6 DOWNTO 0); begin seg_n <= seg_s; seg <= not seg_s; with d select seg_s <= "1000000" when "0000", -- 0 "1111001" when "0001", -- 1 "0100100" when "0010", -- 2 "0110000" when "0011", -- 3 "0011001" when "0100", -- 4 "0010010" when "0101", -- 5 "0000010" when "0110", -- 6 "1111000" when "0111", -- 7 "0000000" when "1000", -- 8 "0010000" when "1001", -- 9 "0001000" when "1010", -- A "0000011" when "1011", -- b "1000110" when "1100", -- C "0100001" when "1101", -- d "0000110" when "1110", -- E "0001110" when "1111"; -- F END rtl;
gpl-2.0
systec-dk/openPOWERLINK_systec
Examples/ipcore/common/openmac/src/openMAC_Ethernet.vhd
3
38357
------------------------------------------------------------------------------- -- Entity : openMAC_Ethernet ------------------------------------------------------------------------------- -- -- (c) B&R, 2012 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity openmac_ethernet is generic( genSmiIO : boolean := true; gNumSmi : integer := 2; gen2ndCmpTimer_g : boolean := false; genPulse2ndCmpTimer_g : boolean := true; pulseWidth2ndCmpTimer_g : integer := 9; simulate : boolean := false; dma_highadr_g : integer := 31; m_data_width_g : integer := 16; m_burstcount_width_g : integer := 4; m_burstcount_const_g : boolean := true; m_tx_fifo_size_g : integer := 16; m_rx_fifo_size_g : integer := 16; m_tx_burst_size_g : integer := 16; m_rx_burst_size_g : integer := 16; endian_g : string := "little"; genPhyActLed_g : boolean := false; gen_dma_observer_g : boolean := true; useIntPktBuf_g : boolean := false; useRxIntPktBuf_g : boolean := false; iPktBufSize_g : integer := 1024; iPktBufSizeLog2_g : integer := 10; genHub_g : boolean := false; useRmii_g : boolean := true ); port( clk : in std_logic; clkx2 : in std_logic; m_clk : in std_logic; m_readdatavalid : in std_logic; m_waitrequest : in std_logic; phy0_rx_dv : in std_logic; phy0_rx_err : in std_logic; phy0_smi_dio_I : in std_logic; phy1_rx_dv : in std_logic; phy1_rx_err : in std_logic; phy1_smi_dio_I : in std_logic; phyMii0_rx_clk : in std_logic; phyMii0_rx_dv : in std_logic; phyMii0_rx_err : in std_logic; phyMii0_tx_clk : in std_logic; phyMii1_rx_clk : in std_logic; phyMii1_rx_dv : in std_logic; phyMii1_rx_err : in std_logic; phyMii1_tx_clk : in std_logic; phy_smi_dio_I : in std_logic; pkt_chipselect : in std_logic; pkt_clk : in std_logic; pkt_read : in std_logic; pkt_write : in std_logic; rst : in std_logic; s_chipselect : in std_logic; s_read : in std_logic; s_write : in std_logic; t_chipselect : in std_logic; t_read : in std_logic; t_write : in std_logic; m_readdata : in std_logic_vector(m_data_width_g-1 downto 0) := (others => '0'); phy0_rx_dat : in std_logic_vector(1 downto 0); phy1_rx_dat : in std_logic_vector(1 downto 0); phyMii0_rx_dat : in std_logic_vector(3 downto 0); phyMii1_rx_dat : in std_logic_vector(3 downto 0); pkt_address : in std_logic_vector(iPktBufSizeLog2_g-3 downto 0) := (others => '0'); pkt_byteenable : in std_logic_vector(3 downto 0); pkt_writedata : in std_logic_vector(31 downto 0); s_address : in std_logic_vector(11 downto 0); s_byteenable : in std_logic_vector(1 downto 0); s_writedata : in std_logic_vector(15 downto 0); t_address : in std_logic_vector(1 downto 0); t_byteenable : in std_logic_vector(3 downto 0); t_writedata : in std_logic_vector(31 downto 0); act_led : out std_logic; m_read : out std_logic; m_write : out std_logic; mac_rx_irq : out std_logic; mac_tx_irq : out std_logic; phy0_rst_n : out std_logic; phy0_smi_clk : out std_logic; phy0_smi_dio_O : out std_logic; phy0_smi_dio_T : out std_logic; phy0_tx_en : out std_logic; phy1_rst_n : out std_logic; phy1_smi_clk : out std_logic; phy1_smi_dio_O : out std_logic; phy1_smi_dio_T : out std_logic; phy1_tx_en : out std_logic; phyMii0_tx_en : out std_logic; phyMii1_tx_en : out std_logic; phy_rst_n : out std_logic; phy_smi_clk : out std_logic; phy_smi_dio_O : out std_logic; phy_smi_dio_T : out std_logic; pkt_waitrequest : out std_logic; s_irq : out std_logic; s_waitrequest : out std_logic; t_irq : out std_logic; t_tog : out std_logic; t_waitrequest : out std_logic; m_address : out std_logic_vector(dma_highadr_g downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(m_data_width_g/8-1 downto 0); m_writedata : out std_logic_vector(m_data_width_g-1 downto 0); phy0_tx_dat : out std_logic_vector(1 downto 0); phy1_tx_dat : out std_logic_vector(1 downto 0); phyMii0_tx_dat : out std_logic_vector(3 downto 0); phyMii1_tx_dat : out std_logic_vector(3 downto 0); pkt_readdata : out std_logic_vector(31 downto 0); s_readdata : out std_logic_vector(15 downto 0); t_readdata : out std_logic_vector(31 downto 0); phy0_smi_dio : inout std_logic := '1'; phy1_smi_dio : inout std_logic := '1'; phy_smi_dio : inout std_logic := '1' ); end openmac_ethernet; architecture rtl of openmac_ethernet is ---- Component declarations ----- component addr_decoder generic( addrWidth_g : integer := 32; baseaddr_g : integer := 4096; highaddr_g : integer := 8191 ); port ( addr : in std_logic_vector(addrWidth_g-1 downto 0); selin : in std_logic; selout : out std_logic ); end component; component openFILTER generic( bypassFilter : boolean := false ); port ( Clk : in std_logic; Rst : in std_logic; RxDatIn : in std_logic_vector(1 downto 0); RxDvIn : in std_logic; RxErr : in std_logic := '0'; TxDatIn : in std_logic_vector(1 downto 0); TxEnIn : in std_logic; nCheckShortFrames : in std_logic := '0'; RxDatOut : out std_logic_vector(1 downto 0); RxDvOut : out std_logic; TxDatOut : out std_logic_vector(1 downto 0); TxEnOut : out std_logic ); end component; component OpenHUB generic( Ports : integer := 3 ); port ( Clk : in std_logic; Rst : in std_logic; RxDat0 : in std_logic_vector(Ports downto 1); RxDat1 : in std_logic_vector(Ports downto 1); RxDv : in std_logic_vector(Ports downto 1); TransmitMask : in std_logic_vector(Ports downto 1) := (others => '1'); internPort : in integer range 1 to ports := 1; ReceivePort : out integer range 0 to ports; TxDat0 : out std_logic_vector(Ports downto 1); TxDat1 : out std_logic_vector(Ports downto 1); TxEn : out std_logic_vector(Ports downto 1) ); end component; component OpenMAC generic( HighAdr : integer := 16; Simulate : boolean := false; Timer : boolean := false; TxDel : boolean := false; TxSyncOn : boolean := false ); port ( Clk : in std_logic; Dma_Ack : in std_logic; Dma_Din : in std_logic_vector(15 downto 0); Hub_Rx : in std_logic_vector(1 downto 0) := "00"; Rst : in std_logic; S_Adr : in std_logic_vector(10 downto 1); S_Din : in std_logic_vector(15 downto 0); S_nBe : in std_logic_vector(1 downto 0); Sel_Cont : in std_logic := '0'; Sel_Ram : in std_logic := '0'; rCrs_Dv : in std_logic; rRx_Dat : in std_logic_vector(1 downto 0); s_nWr : in std_logic := '0'; Dma_Addr : out std_logic_vector(HighAdr downto 1); Dma_Dout : out std_logic_vector(15 downto 0); Dma_Rd_Done : out std_logic; Dma_Rd_Len : out std_logic_vector(11 downto 0); Dma_Req : out std_logic; Dma_Req_Overflow : out std_logic; Dma_Rw : out std_logic; Dma_Wr_Done : out std_logic; Mac_Zeit : out std_logic_vector(31 downto 0); S_Dout : out std_logic_vector(15 downto 0); nRx_Int : out std_logic; nTx_BegInt : out std_logic; nTx_Int : out std_logic; rTx_Dat : out std_logic_vector(1 downto 0); rTx_En : out std_logic ); end component; component openMAC_cmp generic( gen2ndCmpTimer_g : BOOLEAN := false; genPulse2ndCmpTimer_g : BOOLEAN := false; mac_time_width_g : INTEGER := 32; pulseWidth2ndCmpTimer_g : INTEGER := 9 ); port ( addr : in std_logic_vector(1 downto 0); clk : in std_logic; din : in std_logic_vector(31 downto 0); mac_time : in std_logic_vector(mac_time_width_g-1 downto 0); rst : in std_logic; wr : in std_logic; dout : out std_logic_vector(31 downto 0); irq : out std_logic; toggle : out std_logic ); end component; component openMAC_DMAmaster generic( dma_highadr_g : integer := 31; fifo_data_width_g : integer := 16; gen_dma_observer_g : boolean := true; gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; m_burstcount_const_g : boolean := true; m_burstcount_width_g : integer := 4; m_rx_burst_size_g : integer := 16; m_tx_burst_size_g : integer := 16; rx_fifo_word_size_g : integer := 32; simulate : boolean := false; tx_fifo_word_size_g : integer := 32 ); port ( dma_addr : in std_logic_vector(dma_highadr_g downto 1); dma_clk : in std_logic; dma_dout : in std_logic_vector(15 downto 0); dma_rd_len : in std_logic_vector(11 downto 0); dma_req_overflow : in std_logic; dma_req_rd : in std_logic; dma_req_wr : in std_logic; m_clk : in std_logic; m_readdata : in std_logic_vector(fifo_data_width_g-1 downto 0); m_readdatavalid : in std_logic; m_waitrequest : in std_logic; mac_rx_off : in std_logic; mac_tx_off : in std_logic; rst : in std_logic; dma_ack_rd : out std_logic; dma_ack_wr : out std_logic; dma_din : out std_logic_vector(15 downto 0); dma_rd_err : out std_logic; dma_wr_err : out std_logic; m_address : out std_logic_vector(dma_highadr_g downto 0); m_burstcount : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : out std_logic_vector(m_burstcount_width_g-1 downto 0); m_byteenable : out std_logic_vector(fifo_data_width_g/8-1 downto 0); m_read : out std_logic; m_write : out std_logic; m_writedata : out std_logic_vector(fifo_data_width_g-1 downto 0) ); end component; component OpenMAC_DPRpackets generic( memSizeLOG2_g : integer := 10; memSize_g : integer := 1024 ); port ( address_a : in std_logic_vector(memSizeLOG2_g-2 downto 0); address_b : in std_logic_vector(memSizeLOG2_g-3 downto 0); byteena_a : in std_logic_vector(1 downto 0) := (others => '1'); byteena_b : in std_logic_vector(3 downto 0) := (others => '1'); clock_a : in std_logic := '1'; clock_b : in std_logic; data_a : in std_logic_vector(15 downto 0); data_b : in std_logic_vector(31 downto 0); rden_a : in std_logic := '1'; rden_b : in std_logic := '1'; wren_a : in std_logic := '0'; wren_b : in std_logic := '0'; q_a : out std_logic_vector(15 downto 0); q_b : out std_logic_vector(31 downto 0) ); end component; component OpenMAC_MII port ( Addr : in std_logic_vector(2 downto 0); Clk : in std_logic; Data_In : in std_logic_vector(15 downto 0); Mii_Di : in std_logic; Rst : in std_logic; Sel : in std_logic; nBe : in std_logic_vector(1 downto 0); nWr : in std_logic; Data_Out : out std_logic_vector(15 downto 0); Mii_Clk : out std_logic; Mii_Do : out std_logic; Mii_Doe : out std_logic; nResetOut : out std_logic ); end component; component OpenMAC_phyAct generic( iBlinkFreq_g : integer := 6 ); port ( clk : in std_logic; rst : in std_logic; rx_dv : in std_logic; tx_en : in std_logic; act_led : out std_logic ); end component; component req_ack generic( ack_delay_g : integer := 1; zero_delay_g : boolean := false ); port ( clk : in std_logic; enable : in std_logic; rst : in std_logic; ack : out std_logic ); end component; component rmii2mii port ( clk50 : in std_logic; mRxClk : in std_logic; mRxDat : in std_logic_vector(3 downto 0); mRxDv : in std_logic; mRxEr : in std_logic; mTxClk : in std_logic; rTxDat : in std_logic_vector(1 downto 0); rTxEn : in std_logic; rst : in std_logic; mTxDat : out std_logic_vector(3 downto 0); mTxEn : out std_logic; rRxDat : out std_logic_vector(1 downto 0); rRxDv : out std_logic; rRxEr : out std_logic ); end component; ---- Architecture declarations ----- --constants for packet dma master constant gen_tx_fifo_c : boolean := not useIntPktBuf_g; constant gen_rx_fifo_c : boolean := not(useIntPktBuf_g and useRxIntPktBuf_g); constant fifo_data_width_c : integer := m_data_width_g; constant rx_fifo_word_size_c : integer := m_rx_fifo_size_g; --set value power of 2 constant tx_fifo_word_size_c : integer := m_tx_fifo_size_g; --set value power of 2 ---- Constants ----- constant VCC_CONSTANT : std_logic := '1'; ---- Signal declarations used on the diagram ---- signal cmp_rd : std_logic; signal cmp_rd_ack : std_logic; signal cmp_wr : std_logic; signal cmp_wr_ack : std_logic; signal dmaErr_sel : std_logic; signal dma_ack : std_logic; signal dma_ack_rd_mst : std_logic; signal dma_ack_read : std_logic; signal dma_ack_rw : std_logic; signal dma_ack_write : std_logic; signal dma_rd_err : std_logic; signal dma_req : std_logic; signal dma_req_overflow : std_logic; signal dma_req_read : std_logic; signal dma_req_write : std_logic; signal dma_rw : std_logic; signal dma_wr_err : std_logic; signal flt0_rx_dv : std_logic; signal flt0_tx_en : std_logic; signal flt1_rx_dv : std_logic; signal flt1_tx_en : std_logic; signal hub_intern_port : integer; signal hub_rx_port : integer; signal irqTable_sel : std_logic; signal mac_rx_dv : std_logic; signal mac_rx_irq_s : std_logic; signal mac_rx_irq_s_n : std_logic; signal mac_rx_off : std_logic; signal mac_selcont : std_logic; signal mac_selfilter : std_logic; signal mac_selram : std_logic; signal mac_tx_en : std_logic; signal mac_tx_irq_s : std_logic; signal mac_tx_irq_s_n : std_logic; signal mac_tx_off : std_logic; signal mac_write : std_logic; signal mac_write_n : std_logic; signal phy0_rx_dv_s : std_logic; signal phy0_rx_err_s : std_logic; signal phy0_tx_en_s : std_logic; signal phy1_rx_dv_s : std_logic; signal phy1_rx_err_s : std_logic; signal phy1_tx_en_s : std_logic; signal pkt_read_ack : std_logic; signal pkt_write_ack : std_logic; signal read_a : std_logic; signal read_b : std_logic; signal smi_clk : std_logic; signal smi_di_s : std_logic; signal smi_doe_s : std_logic; signal smi_doe_s_n : std_logic; signal smi_do_s : std_logic; signal smi_rst_n : std_logic; signal smi_sel : std_logic; signal smi_write : std_logic; signal smi_write_n : std_logic; signal s_rd : std_logic; signal s_rd_ack : std_logic; signal s_wr : std_logic; signal s_wr_ack : std_logic; signal toggle : std_logic; signal VCC : std_logic; signal write_a : std_logic; signal write_b : std_logic; signal dma_addr : std_logic_vector (dma_highadr_g downto 1); signal dma_addr_s : std_logic_vector (iPktBufSizeLog2_g-1 downto 1); signal dma_be : std_logic_vector (1 downto 0); signal dma_din : std_logic_vector (15 downto 0); signal dma_din_mst : std_logic_vector (15 downto 0); signal dma_din_s : std_logic_vector (15 downto 0); signal dma_dout : std_logic_vector (15 downto 0); signal dma_dout_s : std_logic_vector (15 downto 0); signal dma_rd_len : std_logic_vector (11 downto 0); signal flt0_rx_dat : std_logic_vector (1 downto 0); signal flt0_tx_dat : std_logic_vector (1 downto 0); signal flt1_rx_dat : std_logic_vector (1 downto 0); signal flt1_tx_dat : std_logic_vector (1 downto 0); signal hub_rx : std_logic_vector (1 downto 0); signal hub_rx_dat0 : std_logic_vector (3 downto 1); signal hub_rx_dat1 : std_logic_vector (3 downto 1); signal hub_rx_dv : std_logic_vector (3 downto 1); signal hub_tx_dat0 : std_logic_vector (3 downto 1); signal hub_tx_dat1 : std_logic_vector (3 downto 1); signal hub_tx_en : std_logic_vector (3 downto 1); signal hub_tx_msk : std_logic_vector (3 downto 1); signal irqTable : std_logic_vector (15 downto 0); signal mac_addr : std_logic_vector (10 downto 1); signal mac_be : std_logic_vector (1 downto 0); signal mac_be_n : std_logic_vector (1 downto 0); signal mac_din : std_logic_vector (15 downto 0); signal mac_dout : std_logic_vector (15 downto 0); signal mac_rx_dat : std_logic_vector (1 downto 0); signal mac_time : std_logic_vector (31 downto 0); signal mac_tx_dat : std_logic_vector (1 downto 0); signal phy0_rx_dat_s : std_logic_vector (1 downto 0); signal phy0_tx_dat_s : std_logic_vector (1 downto 0); signal phy1_rx_dat_s : std_logic_vector (1 downto 0); signal phy1_tx_dat_s : std_logic_vector (1 downto 0); signal smi_addr : std_logic_vector (2 downto 0); signal smi_be : std_logic_vector (1 downto 0); signal smi_be_n : std_logic_vector (1 downto 0); signal smi_din : std_logic_vector (15 downto 0); signal smi_dout : std_logic_vector (15 downto 0); signal s_address_s : std_logic_vector (s_address'length downto 0); signal t_readdata_s : std_logic_vector (31 downto 0); signal t_writedata_s : std_logic_vector (31 downto 0); begin ---- User Signal Assignments ---- --endian conversion t_writedata_s <= t_writedata(7 downto 0) & t_writedata(15 downto 8) & t_writedata(23 downto 16) & t_writedata(31 downto 24) when endian_g = "big" else t_writedata; t_readdata <= t_readdata_s(7 downto 0) & t_readdata_s(15 downto 8) & t_readdata_s(23 downto 16) & t_readdata_s(31 downto 24) when endian_g = "big" else t_readdata_s; --assign address bus and be to openMA mac_addr <= s_address(9 downto 0); mac_be <= s_byteenable; --convert word into byte addresses s_address_s <= s_address & '0'; smi_addr <= s_address(2 downto 0); smi_be <= s_byteenable; --assign output data to readdata s_readdata <= mac_dout when (mac_selram = '1' or mac_selcont = '1') and endian_g = "little" else mac_dout when (mac_selram = '1' or mac_selcont = '1') and endian_g = "big" and s_byteenable /= "11" else mac_dout(7 downto 0) & mac_dout(15 downto 8) when (mac_selram = '1' or mac_selcont = '1') and endian_g = "big" else --and s_byteenable = "11" smi_dout when smi_sel = '1' and endian_g = "little" else smi_dout when smi_sel = '1' and endian_g = "big" and s_byteenable /= "11" else smi_dout(7 downto 0) & smi_dout(15 downto 8) when smi_sel = '1' and endian_g = "big" else --and s_byteenable = "11" irqTable when irqTable_sel = '1' and endian_g = "little" else irqTable(7 downto 0) & irqTable(15 downto 8) when irqTable_sel = '1' and endian_g = "big" else (8 => dma_rd_err, 0 => dma_wr_err, others => '0') when dmaErr_sel = '1' and endian_g = "little" else (8 => dma_rd_err, 0 => dma_wr_err, others => '0') when dmaErr_sel = '1' and endian_g = "big" else (others => '0'); --assign writedata to input data mac_din <= s_writedata when endian_g = "little" or (endian_g = "big" and s_byteenable /= "11") else s_writedata(7 downto 0) & s_writedata(15 downto 8); --when endian_g = "big" and s_byteenable = "11" smi_din <= s_writedata when endian_g = "little" or (endian_g = "big" and s_byteenable /= "11") else s_writedata(7 downto 0) & s_writedata(15 downto 8); --when endian_g = "big" and s_byteenable = "11" ---- Component instantiations ---- THE_MAC_TIME_CMP : openMAC_cmp generic map ( gen2ndCmpTimer_g => gen2ndCmpTimer_g, genPulse2ndCmpTimer_g => genPulse2ndCmpTimer_g, mac_time_width_g => 32, pulseWidth2ndCmpTimer_g => pulseWidth2ndCmpTimer_g ) port map( addr => t_address, clk => clk, din => t_writedata_s, dout => t_readdata_s, irq => t_irq, mac_time => mac_time( 31 downto 0 ), rst => rst, toggle => toggle, wr => cmp_wr ); THE_OPENMAC : OpenMAC generic map ( HighAdr => dma_highadr_g, Simulate => simulate, Timer => true, TxDel => true, TxSyncOn => true ) port map( Clk => clk, Dma_Ack => dma_ack, Dma_Addr => dma_addr( dma_highadr_g downto 1 ), Dma_Din => dma_din, Dma_Dout => dma_dout, Dma_Rd_Done => mac_tx_off, Dma_Rd_Len => dma_rd_len, Dma_Req => dma_req, Dma_Req_Overflow => dma_req_overflow, Dma_Rw => dma_rw, Dma_Wr_Done => mac_rx_off, Hub_Rx => hub_rx, Mac_Zeit => mac_time, Rst => rst, S_Adr => mac_addr, S_Din => mac_din, S_Dout => mac_dout, S_nBe => mac_be_n, Sel_Cont => mac_selcont, Sel_Ram => mac_selram, nRx_Int => mac_rx_irq_s_n, nTx_Int => mac_tx_irq_s_n, rCrs_Dv => mac_rx_dv, rRx_Dat => mac_rx_dat, rTx_Dat => mac_tx_dat, rTx_En => mac_tx_en, s_nWr => mac_write_n ); THE_PHY_MGMT : OpenMAC_MII port map( Addr => smi_addr, Clk => clk, Data_In => smi_din, Data_Out => smi_dout, Mii_Clk => smi_clk, Mii_Di => smi_di_s, Mii_Do => smi_do_s, Mii_Doe => smi_doe_s_n, Rst => rst, Sel => smi_sel, nBe => smi_be_n, nResetOut => smi_rst_n, nWr => smi_write_n ); mac_rx_irq_s <= not(mac_rx_irq_s_n); s_irq <= mac_tx_irq_s or mac_rx_irq_s; mac_write_n <= not(mac_write); mac_be_n(1) <= not(mac_be(1)); mac_be_n(0) <= not(mac_be(0)); smi_doe_s <= not(smi_doe_s_n); smi_write_n <= not(smi_write); smi_be_n(1) <= not(smi_be(1)); smi_be_n(0) <= not(smi_be(0)); s_wr <= s_write and s_chipselect; irqTable(0) <= mac_tx_irq_s; irqTable(1) <= mac_rx_irq_s; mac_write <= s_write; smi_write <= s_write; cmp_wr <= t_write and t_chipselect; dma_req_write <= not(dma_rw) and dma_req; dma_ack <= dma_ack_write or dma_ack_read; s_rd <= s_read and s_chipselect; dma_req_read <= dma_rw and dma_req; t_waitrequest <= not(cmp_wr_ack or cmp_rd_ack); cmp_rd <= t_read and t_chipselect; s_waitrequest <= not(s_rd_ack or s_wr_ack); mac_tx_irq_s <= not(mac_tx_irq_s_n); addrdec0 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0000#, highaddr_g => 16#03FF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selcont ); addrdec1 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0FFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selram ); addrdec2 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#0800#, highaddr_g => 16#0BFF# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => mac_selfilter ); addrdec3 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1000#, highaddr_g => 16#100F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => smi_sel ); addrdec4 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1010#, highaddr_g => 16#101F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => irqTable_sel ); addrdec5 : addr_decoder generic map ( addrWidth_g => s_address'length+1, baseaddr_g => 16#1020#, highaddr_g => 16#102F# ) port map( addr => s_address_s( s_address'length downto 0 ), selin => s_chipselect, selout => dmaErr_sel ); regack0 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => s_wr_ack, clk => clk, enable => s_wr, rst => rst ); regack1 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => s_rd_ack, clk => clk, enable => s_rd, rst => rst ); regack2 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => false ) port map( ack => cmp_rd_ack, clk => clk, enable => cmp_rd, rst => rst ); regack3 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => cmp_wr_ack, clk => clk, enable => cmp_wr, rst => rst ); ---- Power , ground assignment ---- VCC <= VCC_CONSTANT; dma_be(1) <= VCC; dma_be(0) <= VCC; ---- Terminal assignment ---- -- Output\buffer terminals mac_rx_irq <= mac_rx_irq_s; mac_tx_irq <= mac_tx_irq_s; t_tog <= toggle; ---- Generate statements ---- genPhyActLed : if genPhyActLed_g generate begin THE_PHY_ACT : OpenMAC_phyAct generic map ( iBlinkFreq_g => 6 ) port map( act_led => act_led, clk => clk, rst => rst, rx_dv => mac_rx_dv, tx_en => mac_tx_en ); end generate genPhyActLed; genHub : if genHub_g generate begin THE_OPENFILTER0 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => flt0_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => flt0_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => flt0_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => flt0_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); THE_OPENFILTER1 : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy1_rx_dat_s, RxDatOut => flt1_rx_dat, RxDvIn => phy1_rx_dv_s, RxDvOut => flt1_rx_dv, RxErr => phy1_rx_err_s, TxDatIn => flt1_tx_dat, TxDatOut => phy1_tx_dat_s, TxEnIn => flt1_tx_en, TxEnOut => phy1_tx_en_s, nCheckShortFrames => VCC ); THE_OPENHUB : OpenHUB generic map ( Ports => 3 ) port map( Clk => clk, ReceivePort => hub_rx_port, Rst => rst, RxDat0 => hub_rx_dat0( 3 downto 1 ), RxDat1 => hub_rx_dat1( 3 downto 1 ), RxDv => hub_rx_dv( 3 downto 1 ), TransmitMask => hub_tx_msk( 3 downto 1 ), TxDat0 => hub_tx_dat0( 3 downto 1 ), TxDat1 => hub_tx_dat1( 3 downto 1 ), TxEn => hub_tx_en( 3 downto 1 ), internPort => hub_intern_port ); --mac tx to hub rx hub_rx_dv(1) <= mac_tx_en; hub_rx_dat0(1) <= mac_tx_dat(0); hub_rx_dat1(1) <= mac_tx_dat(1); --hub tx to mac rx mac_rx_dv <= hub_tx_en(1); mac_rx_dat(0) <= hub_tx_dat0(1); mac_rx_dat(1) <= hub_tx_dat1(1); --filter 0 to hub rx hub_rx_dv(2) <= flt0_rx_dv; hub_rx_dat0(2) <= flt0_rx_dat(0); hub_rx_dat1(2) <= flt0_rx_dat(1); --hub tx to filter 0 flt0_tx_en <= hub_tx_en(2); flt0_tx_dat(0) <= hub_tx_dat0(2); flt0_tx_dat(1) <= hub_tx_dat1(2); --filter 1 to hub rx hub_rx_dv(3) <= flt1_rx_dv; hub_rx_dat0(3) <= flt1_rx_dat(0); hub_rx_dat1(3) <= flt1_rx_dat(1); --hub tx to filter 1 flt1_tx_en <= hub_tx_en(3); flt1_tx_dat(0) <= hub_tx_dat0(3); flt1_tx_dat(1) <= hub_tx_dat1(3); --convert to std_logic_vector hub_rx <= conv_std_logic_vector(hub_rx_port,hub_rx'length); --set intern port hub_intern_port <= 1; --set tx mask hub_tx_msk <= (others => '1'); end generate genHub; genRmii2Mii0 : if not useRmii_g generate begin THE_MII2RMII0 : rmii2mii port map( clk50 => clk, mRxClk => phyMii0_rx_clk, mRxDat => phyMii0_rx_dat, mRxDv => phyMii0_rx_dv, mRxEr => phyMii0_rx_err, mTxClk => phyMii0_tx_clk, mTxDat => phyMii0_tx_dat, mTxEn => phyMii0_tx_en, rRxDat => phy0_rx_dat_s, rRxDv => phy0_rx_dv_s, rRxEr => phy0_rx_err_s, rTxDat => phy0_tx_dat_s, rTxEn => phy0_tx_en_s, rst => rst ); end generate genRmii2Mii0; genRmii2Mii1 : if not useRmii_g and genHub_g generate begin THE_MII2RMII1 : rmii2mii port map( clk50 => clk, mRxClk => phyMii1_rx_clk, mRxDat => phyMii1_rx_dat, mRxDv => phyMii1_rx_dv, mRxEr => phyMii1_rx_err, mTxClk => phyMii1_tx_clk, mTxDat => phyMii1_tx_dat, mTxEn => phyMii1_tx_en, rRxDat => phy1_rx_dat_s, rRxDv => phy1_rx_dv_s, rRxEr => phy1_rx_err_s, rTxDat => phy1_tx_dat_s, rTxEn => phy1_tx_en_s, rst => rst ); end generate genRmii2Mii1; genRmii100MegFFs : if useRmii_g generate begin latchRxSignals : process (clk, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_rx_dv_s <= '0'; phy0_rx_err_s <= '0'; phy0_rx_dat_s <= (others => '0'); phy1_rx_dv_s <= '0'; phy1_rx_err_s <= '0'; phy1_rx_dat_s <= (others => '0'); elsif clk = '1' and clk'event then phy0_rx_dv_s <= phy0_rx_dv; phy0_rx_err_s <= phy0_rx_err; phy0_rx_dat_s <= phy0_rx_dat; phy1_rx_dv_s <= phy1_rx_dv; phy1_rx_err_s <= phy1_rx_err; phy1_rx_dat_s <= phy1_rx_dat; end if; end process; latchTxSignals : process (clkx2, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status begin if rst = '1' then phy0_tx_en <= '0'; phy0_tx_dat <= (others => '0'); phy1_tx_en <= '0'; phy1_tx_dat <= (others => '0'); elsif clkx2 = '0' and clkx2'event then phy0_tx_en <= phy0_tx_en_s; phy0_tx_dat <= phy0_tx_dat_s; phy1_tx_en <= phy1_tx_en_s; phy1_tx_dat <= phy1_tx_dat_s; end if; end process; end generate genRmii100MegFFs; genOneFilter : if genHub_g = false generate begin THE_OPENFILTER : openFILTER generic map ( bypassFilter => not useRmii_g ) port map( Clk => clk, Rst => rst, RxDatIn => phy0_rx_dat_s, RxDatOut => mac_rx_dat, RxDvIn => phy0_rx_dv_s, RxDvOut => mac_rx_dv, RxErr => phy0_rx_err_s, TxDatIn => mac_tx_dat, TxDatOut => phy0_tx_dat_s, TxEnIn => mac_tx_en, TxEnOut => phy0_tx_en_s, nCheckShortFrames => VCC ); end generate genOneFilter; genPktBuf : if useIntPktBuf_g = TRUE generate begin g5 : if useRxIntPktBuf_g = TRUE generate begin dma_ack_write <= dma_ack_rw; end generate g5; THE_MAC_PKT_BUF : OpenMAC_DPRpackets generic map ( memSizeLOG2_g => iPktBufSizeLog2_g, memSize_g => iPktBufSize_g ) port map( address_a => dma_addr_s( iPktBufSizeLog2_g-1 downto 1 ), address_b => pkt_address( iPktBufSizeLog2_g-3 downto 0 ), byteena_a => dma_be, byteena_b => pkt_byteenable, clock_a => clk, clock_b => pkt_clk, data_a => dma_dout_s, data_b => pkt_writedata, q_a => dma_din_s, q_b => pkt_readdata, rden_a => read_a, rden_b => read_b, wren_a => write_a, wren_b => write_b ); read_b <= pkt_read and pkt_chipselect; write_b <= pkt_write and pkt_chipselect; read_a <= dma_req_read; dma_ack_read <= dma_ack_rw; pkt_waitrequest <= not(pkt_write_ack or pkt_read_ack); regack4 : req_ack generic map ( ack_delay_g => 1, zero_delay_g => true ) port map( ack => pkt_write_ack, clk => pkt_clk, enable => write_b, rst => rst ); regack5 : req_ack generic map ( ack_delay_g => 2, zero_delay_g => false ) port map( ack => pkt_read_ack, clk => pkt_clk, enable => read_b, rst => rst ); --endian conversion dma_dout_s <= dma_dout; dma_din <= dma_din_s; dma_addr_s(iPktBufSizeLog2_g-1 downto 1) <= dma_addr(iPktBufSizeLog2_g-1 downto 1); --write DPR from port A only if RX data is written to DPR write_a <= dma_req_write when useRxIntPktBuf_g = TRUE else '0'; genAck : process (clk, rst) -- Section above this comment may be overwritten according to -- "Update sensitivity list automatically" option status -- declarations begin if rst = '1' then dma_ack_rw <= '0'; elsif clk = '1' and clk'event then if dma_req = '1' and dma_ack_rw = '0' then dma_ack_rw <= '1'; else dma_ack_rw <= '0'; end if; end if; end process; end generate genPktBuf; genDmaMaster : if not useIntPktBuf_g or (useIntPktBuf_g and not useRxIntPktBuf_g) generate begin genReadDmaMaster : if not useIntPktBuf_g generate begin dma_ack_read <= dma_ack_rd_mst; U69_array: for U69_array_index in 0 to (dma_din'length - 1) generate U69_array : dma_din(U69_array_index+dma_din'Low) <= dma_din_mst(U69_array_index+dma_din_mst'Low); end generate; end generate genReadDmaMaster; THE_MAC_DMA_MASTER : openMAC_DMAmaster generic map ( dma_highadr_g => dma_highadr_g, fifo_data_width_g => fifo_data_width_c, gen_dma_observer_g => gen_dma_observer_g, gen_rx_fifo_g => gen_rx_fifo_c, gen_tx_fifo_g => gen_tx_fifo_c, m_burstcount_const_g => m_burstcount_const_g, m_burstcount_width_g => m_burstcount'length, m_rx_burst_size_g => m_rx_burst_size_g, m_tx_burst_size_g => m_tx_burst_size_g, rx_fifo_word_size_g => rx_fifo_word_size_c, simulate => simulate, tx_fifo_word_size_g => tx_fifo_word_size_c ) port map( dma_ack_rd => dma_ack_rd_mst, dma_ack_wr => dma_ack_write, dma_addr => dma_addr( dma_highadr_g downto 1 ), dma_clk => clk, dma_din => dma_din_mst, dma_dout => dma_dout, dma_rd_err => dma_rd_err, dma_rd_len => dma_rd_len, dma_req_overflow => dma_req_overflow, dma_req_rd => dma_req_read, dma_req_wr => dma_req_write, dma_wr_err => dma_wr_err, m_address => m_address( dma_highadr_g downto 0 ), m_burstcount => m_burstcount( m_burstcount_width_g-1 downto 0 ), m_burstcounter => m_burstcounter( m_burstcount_width_g-1 downto 0 ), m_byteenable => m_byteenable( m_data_width_g/8-1 downto 0 ), m_clk => m_clk, m_read => m_read, m_readdata => m_readdata( m_data_width_g-1 downto 0 ), m_readdatavalid => m_readdatavalid, m_waitrequest => m_waitrequest, m_write => m_write, m_writedata => m_writedata( m_data_width_g-1 downto 0 ), mac_rx_off => mac_rx_off, mac_tx_off => mac_tx_off, rst => rst ); end generate genDmaMaster; genOneSmi : if gNumSmi = 1 or not genHub_g generate begin genOneTriStateBuf : if genSmiIO generate begin smi_di_s <= phy_smi_dio; phy_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; end generate genOneTriStateBuf; dontGenOneTriStateBuf : if not genSmiIO generate begin smi_di_s <= phy_smi_dio_I; phy_smi_dio_O <= smi_do_s; phy_smi_dio_T <= smi_doe_s_n; end generate dontGenOneTriStateBuf; phy_rst_n <= smi_rst_n; phy_smi_clk <= smi_clk; end generate genOneSmi; genTwoSmi : if gNumSmi = 2 and genHub_g generate begin genTwoTriStateBuf : if genSmiIO generate begin phy0_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; phy1_smi_dio <= smi_do_s when smi_doe_s='1' else 'Z'; smi_di_s <= phy0_smi_dio and phy1_smi_dio; end generate genTwoTriStateBuf; dontGenTwoTriStateBuf : if not genSmiIO generate begin phy1_smi_dio_T <= smi_doe_s_n; smi_di_s <= phy0_smi_dio_I and phy1_smi_dio_I; phy0_smi_dio_T <= smi_doe_s_n; phy1_smi_dio_O <= smi_do_s; phy0_smi_dio_O <= smi_do_s; end generate dontGenTwoTriStateBuf; phy0_smi_clk <= smi_clk; phy0_rst_n <= smi_rst_n; phy1_smi_clk <= smi_clk; phy1_rst_n <= smi_rst_n; end generate genTwoSmi; end rtl;
gpl-2.0
dphase/ctags
Test/bug2374109.vhd
98
196
function Pow2( N, Exp : integer ) return mylib.myinteger is Variable Result : integer := 1; begin for i in 1 to Exp loop Result := Result * N; end loop; return( Result ); end Pow;
gpl-2.0
systec-dk/openPOWERLINK_systec
Examples/ipcore/xilinx/openmac/src/ipif_master_handler.vhd
3
11156
------------------------------------------------------------------------------- -- -- (c) B&R, 2011 -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ipif_master_handler is generic( gen_rx_fifo_g : boolean := true; gen_tx_fifo_g : boolean := true; dma_highadr_g : integer := 31; C_MAC_DMA_IPIF_NATIVE_DWIDTH : integer := 32; C_MAC_DMA_IPIF_AWIDTH : integer := 32; m_burstcount_width_g : integer := 4 ); port( MAC_DMA_CLK : in std_logic; MAC_DMA_Rst : in std_logic; Bus2MAC_DMA_Mst_CmdAck : in std_logic := '0'; Bus2MAC_DMA_Mst_Cmplt : in std_logic := '0'; Bus2MAC_DMA_Mst_Error : in std_logic := '0'; Bus2MAC_DMA_Mst_Rearbitrate : in std_logic := '0'; Bus2MAC_DMA_Mst_Cmd_Timeout : in std_logic := '0'; Bus2MAC_DMA_MstRd_d : in std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH-1 downto 0); Bus2MAC_DMA_MstRd_rem : in std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0); Bus2MAC_DMA_MstRd_sof_n : in std_logic := '1'; Bus2MAC_DMA_MstRd_eof_n : in std_logic := '1'; Bus2MAC_DMA_MstRd_src_rdy_n : in std_logic := '1'; Bus2MAC_DMA_MstRd_src_dsc_n : in std_logic := '1'; Bus2MAC_DMA_MstWr_dst_rdy_n : in std_logic := '1'; Bus2MAC_DMA_MstWr_dst_dsc_n : in std_logic := '1'; MAC_DMA2Bus_MstRd_Req : out std_logic := '0'; MAC_DMA2Bus_MstWr_Req : out std_logic := '0'; MAC_DMA2Bus_Mst_Type : out std_logic := '0'; MAC_DMA2Bus_Mst_Addr : out std_logic_vector(C_MAC_DMA_IPIF_AWIDTH-1 downto 0); MAC_DMA2Bus_Mst_Length : out std_logic_vector(11 downto 0); MAC_DMA2Bus_Mst_BE : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0); MAC_DMA2Bus_Mst_Lock : out std_logic := '0'; MAC_DMA2Bus_Mst_Reset : out std_logic := '0'; MAC_DMA2Bus_MstRd_dst_rdy_n : out std_logic := '1'; MAC_DMA2Bus_MstRd_dst_dsc_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_d : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH-1 downto 0); MAC_DMA2Bus_MstWr_rem : out std_logic_vector(C_MAC_DMA_IPIF_NATIVE_DWIDTH/8-1 downto 0); MAC_DMA2Bus_MstWr_sof_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_eof_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_src_rdy_n : out std_logic := '1'; MAC_DMA2Bus_MstWr_src_dsc_n : out std_logic := '1'; m_read : in std_logic := '0'; m_write : in std_logic := '0'; m_byteenable : in std_logic_vector(3 downto 0); m_address : in std_logic_vector(dma_highadr_g downto 0); m_writedata : in std_logic_vector(31 downto 0); m_burstcount : in std_logic_vector(m_burstcount_width_g-1 downto 0); m_burstcounter : in std_logic_vector(m_burstcount_width_g-1 downto 0); m_readdata : out std_logic_vector(31 downto 0); m_waitrequest : out std_logic := '1'; m_readdatavalid : out std_logic := '0'; m_clk : out std_logic ); end ipif_master_handler; architecture rtl of ipif_master_handler is signal clk, rst : std_logic; --signals for requesting transfers signal m_write_s, m_read_s, m_wrd_en_n : std_logic; signal m_write_l, m_read_l : std_logic; signal m_write_rise, m_read_rise : std_logic; signal m_write_fall, m_read_fall : std_logic; signal mst_write_req, mst_write_req_next : std_logic; signal mst_read_req, mst_read_req_next : std_logic; --what if master wants to req new transfer, but previous is not yet completed (= no Mst_Cmplt pulse!!!) signal mst_done : std_logic; --signals for the transfer type tran_t is (idle, sof, tran, eof, seof, wait4cmplt); --seof = start/end of frame (single beat) signal wr_tran, wr_tran_next : tran_t; signal rd_tran : tran_t; --avoid preset of FFs signal MAC_DMA2Bus_MstRd_dst_rdy : std_logic; begin --some assignments.. m_clk <= MAC_DMA_CLK; clk <= MAC_DMA_CLK; rst <= MAC_DMA_Rst; mst_done <= Bus2MAC_DMA_Mst_Cmplt; m_write_s <= m_write and not m_wrd_en_n; --NOTE: write/read enable is low-active! m_read_s <= m_read and not m_wrd_en_n; --NOTE: write/read enable is low-active! --reserved MAC_DMA2Bus_Mst_Lock <= '0'; MAC_DMA2Bus_Mst_Reset <= '0'; --delay some signals.. del_proc : process(clk, rst) begin if rst = '1' then m_write_l <= '0'; m_read_l <= '0'; m_wrd_en_n <= '0'; --is low-active to avoid preset of FF elsif rising_edge(clk) then m_write_l <= m_write_s; m_read_l <= m_read_s; if mst_done = '1' then m_wrd_en_n <= '0'; elsif m_write_fall = '1' or m_read_fall = '1' then m_wrd_en_n <= '1'; --write/read done, wait for Mst_Cmplt end if; end if; end process; --generate pulse if write/read is asserted m_write_rise <= '1' when m_write_l = '0' and m_write_s = '1' else '0'; m_read_rise <= '1' when m_read_l = '0' and m_read_s = '1' else '0'; m_write_fall <= '1' when m_write_l = '1' and m_write_s = '0' else '0'; m_read_fall <= '1' when m_read_l = '1' and m_read_s = '0' else '0'; --generate req qualifiers req_proc : process(clk, rst) begin if rst = '1' then mst_write_req <= '0'; mst_read_req <= '0'; MAC_DMA2Bus_MstRd_dst_rdy <= '0'; elsif rising_edge(clk) then mst_write_req <= mst_write_req_next; mst_read_req <= mst_read_req_next; if m_read_s = '1' then MAC_DMA2Bus_MstRd_dst_rdy <= '1'; elsif rd_tran = eof and Bus2MAC_DMA_MstRd_src_rdy_n = '0' then MAC_DMA2Bus_MstRd_dst_rdy <= '0'; end if; end if; end process; MAC_DMA2Bus_MstRd_dst_rdy_n <= not MAC_DMA2Bus_MstRd_dst_rdy; mst_write_req_next <= '0' when mst_write_req = '1' and Bus2MAC_DMA_Mst_CmdAck = '1' else '1' when mst_write_req = '0' and m_write_rise = '1' else mst_write_req; mst_read_req_next <= '0' when mst_read_req = '1' and Bus2MAC_DMA_Mst_CmdAck = '1' else '1' when mst_read_req = '0' and m_read_rise = '1' else mst_read_req; MAC_DMA2Bus_MstRd_Req <= mst_read_req; MAC_DMA2Bus_MstWr_Req <= mst_write_req; MAC_DMA2Bus_Mst_Type <= '0' when m_burstcount < 2 else --single beat mst_read_req or mst_write_req; --we are talking about bursts.. --assign address, byteenable and burst size comb_addrZeroPad : process(m_address) begin for i in MAC_DMA2Bus_Mst_Addr'range loop if i <= m_address'high then MAC_DMA2Bus_Mst_Addr(i) <= m_address(i); else MAC_DMA2Bus_Mst_Addr(i) <= '0'; --zero padding end if; end loop; end process; --MAC_DMA2Bus_Mst_Addr <= m_address; MAC_DMA2Bus_Mst_BE <= "1111"; MAC_DMA2Bus_Mst_Length <= conv_std_logic_vector(conv_integer(m_burstcount), MAC_DMA2Bus_Mst_Length'length - 2) & "00"; -- dword x 4 = byte --write/read link wrd_proc : process(clk, rst) begin if rst = '1' then wr_tran <= idle; elsif rising_edge(clk) then wr_tran <= wr_tran_next; end if; end process; --generate fsm for write and read transfers wr_tran_next <= seof when wr_tran = idle and mst_write_req_next = '1' and (m_burstcount <= 1 or m_burstcount'length = 1) else sof when wr_tran = idle and mst_write_req_next = '1' and m_burstcount'length > 1 else eof when wr_tran = sof and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' and m_burstcount = 2 and m_burstcount'length > 1 else tran when wr_tran = sof and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' and m_burstcount'length > 1 else eof when wr_tran = tran and m_burstcounter <= 2 and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' and m_burstcount'length > 1 else wait4cmplt when (wr_tran = eof or wr_tran = seof) and Bus2MAC_DMA_MstWr_dst_rdy_n = '0' else idle when wr_tran = wait4cmplt and mst_done = '1' else wr_tran; rd_tran <= seof when Bus2MAC_DMA_MstRd_sof_n = '0' and Bus2MAC_DMA_MstRd_eof_n = '0' else sof when Bus2MAC_DMA_MstRd_sof_n = '0' else eof when Bus2MAC_DMA_MstRd_eof_n = '0' else tran when Bus2MAC_DMA_MstRd_src_rdy_n = '0' else idle; --set write qualifiers MAC_DMA2Bus_MstWr_sof_n <= '0' when wr_tran = sof or wr_tran = seof else '1'; MAC_DMA2Bus_MstWr_eof_n <= '0' when wr_tran = eof or wr_tran = seof else '1'; MAC_DMA2Bus_MstWr_src_rdy_n <= '0' when wr_tran /= idle and wr_tran /= wait4cmplt else '1'; MAC_DMA2Bus_MstWr_src_dsc_n <= '1'; --no support MAC_DMA2Bus_MstWr_rem <= (others => '0'); --no support --set read qualifiers MAC_DMA2Bus_MstRd_dst_dsc_n <= '1'; --no support --connect ipif with avalon m_waitrequest <= --waitrequest if not ready or no write active not m_write when Bus2MAC_DMA_MstWr_dst_rdy_n = '0' else not m_read when mst_read_req = '1' and Bus2MAC_DMA_Mst_CmdAck = '1' else '1'; m_readdatavalid <= not Bus2MAC_DMA_MstRd_src_rdy_n; MAC_DMA2Bus_MstWr_d <= m_writedata; m_readdata <= Bus2MAC_DMA_MstRd_d; end rtl;
gpl-2.0
systec-dk/openPOWERLINK_systec
Examples/ipcore/altera/openmac/src/openMAC_DPR.vhd
3
10368
------------------------------------------------------------------------------- -- OpenMAC - DPR for Altera FPGA -- -- Copyright (C) 2009 B&R -- -- Redistribution and use in source and binary forms, with or without -- modification, are permitted provided that the following conditions -- are met: -- -- 1. Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- -- 2. Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- 3. Neither the name of B&R nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without prior written permission. For written -- permission, please contact [email protected] -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS -- "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT -- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE -- COPYRIGHT HOLDERS OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, -- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, -- BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER -- CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT -- LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN -- ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- 16 / 16 DPR -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity Dpr_16_16 is generic(Simulate : in boolean); port ( ClkA, ClkB : in std_logic; WeA, WeB : in std_logic := '0'; EnA, EnB : in std_logic := '1'; BeA : in std_logic_vector ( 1 downto 0) := "11"; AddrA : in std_logic_vector ( 7 downto 0); DiA : in std_logic_vector (15 downto 0) := (others => '0'); DoA : out std_logic_vector(15 downto 0); BeB : in std_logic_vector ( 1 downto 0) := "11"; AddrB : in std_logic_vector ( 7 downto 0); DiB : in std_logic_vector (15 downto 0) := (others => '0'); DoB : out std_logic_vector(15 downto 0) ); end Dpr_16_16; architecture struct of Dpr_16_16 is begin Ram: COMPONENT altsyncram GENERIC MAP ( OPERATION_MODE => "BIDIR_DUAL_PORT", INIT_FILE => "dpr_16_16.mif", WIDTH_A => 16, WIDTHAD_A => 8, NUMWORDS_A => 256, WIDTH_BYTEENA_A => 2, WIDTH_B => 16, WIDTHAD_B => 8, NUMWORDS_B => 256, WIDTH_BYTEENA_B => 2 ) PORT MAP( clock0 => ClkA, clock1 => ClkB, wren_a => WeA, wren_b => WeB, clocken0 => EnA, clocken1 => EnB, byteena_a => BeA, byteena_b => BeB, address_a => AddrA, address_b => AddrB, data_a => DiA, data_b => DiB, q_a => DoA, q_b => DoB ); end struct; ------------------------------------------------------------------------------- -- 16 / 32 DPR -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; LIBRARY lpm; USE lpm.lpm_components.all; entity Dpr_16_32 is generic(Simulate : in boolean); port ( ClkA, ClkB : in std_logic; WeA : in std_logic := '0'; EnA, EnB : in std_logic := '1'; AddrA : in std_logic_vector ( 7 downto 0); DiA : in std_logic_vector (15 downto 0) := (others => '0'); BeA : in std_logic_vector ( 1 downto 0) := "11"; AddrB : in std_logic_vector ( 6 downto 0); DoB : out std_logic_vector(31 downto 0) ); end Dpr_16_32; architecture struct of Dpr_16_32 is begin Ram: COMPONENT altsyncram GENERIC MAP ( OPERATION_MODE => "DUAL_PORT", INIT_FILE => "dpr_16_32.mif", WIDTH_A => 16, WIDTHAD_A => 8, NUMWORDS_A => 256, WIDTH_BYTEENA_A => 2, WIDTH_B => 32, WIDTHAD_B => 7, NUMWORDS_B => 128 ) PORT MAP( clock0 => ClkA, clock1 => ClkB, wren_a => WeA, clocken0 => EnA, clocken1 => EnB, byteena_a => BeA, address_a => AddrA, address_b => AddrB, data_a => DiA, q_b => DoB ); end struct; ------------------------------------------------------------------------------- -- Packet buffer -- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.all; USE ieee.math_real.log2; USE ieee.math_real.ceil; LIBRARY altera_mf; USE altera_mf.all; ENTITY OpenMAC_DPRpackets IS GENERIC ( memSizeLOG2_g : integer := 10; memSize_g : integer := 1024 ); PORT ( address_a : IN STD_LOGIC_VECTOR (memSizeLOG2_g-2 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (memSizeLOG2_g-3 DOWNTO 0); byteena_a : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '1'); byteena_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0) := (OTHERS => '1'); clock_a : IN STD_LOGIC := '1'; clock_b : IN STD_LOGIC ; data_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); rden_a : IN STD_LOGIC := '1'; rden_b : IN STD_LOGIC := '1'; wren_a : IN STD_LOGIC := '0'; wren_b : IN STD_LOGIC := '0'; q_a : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END OpenMAC_DPRpackets; ARCHITECTURE SYN OF openmac_dprpackets IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (15 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0); COMPONENT altsyncram GENERIC ( address_reg_b : STRING; byteena_reg_b : STRING; byte_size : NATURAL; clock_enable_input_a : STRING; clock_enable_input_b : STRING; clock_enable_output_a : STRING; clock_enable_output_b : STRING; indata_reg_b : STRING; intended_device_family : STRING; lpm_type : STRING; numwords_a : NATURAL; numwords_b : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_aclr_b : STRING; outdata_reg_a : STRING; outdata_reg_b : STRING; power_up_uninitialized : STRING; read_during_write_mode_port_a : STRING; read_during_write_mode_port_b : STRING; widthad_a : NATURAL; widthad_b : NATURAL; width_a : NATURAL; width_b : NATURAL; width_byteena_a : NATURAL; width_byteena_b : NATURAL; wrcontrol_wraddress_reg_b : STRING ); PORT ( wren_a : IN STD_LOGIC ; clock0 : IN STD_LOGIC ; wren_b : IN STD_LOGIC ; clock1 : IN STD_LOGIC ; byteena_a : IN STD_LOGIC_VECTOR (1 DOWNTO 0); byteena_b : IN STD_LOGIC_VECTOR (3 DOWNTO 0); address_a : IN STD_LOGIC_VECTOR (memSizeLOG2_g-2 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (memSizeLOG2_g-3 DOWNTO 0); rden_a : IN STD_LOGIC ; q_a : OUT STD_LOGIC_VECTOR (15 DOWNTO 0); rden_b : IN STD_LOGIC ; q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); data_a : IN STD_LOGIC_VECTOR (15 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; BEGIN q_a <= sub_wire0(15 DOWNTO 0); q_b <= sub_wire1(31 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK1", byteena_reg_b => "CLOCK1", byte_size => 8, clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", indata_reg_b => "CLOCK1", intended_device_family => "Cyclone III", lpm_type => "altsyncram", numwords_a => memSize_g/2, numwords_b => memSize_g/4, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "NONE", outdata_aclr_b => "NONE", outdata_reg_a => "CLOCK0", outdata_reg_b => "CLOCK1", power_up_uninitialized => "FALSE", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ", widthad_a => memSizeLOG2_g-1, widthad_b => memSizeLOG2_g-2, width_a => 16, width_b => 32, width_byteena_a => 2, width_byteena_b => 4, wrcontrol_wraddress_reg_b => "CLOCK1" ) PORT MAP ( wren_a => wren_a, clock0 => clock_a, wren_b => wren_b, clock1 => clock_b, byteena_a => byteena_a, byteena_b => byteena_b, address_a => address_a, address_b => address_b, rden_a => rden_a, rden_b => rden_b, data_a => data_a, data_b => data_b, q_a => sub_wire0, q_b => sub_wire1 ); END SYN;
gpl-2.0
sjohann81/hf-risc
mips/core_mips/alu.vhd
1
1875
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alu is port ( op1: in std_logic_vector(31 downto 0); op2: in std_logic_vector(31 downto 0); alu_op: in std_logic_vector(3 downto 0); result: out std_logic_vector(31 downto 0); zero: out std_logic; less_than: out std_logic ); end alu; architecture arch_alu of alu is signal r, shift: std_logic_vector(31 downto 0); signal shift_op2: std_logic_vector(4 downto 0); signal addsub: std_logic_vector(32 downto 0); signal less, left, logical: std_logic; begin process(op1, op2, alu_op, addsub, less, shift_op2, shift) begin case alu_op is when "0000" => r <= op1 and op2; when "0001" => r <= op1 or op2; when "0010" => r <= op1 xor op2; when "0011" => r <= op1 nor op2; when "0100" | "0101" => r <= addsub(31 downto 0); when "0110" => r <= op2(15 downto 0) & x"0000"; when "0111" | "1000" => r <= x"0000000" & "000" & less; when others => r <= shift; end case; end process; addsub <= ('0' & op1) - ('0' & op2) when alu_op > "0100" else ('0' & op1) + ('0' & op2); less <= addsub(32) when op1(31) = op2(31) or alu_op = "1000" else op1(31); less_than <= less; zero <= not (r(31) or r(30) or r(29) or r(28) or r(27) or r(26) or r(25) or r(24) or r(23) or r(22) or r(21) or r(20) or r(19) or r(18) or r(17) or r(16) or r(15) or r(14) or r(13) or r(12) or r(11) or r(10) or r(9) or r(8) or r(7) or r(6) or r(5) or r(4) or r(3) or r(2) or r(1) or r(0)); shift_op2 <= op2(10 downto 6) when alu_op < "1100" else op2(4 downto 0); left <= '1' when alu_op = "1001" or alu_op = "1100" else '0'; logical <= '0' when alu_op = "1011" or alu_op = "1110" else '1'; barrel_shifter: entity work.bshift port map( left => left, logical => logical, shift => shift_op2, input => op1, output => shift ); result <= r; end arch_alu;
gpl-2.0
sjohann81/hf-risc
mips/sim/ram.vhd
2
1715
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.std_logic_textio.all; use std.textio.all; entity bram is generic(memory_file : string := "code.txt"; data_width: integer := 8; -- data width (fixed) address_width: integer := 16; -- address width bank: integer := 0); -- memory bank (0,1,2,3) port( clk : in std_logic; --clock addr : in std_logic_vector(address_width - 1 downto 2); --address bus cs_n : in std_logic; --chip select we_n : in std_logic; --write enable data_i: in std_logic_vector(data_width - 1 downto 0); --write data bus data_o: out std_logic_vector(data_width - 1 downto 0) --read data bus ); end bram; architecture memory of bram is type ram is array(2 ** address_width -1 downto 0) of std_logic_vector(data_width - 1 downto 0); signal ram1 : ram := (others => (others => '0')); begin process(clk) variable data : std_logic_vector(data_width*4 -1 downto 0); variable index : natural := 0; file load_file : text open read_mode is "code.txt"; variable hex_file_line : line; begin --Load in the ram executable image if index = 0 then while not endfile(load_file) loop readline(load_file, hex_file_line); hread(hex_file_line, data); ram1(conv_integer(index)) <= data(((bank+1)*data_width)-1 downto bank*data_width); index := index + 1; end loop; end if; if (clk'event and clk = '1') then if(cs_n = '0') then if(we_n = '0') then ram1(conv_integer(addr(address_width -1 downto 2))) <= data_i; else data_o <= ram1(conv_integer(addr(address_width -1 downto 2))); end if; end if; end if; end process; end memory;
gpl-2.0
jasonpeng/cg3207-proj
MEM_WB_BUFF.vhd
1
2186
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:30:58 10/31/2013 -- Design Name: -- Module Name: MEM_WB_Register - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity MEM_WB_BUFF is Port ( IN_MemToReg : in STD_LOGIC; IN_DataMemory_Result : in STD_LOGIC_VECTOR(31 downto 0); IN_ALU_Result : in STD_LOGIC_VECTOR(31 downto 0); IN_ALU_Result_2 : in STD_LOGIC_VECTOR(31 downto 0); IN_MUL_DIV : in STD_LOGIC; IN_REG_WriteAddr : in STD_LOGIC_VECTOR(4 downto 0); IN_RegWrite : in STD_LOGIC; OUT_MemToReg : out STD_LOGIC; OUT_DataMemory_Result : out STD_LOGIC_VECTOR(31 downto 0); OUT_ALU_Result : out STD_LOGIC_VECTOR(31 downto 0); OUT_ALU_Result_2 : out STD_LOGIC_VECTOR(31 downto 0); OUT_MUL_DIV : out STD_LOGIC; OUT_REG_WriteAddr : out STD_LOGIC_VECTOR(4 downto 0); OUT_RegWrite : out STD_LOGIC; Clk, Reset : in std_logic ); end MEM_WB_BUFF; architecture Behavioral of MEM_WB_BUFF is begin process (Clk, Reset) begin if (Reset = '1') then OUT_MemToReg <= '0'; OUT_DataMemory_Result <= (others => '0'); OUT_ALU_Result <= (others => '0'); OUT_ALU_Result_2 <= (others => '0'); OUT_MUL_DIV <= '0'; OUT_REG_WriteAddr <= (others => '0'); OUT_RegWrite <= '0'; elsif rising_edge(CLK) then OUT_MemToReg <= IN_MemToReg; OUT_DataMemory_Result <= IN_DataMemory_Result; OUT_ALU_Result <= IN_ALU_Result; OUT_ALU_Result_2 <= IN_ALU_Result_2; OUT_MUL_DIV <= IN_MUL_DIV; OUT_REG_WriteAddr <= IN_REG_WriteAddr; OUT_RegWrite <= IN_RegWrite; end if; end process; end Behavioral;
gpl-2.0
sjohann81/hf-risc
mips/core_mips/datapath.vhd
1
10898
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity datapath is port ( clock: in std_logic; reset: in std_logic; stall: in std_logic; mwait: in std_logic; irq_vector: in std_logic_vector(31 downto 0); irq: in std_logic; irq_ack: out std_logic; exception: out std_logic; inst_addr: out std_logic_vector(31 downto 0); inst_in: in std_logic_vector(31 downto 0); data_addr: out std_logic_vector(31 downto 0); data_in: in std_logic_vector(31 downto 0); data_out: out std_logic_vector(31 downto 0); data_w: out std_logic_vector(3 downto 0); data_b: out std_logic; data_h: out std_logic; data_access: out std_logic ); end datapath; architecture arch_datapath of datapath is -- datapath signals signal inst_in_s, data_in_s, pc, pc_last, pc_plus4, pc_next, result, branch, jump, ext32, ext32b, ext32h, alu_src: std_logic_vector(31 downto 0); signal opcode, funct: std_logic_vector(5 downto 0); signal read_reg1, read_reg2, write_reg: std_logic_vector(4 downto 0); signal rs, rt, rd, target: std_logic_vector(4 downto 0); signal write_data, read_data1, read_data2: std_logic_vector(31 downto 0); signal imm: std_logic_vector(15 downto 0); signal wreg, zero, less_than, br_link_ctl, branch_taken, jump_taken, stall_reg: std_logic; signal irq_ack_s, irq_ack_s_dly, bds, data_access_s, data_access_s_dly: std_logic; -- control signals signal reg_dst_ctl, reg_write_ctl, alu_src_ctl, reg_to_mem_ctl, mem_to_reg_ctl, signed_imm_ctl, signed_rd_ctl, shift_ctl: std_logic; signal jump_ctl, mem_read_ctl, mem_write_ctl: std_logic_vector(1 downto 0); signal branch_ctl: std_logic_vector(2 downto 0); signal alu_op_ctl: std_logic_vector(3 downto 0); signal reg_dst_ctl_r, reg_write_ctl_r, alu_src_ctl_r, reg_to_mem_ctl_r, mem_to_reg_ctl_r, signed_imm_ctl_r, signed_rd_ctl_r, shift_ctl_r, br_link_ctl_r: std_logic; signal jump_ctl_r, mem_read_ctl_r, mem_write_ctl_r: std_logic_vector(1 downto 0); signal branch_ctl_r: std_logic_vector(2 downto 0); signal alu_op_ctl_r: std_logic_vector(3 downto 0); signal rs_r, rt_r, rd_r: std_logic_vector(4 downto 0); signal imm_r: std_logic_vector(15 downto 0); begin -- -- FETCH STAGE -- -- 1st stage, instruction memory access, PC update, interrupt acknowledge logic -- program counter logic process(clock, reset, stall_reg) begin if reset = '1' then pc <= (others => '0'); pc_last <= (others => '0'); elsif clock'event and clock = '1' then if stall = '0' then pc <= pc_next; pc_last <= pc; end if; end if; end process; pc_plus4 <= pc + 4; pc_next <= irq_vector when (irq = '1' and irq_ack_s = '1') else branch when branch_taken = '1' else jump when jump_taken = '1' else pc_last when data_access_s = '1' else pc_plus4; -- interrupt acknowledge logic irq_ack_s <= '1' when irq = '1' and bds = '0' and branch_taken = '0' and jump_taken = '0' and reg_to_mem_ctl_r = '0' and mem_to_reg_ctl_r = '0' else '0'; irq_ack <= irq_ack_s_dly; exception <= '0'; process(clock, reset, irq, irq_ack_s, mem_to_reg_ctl_r, stall, mwait) begin if reset = '1' then irq_ack_s_dly <= '0'; bds <= '0'; data_access_s_dly <= '0'; stall_reg <= '0'; elsif clock'event and clock = '1' then stall_reg <= stall; if stall = '0' then data_access_s_dly <= data_access_s; if mwait = '0' then irq_ack_s_dly <= irq_ack_s; if branch_taken = '1' or jump_taken = '1' then bds <= '1'; else bds <= '0'; end if; end if; end if; end if; end process; -- -- DECODE STAGE -- -- 2nd stage, instruction decode, control unit operation, pipeline bubble insertion logic on load/store and 2nd branch delay slot -- pipeline bubble insertion on loads/stores, branches and interrupts inst_in_s <= x"00000000" when data_access_s = '1' or bds = '1' or irq_ack_s = '1' else inst_in; -- instruction decode opcode <= inst_in_s(31 downto 26); rs <= inst_in_s(25 downto 21); rt <= inst_in_s(20 downto 16); rd <= "11111" when br_link_ctl = '1' else inst_in_s(15 downto 11); -- FIXME: this will not work for the 'jalr rd, rs' format funct <= inst_in_s(5 downto 0); imm <= inst_in_s(15 downto 0); -- control unit control_unit: entity work.control port map( opcode => opcode, funct => funct, rtx => rt, reg_dst => reg_dst_ctl, reg_write => reg_write_ctl, alu_src => alu_src_ctl, alu_op => alu_op_ctl, jump => jump_ctl, branch => branch_ctl, br_link => br_link_ctl, reg_to_mem => reg_to_mem_ctl, mem_to_reg => mem_to_reg_ctl, signed_imm => signed_imm_ctl, mem_write => mem_write_ctl, mem_read => mem_read_ctl, signed_rd => signed_rd_ctl, shift => shift_ctl ); process(clock, reset, stall, mwait) begin if reset = '1' then rs_r <= (others => '0'); rt_r <= (others => '0'); rd_r <= (others => '0'); imm_r <= (others => '0'); reg_dst_ctl_r <= '0'; reg_write_ctl_r <= '0'; alu_src_ctl_r <= '0'; alu_op_ctl_r <= (others => '0'); jump_ctl_r <= (others => '0'); branch_ctl_r <= (others => '0'); br_link_ctl_r <= '0'; reg_to_mem_ctl_r <= '0'; mem_to_reg_ctl_r <= '0'; signed_imm_ctl_r <= '0'; mem_write_ctl_r <= "00"; mem_read_ctl_r <= "00"; signed_rd_ctl_r <= '0'; shift_ctl_r <= '0'; elsif clock'event and clock = '1' then if stall = '0' and mwait = '0' then rs_r <= rs; rt_r <= rt; rd_r <= rd; imm_r <= imm; reg_dst_ctl_r <= reg_dst_ctl; reg_write_ctl_r <= reg_write_ctl; alu_src_ctl_r <= alu_src_ctl; alu_op_ctl_r <= alu_op_ctl; jump_ctl_r <= jump_ctl; branch_ctl_r <= branch_ctl; br_link_ctl_r <= br_link_ctl; reg_to_mem_ctl_r <= reg_to_mem_ctl; mem_to_reg_ctl_r <= mem_to_reg_ctl; signed_imm_ctl_r <= signed_imm_ctl; mem_write_ctl_r <= mem_write_ctl; mem_read_ctl_r <= mem_read_ctl; signed_rd_ctl_r <= signed_rd_ctl; shift_ctl_r <= shift_ctl; end if; end if; end process; -- -- EXECUTE STAGE -- -- 3rd stage (a) register file access (read) -- the register file register_bank: entity work.reg_bank port map( clock => clock, read_reg1 => read_reg1, read_reg2 => read_reg2, write_reg => write_reg, wreg => wreg, write_data => write_data, read_data1 => read_data1, read_data2 => read_data2 ); -- register file read/write selection and write enable read_reg1 <= rs_r when shift_ctl_r = '0' else rt_r; -- source for shifts or normal operations read_reg2 <= "00000" when branch_ctl_r > "010" else -- source for branch and link (for zero operations) rs_r when shift_ctl_r = '1' else rt_r; -- source for register based shifts or normal operations write_reg <= target when mem_to_reg_ctl_r = '0' else rt_r; ext32 <= x"0000" & imm_r when (imm_r(15) = '0' or signed_imm_ctl_r = '0') else x"ffff" & imm_r; target <= rt_r when reg_dst_ctl_r = '0' else rd_r; -- target register selection wreg <= (reg_write_ctl_r or mem_to_reg_ctl_r) and not mwait and not stall_reg; -- enable the register bank for write back also -- 3rd stage (b) ALU operation alu: entity work.alu port map( op1 => read_data1, op2 => alu_src, alu_op => alu_op_ctl_r, result => result, zero => zero, less_than => less_than ); alu_src <= read_data2 when alu_src_ctl_r = '0' else ext32; branch <= (ext32(29 downto 0) & "00") + pc_last; jump <= read_data1 when jump_ctl_r = "10" else pc_last(31 downto 28) & rs_r & rt_r & imm_r & "00"; branch_taken <= '1' when (zero = '1' and branch_ctl_r = "001") or -- BEQ (zero = '0' and branch_ctl_r = "010") or -- BNE ((zero = '1' or less_than = '1') and branch_ctl_r = "011") or -- BLEZ ((zero = '0' and less_than = '0') and branch_ctl_r = "100") or -- BGTZ ((zero = '0' and less_than = '1') and branch_ctl_r = "101") or -- BLTZ, BLTZAL ((zero = '1' or less_than = '0') and branch_ctl_r = "110") -- BGEZ, BGEZAL else '0'; jump_taken <= '1' when jump_ctl_r /= "00" else '0'; -- J, JAL, JR, JALR inst_addr <= pc; data_addr <= result; data_b <= '1' when mem_read_ctl_r = "01" or mem_write_ctl_r = "01" else '0'; data_h <= '1' when mem_read_ctl_r = "10" or mem_write_ctl_r = "10" else '0'; data_access_s <= '1' when reg_to_mem_ctl_r = '1' or mem_to_reg_ctl_r = '1' else '0'; data_access <= '1' when data_access_s = '1' and data_access_s_dly = '0' else '0'; -- 3rd stage (c) data memory / write back operation, register file access (write) -- memory access, store operations process(mem_write_ctl_r, result, read_data2) begin case mem_write_ctl_r is when "11" => -- store word data_out <= read_data2; data_w <= "1111"; when "01" => -- store byte data_out <= read_data2(7 downto 0) & read_data2(7 downto 0) & read_data2(7 downto 0) & read_data2(7 downto 0); case result(1 downto 0) is when "11" => data_w <= "0001"; when "10" => data_w <= "0010"; when "01" => data_w <= "0100"; when others => data_w <= "1000"; end case; when "10" => -- store half word data_out <= read_data2(15 downto 0) & read_data2(15 downto 0); case result(1) is when '1' => data_w <= "0011"; when others => data_w <= "1100"; end case; when others => -- WTF?? data_out <= read_data2; data_w <= "0000"; end case; end process; -- memory access, load operations process(mem_read_ctl_r, result, data_in) begin case mem_read_ctl_r is when "01" => -- load byte case result(1 downto 0) is when "11" => data_in_s <= x"000000" & data_in(7 downto 0); when "10" => data_in_s <= x"000000" & data_in(15 downto 8); when "01" => data_in_s <= x"000000" & data_in(23 downto 16); when others => data_in_s <= x"000000" & data_in(31 downto 24); end case; when "10" => -- load half word case result(1) is when '1' => data_in_s <= x"0000" & data_in(15 downto 0); when others => data_in_s <= x"0000" & data_in(31 downto 16); end case; when others => -- load word data_in_s <= data_in; end case; end process; -- write back ext32b <= x"000000" & data_in_s(7 downto 0) when (data_in_s(7) = '0' or signed_rd_ctl_r = '0') else x"ffffff" & data_in_s(7 downto 0); ext32h <= x"0000" & data_in_s(15 downto 0) when (data_in_s(15) = '0' or signed_rd_ctl_r = '0') else x"ffff" & data_in_s(15 downto 0); write_data <= data_in_s when mem_read_ctl_r = "11" else ext32b when mem_read_ctl_r = "01" else ext32h when mem_read_ctl_r = "10" else pc when br_link_ctl_r = '1' else result; end arch_datapath;
gpl-2.0
sjohann81/hf-risc
riscv/core_rv32e/alu.vhd
2
1735
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity alu is port ( op1: in std_logic_vector(31 downto 0); op2: in std_logic_vector(31 downto 0); alu_op: in std_logic_vector(3 downto 0); result: out std_logic_vector(31 downto 0); zero: out std_logic; less_than: out std_logic ); end alu; architecture arch_alu of alu is signal r, shift: std_logic_vector(31 downto 0); signal shift_op2: std_logic_vector(4 downto 0); signal addsub: std_logic_vector(32 downto 0); signal less, left, logical: std_logic; begin process(op1, op2, alu_op, addsub, less, shift_op2, shift) begin case alu_op is when "0000" => r <= op1 and op2; when "0001" => r <= op1 or op2; when "0010" => r <= op1 xor op2; when "0100" | "0101" => r <= addsub(31 downto 0); when "0110" => r <= op2; when "0111" | "1000" => r <= x"0000000" & "000" & less; when others => r <= shift; end case; end process; addsub <= ('0' & op1) - ('0' & op2) when alu_op > "0100" else ('0' & op1) + ('0' & op2); less <= addsub(32) when op1(31) = op2(31) or alu_op = "1000" else op1(31); less_than <= less; zero <= not (r(31) or r(30) or r(29) or r(28) or r(27) or r(26) or r(25) or r(24) or r(23) or r(22) or r(21) or r(20) or r(19) or r(18) or r(17) or r(16) or r(15) or r(14) or r(13) or r(12) or r(11) or r(10) or r(9) or r(8) or r(7) or r(6) or r(5) or r(4) or r(3) or r(2) or r(1) or r(0)); shift_op2 <= op2(4 downto 0); left <= '1' when alu_op(0) = '1' else '0'; logical <= '1' when alu_op(2) = '0' else '0'; barrel_shifter: entity work.bshift port map( left => left, logical => logical, shift => shift_op2, input => op1, output => shift ); result <= r; end arch_alu;
gpl-2.0
sjohann81/hf-risc
devices/peripherals/minimal_soc.vhd
1
8804
-- file: minimal_soc.vhd -- description: basic SoC with peripherals -- date: 01/2019 -- author: Sergio Johann Filho <[email protected]> -- -- Very simple configuration for a minimal SoC. Only a single GPIO port -- a counter and timer are included in this version. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity peripherals is port ( clk_i: in std_logic; rst_i: in std_logic; addr_i: in std_logic_vector(31 downto 0); data_i: in std_logic_vector(31 downto 0); data_o: out std_logic_vector(31 downto 0); sel_i: in std_logic; wr_i: in std_logic; irq_o: out std_logic; gpioa_in: in std_logic_vector(7 downto 0); gpioa_out: out std_logic_vector(7 downto 0); gpioa_ddr: out std_logic_vector(7 downto 0) ); end peripherals; architecture peripherals_arch of peripherals is signal segment: std_logic_vector(3 downto 0); signal class: std_logic_vector(3 downto 0); signal device: std_logic_vector(5 downto 0); signal funct: std_logic_vector(3 downto 0); signal paaltcfg0, s0cause, gpiocause, gpiocause_inv, gpiomask, timercause, timercause_inv, timermask: std_logic_vector(3 downto 0); signal paddr, paout, pain, pain_inv, pain_mask: std_logic_vector(7 downto 0); signal timer0: std_logic_vector(31 downto 0); signal timer1, timer1_ctc, timer1_ocr: std_logic_vector(15 downto 0); signal timer1_pre: std_logic_vector(2 downto 0); signal timer1_set: std_logic; signal int_gpio, int_timer: std_logic; signal int_gpioa, int_timer1_ocr, int_timer1_ctc, tmr1_pulse, tmr1_dly, tmr1_dly2: std_logic; signal paalt0: std_logic; begin segment <= addr_i(27 downto 24); class <= addr_i(19 downto 16); device <= addr_i(15 downto 10); funct <= addr_i(7 downto 4); irq_o <= '1' when s0cause /= "0000" else '0'; s0cause <= '0' & int_timer & int_gpio & '0'; int_gpio <= '1' when ((gpiocause xor gpiocause_inv) and gpiomask) /= "0000" else '0'; gpiocause <= "000" & int_gpioa; int_gpioa <= '1' when ((pain xor pain_inv) and pain_mask) /= "0000" else '0'; int_timer <= '1' when ((timercause xor timercause_inv) and timermask) /= "0000" else '0'; timercause <= int_timer1_ocr & int_timer1_ctc & timer0(18) & timer0(16); pain <= gpioa_in; gpioa_out <= paout(7 downto 1) & paalt0; gpioa_ddr <= paddr; paalt0 <= int_timer1_ctc when paaltcfg0(1 downto 0) = "01" else int_timer1_ocr when paaltcfg0(1 downto 0) = "10" else paout(0); -- address decoder, read from peripheral registers process(clk_i, rst_i, segment, class, device, funct) begin if rst_i = '1' then data_o <= (others => '0'); elsif clk_i'event and clk_i = '1' then if sel_i = '1' then case segment is when "0001" => case class is when "0000" => -- Segment 0 case device is when "000001" => -- S0CAUSE (RO) data_o <= x"0000000" & s0cause; when "010000" => -- PAALTCFG0 (RW) data_o <= x"0000000" & paaltcfg0; when others => data_o <= (others => '0'); end case; when "0001" => -- GPIO case device is when "000001" => -- GPIOCAUSE (RO) data_o <= x"0000000" & gpiocause; when "000010" => -- GPIOCAUSE_INV (RW) data_o <= x"0000000" & gpiocause_inv; when "000011" => -- GPIOMASK (RW) data_o <= x"0000000" & gpiomask; when "010000" => -- PORTA case funct is when "0000" => -- PADDR (RW) data_o <= x"000000" & paddr; when "0001" => -- PAOUT (RW) data_o <= x"000000" & paout; when "0010" => -- PAIN (RO) data_o <= x"000000" & pain; when "0011" => -- PAIN_INV (RW) data_o <= x"000000" & pain_inv; when "0100" => -- PAIN_MASK (RW) data_o <= x"000000" & pain_mask; when others => data_o <= (others => '0'); end case; when others => data_o <= (others => '0'); end case; when "0010" => -- timers case device is when "000001" => -- TIMERCAUSE (RO) data_o <= x"0000000" & timercause; when "000010" => -- TIMERCAUSE_INV (RW) data_o <= x"0000000" & timercause_inv; when "000011" => -- TIMERMASK (RW) data_o <= x"0000000" & timermask; when "010000" => -- TIMER0 (RO) data_o <= timer0; when "010001" => -- TIMER1 case funct is when "0000" => -- TIMER1 (RW) data_o <= x"0000" & timer1; when "0001" => -- TIMER1_PRE (RW) data_o <= x"0000000" & '0' & timer1_pre; when "0010" => -- TIMER1_CTC (RW) data_o <= x"0000" & timer1_ctc; when "0011" => -- TIMER1_OCR (RW) data_o <= x"0000" & timer1_ocr; when others => data_o <= (others => '0'); end case; when others => data_o <= (others => '0'); end case; when others => data_o <= (others => '0'); end case; when others => data_o <= (others => '0'); end case; end if; end if; end process; -- peripheral register logic, write to peripheral registers process(clk_i, rst_i, segment, class, device, funct, tmr1_pulse) begin if rst_i = '1' then paaltcfg0 <= (others => '0'); gpiocause_inv <= (others => '0'); gpiomask <= (others => '0'); paout <= (others => '0'); pain_inv <= (others => '0'); pain_mask <= (others => '0'); paddr <= (others => '0'); timercause_inv <= (others => '0'); timermask <= (others => '0'); timer0 <= (others => '0'); timer1 <= (others => '0'); timer1_set <= '0'; timer1_pre <= (others => '0'); timer1_ctc <= (others => '1'); timer1_ocr <= (others => '0'); int_timer1_ctc <= '0'; elsif clk_i'event and clk_i = '1' then if sel_i = '1' and wr_i = '1' then case segment is when "0001" => case class is when "0000" => -- Segment 0 case device is when "010000" => -- PAALTCFG0 (RW) paaltcfg0 <= data_i(3 downto 0); when others => end case; when "0001" => -- GPIO case device is when "000010" => -- GPIOCAUSE_INV (RW) gpiocause_inv <= data_i(3 downto 0); when "000011" => -- GPIOMASK (RW) gpiomask <= data_i(3 downto 0); when "010000" => -- PORTA case funct is when "0000" => -- PADDR (RW) paddr <= data_i(7 downto 0); when "0001" => -- PAOUT (RW) paout <= data_i(7 downto 0); when "0011" => -- PAIN_INV (RW) pain_inv <= data_i(7 downto 0); when "0100" => -- PAIN_MASK (RW) pain_mask <= data_i(7 downto 0); when others => end case; when others => end case; when "0010" => -- timers case device is when "000010" => -- TIMERCAUSE_INV (RW) timercause_inv <= data_i(3 downto 0); when "000011" => -- TIMERMASK (RW) timermask <= data_i(3 downto 0); when "010001" => -- TIMER1 case funct is when "0000" => -- TIMER1 (RW) if data_i(31) = '1' then timer1_set <= '1'; end if; if timer1_set = '1' then timer1 <= data_i(15 downto 0); timer1_set <= '0'; end if; when "0001" => -- TIMER1_PRE (RW) timer1_pre <= data_i(2 downto 0); when "0010" => -- TIMER1_CTC (RW) timer1_ctc <= data_i(15 downto 0); when "0011" => -- TIMER1_OCR (RW) timer1_ocr <= data_i(15 downto 0); when others => end case; when others => end case; when others => end case; when others => end case; end if; timer0 <= timer0 + 1; if tmr1_pulse = '1' then if (timer1 /= timer1_ctc) then if timer1_set = '0' then timer1 <= timer1 + 1; end if; else int_timer1_ctc <= not int_timer1_ctc; timer1 <= (others => '0'); end if; end if; end if; end process; process(clk_i, rst_i) -- TIMER1 prescaler begin if rst_i = '1' then tmr1_dly <= '0'; tmr1_dly2 <= '0'; elsif clk_i'event and clk_i = '1' then case timer1_pre is when "001" => tmr1_dly <= timer0(2); -- /4 when "010" => tmr1_dly <= timer0(4); -- /16 when "011" => tmr1_dly <= timer0(6); -- /64 when "100" => tmr1_dly <= timer0(8); -- /256 when "101" => tmr1_dly <= timer0(10); -- /1024 when "110" => tmr1_dly <= timer0(12); -- /4096 when "111" => tmr1_dly <= timer0(14); -- /16384 when others => tmr1_dly <= timer0(0); -- /1 end case; tmr1_dly2 <= tmr1_dly; end if; end process; tmr1_pulse <= '1' when tmr1_dly /= tmr1_dly2 else '0'; int_timer1_ocr <= '1' when timer1 < timer1_ocr else '0'; end peripherals_arch;
gpl-2.0
sjohann81/hf-risc
riscv/platform/virtex4_ml403/virtex4ml403.vhd
1
4854
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hfrisc_soc is generic( address_width: integer := 14; memory_file : string := "code.txt" ); port ( clk_in: in std_logic; reset_in: in std_logic; uart_read: in std_logic; uart_write: out std_logic ); end hfrisc_soc; architecture top_level of hfrisc_soc is signal clock, boot_enable, ram_enable_n, stall, ram_dly, rff1, reset: std_logic; signal address, data_read, data_write, data_read_boot, data_read_ram: std_logic_vector(31 downto 0); signal ext_irq: std_logic_vector(7 downto 0); signal data_we, data_w_n_ram: std_logic_vector(3 downto 0); signal periph, periph_dly, periph_wr, periph_irq: std_logic; signal data_read_periph, data_read_periph_s, data_write_periph: std_logic_vector(31 downto 0); signal gpioa_in, gpioa_out, gpioa_ddr: std_logic_vector(7 downto 0); signal gpio_sig: std_logic := '0'; begin -- clock divider (50MHz clock from 100MHz main clock for ML403 kit) process (reset_in, clk_in, clock) begin if reset_in = '1' then clock <= '0'; else if clk_in'event and clk_in='1' then clock <= not clock; end if; end if; end process; -- reset synchronizer process (clock, reset_in) begin if (reset_in = '0') then rff1 <= '1'; reset <= '1'; elsif (clock'event and clock = '1') then rff1 <= '0'; reset <= rff1; end if; end process; process (reset, clock, ext_irq, ram_enable_n) begin if reset = '1' then ram_dly <= '0'; periph_dly <= '0'; elsif clock'event and clock = '1' then ram_dly <= not ram_enable_n; periph_dly <= periph; end if; end process; stall <= '0'; boot_enable <= '1' when address(31 downto 28) = "0000" else '0'; ram_enable_n <= '0' when address(31 downto 28) = "0100" else '1'; data_read <= data_read_periph when periph = '1' or periph_dly = '1' else data_read_boot when address(31 downto 28) = "0000" and ram_dly = '0' else data_read_ram; data_w_n_ram <= not data_we; ext_irq <= "0000000" & periph_irq; gpioa_in(3) <= uart_read; uart_write <= gpioa_out(2); -- HF-RISCV core processor: entity work.processor port map( clk_i => clock, rst_i => reset, stall_i => stall, addr_o => address, data_i => data_read, data_o => data_write, data_w_o => data_we, data_mode_o => open, extio_in => ext_irq, extio_out => open ); data_read_periph <= data_read_periph_s(7 downto 0) & data_read_periph_s(15 downto 8) & data_read_periph_s(23 downto 16) & data_read_periph_s(31 downto 24); data_write_periph <= data_write(7 downto 0) & data_write(15 downto 8) & data_write(23 downto 16) & data_write(31 downto 24); periph_wr <= '1' when data_we /= "0000" else '0'; periph <= '1' when address(31 downto 28) = x"e" else '0'; peripherals: entity work.peripherals port map( clk_i => clock, rst_i => reset, addr_i => address, data_i => data_write_periph, data_o => data_read_periph_s, sel_i => periph, wr_i => periph_wr, irq_o => periph_irq, gpioa_in => gpioa_in, gpioa_out => gpioa_out, gpioa_ddr => gpioa_ddr ); -- instruction and data memory (boot RAM) boot_ram: entity work.ram generic map (memory_type => "DEFAULT") port map ( clk => clock, enable => boot_enable, write_byte_enable => "0000", address => address(31 downto 2), data_write => (others => '0'), data_read => data_read_boot ); -- instruction and data memory (external RAM) memory0lb: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 0) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(0), data_i => data_write(7 downto 0), data_o => data_read_ram(7 downto 0) ); memory0ub: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 1) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(1), data_i => data_write(15 downto 8), data_o => data_read_ram(15 downto 8) ); memory1lb: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 2) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(2), data_i => data_write(23 downto 16), data_o => data_read_ram(23 downto 16) ); memory1ub: entity work.bram generic map ( memory_file => memory_file, data_width => 8, address_width => address_width, bank => 3) port map( clk => clock, addr => address(address_width -1 downto 2), cs_n => ram_enable_n, we_n => data_w_n_ram(3), data_i => data_write(31 downto 24), data_o => data_read_ram(31 downto 24) ); end top_level;
gpl-2.0
sjohann81/hf-risc
riscv/platform/spartan3_starterkit/spartan3_SRAM.vhd
1
5234
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hfrisc_soc is generic( address_width: integer := 14; memory_file : string := "code.txt"; uart_support : string := "yes" ); port ( clk_in: in std_logic; reset_in: in std_logic; int_in: in std_logic; uart_read: in std_logic; uart_write: out std_logic; extio_in: in std_logic_vector(7 downto 0); extio_out: out std_logic_vector(7 downto 0); ram_address: out std_logic_vector(31 downto 2); ram_data: inout std_logic_vector(31 downto 0); ram_ce1_n: out std_logic; ram_ub1_n: out std_logic; ram_lb1_n: out std_logic; ram_ce2_n: out std_logic; ram_ub2_n: out std_logic; ram_lb2_n: out std_logic; ram_we_n: out std_logic; ram_oe_n: out std_logic ); end hfrisc_soc; architecture top_level of hfrisc_soc is signal clock, boot_enable, ram_enable_n, stall, stall_cpu, irq_cpu, irq_ack_cpu, exception_cpu, data_access_cpu, ram_dly, rff1, reset: std_logic; signal address, data_read, data_write, data_read_boot, data_read_ram, irq_vector_cpu, address_cpu, data_in_cpu, data_out_cpu: std_logic_vector(31 downto 0); signal data_we, data_w_cpu: std_logic_vector(3 downto 0); signal we_n_next : std_logic; signal we_n_reg : std_logic; signal data_reg : std_logic_vector(31 downto 0); begin -- clock divider (25MHz clock from 50MHz main clock for Spartan3 Starter Kit) process (reset_in, clk_in, clock, we_n_next) begin if reset_in = '1' then clock <= '0'; else if clk_in'event and clk_in='1' then clock <= not clock; end if; end if; if reset_in = '1' then we_n_reg <= '1'; elsif rising_edge(clk_in) then we_n_reg <= we_n_next or not clock; end if; if reset_in = '1' then data_read_ram <= (others => '0'); elsif rising_edge(clock) then data_read_ram <= ram_data; end if; end process; -- reset synchronizer process (clock, reset_in) begin if (reset_in = '1') then rff1 <= '1'; reset <= '1'; elsif (clock'event and clock = '1') then rff1 <= '0'; reset <= rff1; end if; end process; process (reset, clock, ram_enable_n) begin if reset = '1' then ram_dly <= '0'; elsif clock'event and clock = '1' then ram_dly <= not ram_enable_n; end if; end process; stall <= '0'; boot_enable <= '1' when address(31 downto 28) = "0000" else '0'; data_read <= data_read_boot when address(31 downto 28) = "0000" and ram_dly = '0' else data_read_ram; -- HF-RISC core core: entity work.datapath port map( clock => clock, reset => reset, stall => stall_cpu, irq_vector => irq_vector_cpu, irq => irq_cpu, irq_ack => irq_ack_cpu, exception => exception_cpu, address => address_cpu, data_in => data_in_cpu, data_out => data_out_cpu, data_w => data_w_cpu, data_access => data_access_cpu ); -- peripherals / busmux logic peripherals_busmux: entity work.busmux generic map( uart_support => uart_support ) port map( clock => clock, reset => reset, stall => stall, stall_cpu => stall_cpu, irq_vector_cpu => irq_vector_cpu, irq_cpu => irq_cpu, irq_ack_cpu => irq_ack_cpu, exception_cpu => exception_cpu, address_cpu => address_cpu, data_in_cpu => data_in_cpu, data_out_cpu => data_out_cpu, data_w_cpu => data_w_cpu, data_access_cpu => data_access_cpu, addr_mem => address, data_read_mem => data_read, data_write_mem => data_write, data_we_mem => data_we, extio_in => extio_in, extio_out => extio_out, uart_read => uart_read, uart_write => uart_write ); -- instruction and data memory (boot RAM) boot_ram: entity work.ram generic map (memory_type => "DEFAULT") port map ( clk => clock, enable => boot_enable, write_byte_enable => "0000", address => address(31 downto 2), data_write => (others => '0'), data_read => data_read_boot ); -- instruction and data memory (external SRAM) -- very simple SRAM memory controller using both IS61LV25616AL chips. -- these SRAMs have 16-bit words, so we use both chips and access each using low and -- high banks. using this arrangement, we have byte addressable 32-bit words. -- the address bus is controlled directly by the CPU. ram_enable_n <= '0' when address(31 downto 28) = "0100" else '1'; ram_address <= address(31 downto 2); ram_we_n <= we_n_reg; ram_control: process(clock, ram_enable_n, data_we, data_write) begin if ram_enable_n = '0' then --SRAM ram_ce1_n <= '0'; ram_ce2_n <= '0'; if data_we = "0000" then -- read ram_data <= (others => 'Z'); ram_ub1_n <= '0'; ram_lb1_n <= '0'; ram_ub2_n <= '0'; ram_lb2_n <= '0'; we_n_next <= '1'; ram_oe_n <= '0'; else -- write if clock = '1' then ram_data <= (others => 'Z'); else ram_data <= data_write; end if; ram_ub1_n <= not data_we(3); ram_lb1_n <= not data_we(2); ram_ub2_n <= not data_we(1); ram_lb2_n <= not data_we(0); we_n_next <= '0'; ram_oe_n <= '1'; end if; else ram_data <= (others => 'Z'); ram_ce1_n <= '1'; ram_ub1_n <= '1'; ram_lb1_n <= '1'; ram_ce2_n <= '1'; ram_ub2_n <= '1'; ram_lb2_n <= '1'; we_n_next <= '1'; ram_oe_n <= '1'; end if; end process; end top_level;
gpl-2.0
sjohann81/hf-risc
riscv/core_rv32i/bshifter.vhd
4
1568
library ieee; use ieee.std_logic_1164.all; entity bshift is port ( left: in std_logic; -- '1' for left, '0' for right logical: in std_logic; -- '1' for logical, '0' for arithmetic shift: in std_logic_vector(4 downto 0); -- shift count input: in std_logic_vector (31 downto 0); output: out std_logic_vector (31 downto 0) ); end entity bshift; architecture logic of bshift is signal shift1l, shift2l, shift4l, shift8l, shift16l : std_logic_vector(31 downto 0); signal shift1r, shift2r, shift4r, shift8r, shift16r : std_logic_vector(31 downto 0); signal fill : std_logic_vector(31 downto 16); begin fill <= (others => input(31)) when logical = '0' else x"0000"; shift1l <= input(30 downto 0) & '0' when shift(0) = '1' else input; shift2l <= shift1l(29 downto 0) & "00" when shift(1) = '1' else shift1l; shift4l <= shift2l(27 downto 0) & x"0" when shift(2) = '1' else shift2l; shift8l <= shift4l(23 downto 0) & x"00" when shift(3) = '1' else shift4l; shift16l <= shift8l(15 downto 0) & x"0000" when shift(4) = '1' else shift8l; shift1r <= fill(31) & input(31 downto 1) when shift(0) = '1' else input; shift2r <= fill(31 downto 30) & shift1r(31 downto 2) when shift(1) = '1' else shift1r; shift4r <= fill(31 downto 28) & shift2r(31 downto 4) when shift(2) = '1' else shift2r; shift8r <= fill(31 downto 24) & shift4r(31 downto 8) when shift(3) = '1' else shift4r; shift16r <= fill(31 downto 16) & shift8r(31 downto 16) when shift(4) = '1' else shift8r; output <= shift16r when left = '0' else shift16l; end;
gpl-2.0
sjohann81/hf-risc
mips/core_mips/bshifter.vhd
4
1568
library ieee; use ieee.std_logic_1164.all; entity bshift is port ( left: in std_logic; -- '1' for left, '0' for right logical: in std_logic; -- '1' for logical, '0' for arithmetic shift: in std_logic_vector(4 downto 0); -- shift count input: in std_logic_vector (31 downto 0); output: out std_logic_vector (31 downto 0) ); end entity bshift; architecture logic of bshift is signal shift1l, shift2l, shift4l, shift8l, shift16l : std_logic_vector(31 downto 0); signal shift1r, shift2r, shift4r, shift8r, shift16r : std_logic_vector(31 downto 0); signal fill : std_logic_vector(31 downto 16); begin fill <= (others => input(31)) when logical = '0' else x"0000"; shift1l <= input(30 downto 0) & '0' when shift(0) = '1' else input; shift2l <= shift1l(29 downto 0) & "00" when shift(1) = '1' else shift1l; shift4l <= shift2l(27 downto 0) & x"0" when shift(2) = '1' else shift2l; shift8l <= shift4l(23 downto 0) & x"00" when shift(3) = '1' else shift4l; shift16l <= shift8l(15 downto 0) & x"0000" when shift(4) = '1' else shift8l; shift1r <= fill(31) & input(31 downto 1) when shift(0) = '1' else input; shift2r <= fill(31 downto 30) & shift1r(31 downto 2) when shift(1) = '1' else shift1r; shift4r <= fill(31 downto 28) & shift2r(31 downto 4) when shift(2) = '1' else shift2r; shift8r <= fill(31 downto 24) & shift4r(31 downto 8) when shift(3) = '1' else shift4r; shift16r <= fill(31 downto 16) & shift8r(31 downto 16) when shift(4) = '1' else shift8r; output <= shift16r when left = '0' else shift16l; end;
gpl-2.0
sjohann81/hf-risc
mips/core_mips/control.vhd
1
17876
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity control is port ( opcode: in std_logic_vector(5 downto 0); rtx: in std_logic_vector(4 downto 0); funct: in std_logic_vector(5 downto 0); reg_dst: out std_logic; reg_write: out std_logic; alu_src: out std_logic; alu_op: out std_logic_vector(3 downto 0); jump: out std_logic_vector(1 downto 0); branch: out std_logic_vector(2 downto 0); br_link: out std_logic; reg_to_mem: out std_logic; mem_to_reg: out std_logic; signed_imm: out std_logic; mem_write: out std_logic_vector(1 downto 0); mem_read: out std_logic_vector(1 downto 0); signed_rd: out std_logic; shift: out std_logic ); end control; architecture arch_control of control is begin process(opcode, funct, rtx) begin case opcode is when "000000" => -- R type case funct is when "000000" => -- SLL reg_dst <= '1'; reg_write <= '1'; alu_src <= '1'; alu_op <= "1001"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '1'; when "000010" => -- SRL reg_dst <= '1'; reg_write <= '1'; alu_src <= '1'; alu_op <= "1010"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '1'; when "000011" => -- SRA reg_dst <= '1'; reg_write <= '1'; alu_src <= '1'; alu_op <= "1011"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '1'; when "000100" => -- SLLV reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "1100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '1'; when "000110" => -- SRLV reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "1101"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '1'; when "000111" => -- SRAV reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "1110"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '1'; when "001000" => -- JR reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0100"; jump <= "10"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "001001" => -- JALR reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0100"; jump <= "10"; branch <= "000"; br_link <= '1'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; -- when "001100" => -- SYSCALL -- when "001101" => -- BREAK -- when "010000" => -- MFHI -- when "010001" => -- MTHI -- when "010010" => -- MFLO -- when "010011" => -- MTLO -- when "011000" => -- MULT -- when "011001" => -- MULTU -- when "011010" => -- DIV -- when "011011" => -- DIVU -- when "100000" => -- ADD when "100001" => -- ADDU reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; -- when "100010" => -- SUB when "100011" => -- SUBU reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "100100" => -- AND reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0000"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "100101" => -- OR reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0001"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "100110" => -- XOR reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0010"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "100111" => -- NOR reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0011"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "101010" => -- SLT reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0111"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "101011" => -- SLTU reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "1000"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when others => -- all other R type instructions, generate a NOP reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0000"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; end case; when "000001" => case rtx is when "00000" => -- BLTZ reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "101"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "00001" => -- BGEZ reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "110"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "10000" => -- BLTZAL reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "101"; br_link <= '1'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "10001" => -- BGEZAL reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "110"; br_link <= '1'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when others => -- invalid instruction, generate a NOP reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; end case; when "000010" => -- J reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0100"; jump <= "01"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "000011" => -- JAL reg_dst <= '1'; reg_write <= '1'; alu_src <= '0'; alu_op <= "0100"; jump <= "01"; branch <= "000"; br_link <= '1'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "000100" => -- BEQ reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "001"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "000101" => -- BNE reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "010"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "000110" => -- BLEZ reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "011"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "000111" => -- BGTZ reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0101"; jump <= "00"; branch <= "100"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; -- when "001000" => -- ADDI when "001001" => -- ADDIU reg_dst <= '0'; reg_write <= '1'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "001010" => -- SLTI reg_dst <= '0'; reg_write <= '1'; alu_src <= '1'; alu_op <= "0111"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "001011" => -- SLTIU reg_dst <= '0'; reg_write <= '1'; alu_src <= '1'; alu_op <= "1000"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "001100" => -- ANDI reg_dst <= '0'; reg_write <= '1'; alu_src <= '1'; alu_op <= "0000"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "001101" => -- ORI reg_dst <= '0'; reg_write <= '1'; alu_src <= '1'; alu_op <= "0001"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "001110" => -- XORI reg_dst <= '0'; reg_write <= '1'; alu_src <= '1'; alu_op <= "0010"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "001111" => -- LUI reg_dst <= '0'; reg_write <= '1'; alu_src <= '1'; alu_op <= "0110"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; -- when "010000" => -- COP0 -- when "010001" => -- COP1 -- when "010010" => -- COP2 -- when "010011" => -- COP3 when "100000" => -- LB reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '1'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "01"; signed_rd <= '1'; shift <= '0'; when "100001" => -- LH reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '1'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "10"; signed_rd <= '1'; shift <= '0'; -- when "100010" => -- LWL when "100011" => -- LW reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '1'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "11"; signed_rd <= '0'; shift <= '0'; when "100100" => -- LBU reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '1'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "01"; signed_rd <= '0'; shift <= '0'; when "100101" => -- LHU reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '1'; signed_imm <= '1'; mem_write <= "00"; mem_read <= "10"; signed_rd <= '0'; shift <= '0'; -- when "100110" => -- LWR when "101000" => -- SB reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '1'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "01"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; when "101001" => -- SH reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '1'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "10"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; -- when "101010" => -- SWL when "101011" => -- SW reg_dst <= '0'; reg_write <= '0'; alu_src <= '1'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '1'; mem_to_reg <= '0'; signed_imm <= '1'; mem_write <= "11"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; -- when "101110" => -- SWR -- when "110000" => -- LWC0 -- when "110001" => -- LWC1 -- when "110010" => -- LWC2 -- when "110011" => -- LWC3 -- when "111000" => -- SWC0 -- when "111001" => -- SWC1 -- when "111010" => -- SWC2 -- when "111011" => -- SWC3 when others => -- invalid instruction, generate a NOP reg_dst <= '0'; reg_write <= '0'; alu_src <= '0'; alu_op <= "0100"; jump <= "00"; branch <= "000"; br_link <= '0'; reg_to_mem <= '0'; mem_to_reg <= '0'; signed_imm <= '0'; mem_write <= "00"; mem_read <= "00"; signed_rd <= '0'; shift <= '0'; end case; end process; end arch_control;
gpl-2.0
sjohann81/hf-risc
riscv/core_rv32e/cpu.vhd
3
2184
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity processor is port ( clk_i: in std_logic; rst_i: in std_logic; stall_i: in std_logic; addr_o: out std_logic_vector(31 downto 0); data_i: in std_logic_vector(31 downto 0); data_o: out std_logic_vector(31 downto 0); data_w_o: out std_logic_vector(3 downto 0); data_mode_o: out std_logic_vector(2 downto 0); extio_in: in std_logic_vector(7 downto 0); extio_out: out std_logic_vector(7 downto 0) ); end processor; architecture arch_processor of processor is signal stall_cpu, mwait_cpu, irq_cpu, irq_ack_cpu, exception_cpu, data_b_cpu, data_h_cpu, data_access_cpu: std_logic; signal irq_vector_cpu, inst_addr_cpu, inst_in_cpu, data_addr_cpu, data_in_cpu, data_out_cpu: std_logic_vector(31 downto 0); signal data_w_cpu: std_logic_vector(3 downto 0); begin data_mode_o <= data_b_cpu & data_h_cpu & data_access_cpu; -- HF-RISC core core: entity work.datapath port map( clock => clk_i, reset => rst_i, stall => stall_cpu, mwait => mwait_cpu, irq_vector => irq_vector_cpu, irq => irq_cpu, irq_ack => irq_ack_cpu, exception => exception_cpu, inst_addr => inst_addr_cpu, inst_in => inst_in_cpu, data_addr => data_addr_cpu, data_in => data_in_cpu, data_out => data_out_cpu, data_w => data_w_cpu, data_b => data_b_cpu, data_h => data_h_cpu, data_access => data_access_cpu ); -- interrupt controller int_control: entity work.interrupt_controller port map( clock => clk_i, reset => rst_i, stall => stall_i, stall_cpu => stall_cpu, mwait_cpu => mwait_cpu, irq_vector_cpu => irq_vector_cpu, irq_cpu => irq_cpu, irq_ack_cpu => irq_ack_cpu, exception_cpu => exception_cpu, inst_addr_cpu => inst_addr_cpu, inst_in_cpu => inst_in_cpu, data_addr_cpu => data_addr_cpu, data_in_cpu => data_in_cpu, data_out_cpu => data_out_cpu, data_w_cpu => data_w_cpu, data_access_cpu => data_access_cpu, addr_mem => addr_o, data_read_mem => data_i, data_write_mem => data_o, data_we_mem => data_w_o, extio_in => extio_in, extio_out => extio_out ); end arch_processor;
gpl-2.0
jasonpeng/cg3207-proj
Mem_test.vhd
1
4559
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 20:19:59 10/31/2013 -- Design Name: -- Module Name: D:/AY1314/CG3207/Lab3/MEM_TEST.vhd -- Project Name: Lab3 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: DataMemory -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY MEM_TEST IS END MEM_TEST; ARCHITECTURE behavior OF MEM_TEST IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT DataMemory PORT( CLK : IN std_logic; RESET : IN std_logic; MEM_MemWrite : IN std_logic; MEM_MemToReg : IN std_logic; MEM_MemRead : IN std_logic; MEM_Branch : IN std_logic; MEM_OVF : IN std_logic; MEM_Zero : IN std_logic; MEM_ALU_Result : IN std_logic_vector(31 downto 0); MEM_BEQ_Addr : IN std_logic_vector(31 downto 0); MEM_Data2 : IN std_logic_vector(31 downto 0); MEM_REG_WriteAddr : IN std_logic_vector(4 downto 0); WB_PCSrc : OUT std_logic; WB_Data : OUT std_logic_vector(31 downto 0); WB_ALU_Result : OUT std_logic_vector(31 downto 0); WB_BEQ_Addr : OUT std_logic_vector(31 downto 0); WB_REG_WriteAddr : OUT std_logic_vector(4 downto 0) ); END COMPONENT; --Inputs signal CLK : std_logic := '0'; signal RESET : std_logic := '0'; signal MEM_MemWrite : std_logic := '0'; signal MEM_MemToReg : std_logic := '0'; signal MEM_MemRead : std_logic := '0'; signal MEM_Branch : std_logic := '0'; signal MEM_OVF : std_logic := '0'; signal MEM_Zero : std_logic := '0'; signal MEM_ALU_Result : std_logic_vector(31 downto 0) := (others => '0'); signal MEM_BEQ_Addr : std_logic_vector(31 downto 0) := (others => '0'); signal MEM_Data2 : std_logic_vector(31 downto 0) := (others => '0'); signal MEM_REG_WriteAddr : std_logic_vector(4 downto 0) := (others => '0'); --Outputs signal WB_PCSrc : std_logic; signal WB_Data : std_logic_vector(31 downto 0); signal WB_ALU_Result : std_logic_vector(31 downto 0); signal WB_BEQ_Addr : std_logic_vector(31 downto 0); signal WB_REG_WriteAddr : std_logic_vector(4 downto 0); -- Clock period definitions constant CLK_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: DataMemory PORT MAP ( CLK => CLK, RESET => RESET, MEM_MemWrite => MEM_MemWrite, MEM_MemToReg => MEM_MemToReg, MEM_MemRead => MEM_MemRead, MEM_Branch => MEM_Branch, MEM_OVF => MEM_OVF, MEM_Zero => MEM_Zero, MEM_ALU_Result => MEM_ALU_Result, MEM_BEQ_Addr => MEM_BEQ_Addr, MEM_Data2 => MEM_Data2, MEM_REG_WriteAddr => MEM_REG_WriteAddr, WB_PCSrc => WB_PCSrc, WB_Data => WB_Data, WB_ALU_Result => WB_ALU_Result, WB_BEQ_Addr => WB_BEQ_Addr, WB_REG_WriteAddr => WB_REG_WriteAddr ); -- Clock process definitions CLK_process :process begin CLK <= '0'; wait for CLK_period/2; CLK <= '1'; wait for CLK_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for CLK_period; -- insert stimulus here -- read from address 0x4 MEM_MemRead <= '1'; MEM_MemWrite <= '0'; MEM_ALU_Result <= X"00000004"; wait until rising_edge(CLK); -- write 0xe to address 0x4 MEM_MemRead <= '0'; MEM_MemWrite <= '1'; MEM_ALU_Result <= X"00000004"; MEM_Data2 <= X"0000000E"; wait until rising_edge(CLK); -- read again from address 0x4 MEM_MemRead <= '1'; MEM_MemWrite <= '0'; MEM_ALU_Result <= X"00000004"; wait; end process; END;
gpl-2.0
jasonpeng/cg3207-proj
ALU/AddSub.vhd
1
3556
library ieee; use ieee.std_logic_1164.all; entity addsub_lookahead is Port ( Control : in STD_LOGIC_VECTOR ( 2 downto 0); Operand1 : in STD_LOGIC_VECTOR (31 downto 0); Operand2 : in STD_LOGIC_VECTOR (31 downto 0); Result1 : out STD_LOGIC_VECTOR (31 downto 0); Result2 : out STD_LOGIC_VECTOR (31 downto 0); Debug : out STD_LOGIC_VECTOR (27 downto 0)); end addsub_lookahead; architecture beh_addsub_lookahead of addsub_lookahead is component adder_lookahead_4 Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Cin : in STD_LOGIC; SUM : out STD_LOGIC_VECTOR (3 downto 0); Cout: out STD_LOGIC ); end component; signal Cin: STD_LOGIC; signal C: STD_LOGIC_VECTOR(7 downto 0); signal OP2: STD_LOGIC_VECTOR(31 downto 0); signal buffer1: STD_LOGIC_VECTOR(31 downto 0); begin L0: adder_lookahead_4 port map(Operand1(3 downto 0), OP2(3 downto 0), Cin, buffer1(3 downto 0), C(0)); L1: adder_lookahead_4 port map(Operand1(7 downto 4), OP2(7 downto 4), C(0), buffer1(7 downto 4), C(1)); L2: adder_lookahead_4 port map(Operand1(11 downto 8), OP2(11 downto 8), C(1), buffer1(11 downto 8), C(2)); L3: adder_lookahead_4 port map(Operand1(15 downto 12), OP2(15 downto 12), C(2), buffer1(15 downto 12), C(3)); L4: adder_lookahead_4 port map(Operand1(19 downto 16), OP2(19 downto 16), C(3), buffer1(19 downto 16), C(4)); L5: adder_lookahead_4 port map(Operand1(23 downto 20), OP2(23 downto 20), C(4), buffer1(23 downto 20), C(5)); L6: adder_lookahead_4 port map(Operand1(27 downto 24), OP2(27 downto 24), C(5), buffer1(27 downto 24), C(6)); L7: adder_lookahead_4 port map(Operand1(31 downto 28), OP2(31 downto 28), C(6), buffer1(31 downto 28), C(7)); Result1 <= buffer1; Result2 <= (others => '0'); process (Control, Operand1, Operand2, C, buffer1) variable O : STD_LOGIC := '0'; variable operand_same_sign : STD_LOGIC := '0'; variable result_diff_sign : STD_LOGIC := '0'; begin if (Control(1) = '1') then Cin <= '1'; OP2 <= NOT Operand2; else Cin <= '0'; OP2 <= Operand2; end if; if (Control(0) = '0') then operand_same_sign := NOT (Operand1(31) XOR Operand2(31)); result_diff_sign := Operand1(31) XOR buffer1(31); O := operand_same_sign and result_diff_sign; Debug <= X"0000" & "000" & O & C; -- add, sub with overflow trap/flag else Debug <= X"00000" & C; --addu, subu end if; end process; end beh_addsub_lookahead; --- lookahead entity library ieee; use ieee.std_logic_1164.all; entity adder_lookahead_4 is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Cin: in STD_LOGIC; SUM : out STD_LOGIC_VECTOR (3 downto 0); Cout : out STD_LOGIC ); end adder_lookahead_4; architecture beh_adder_lookahead_4 of adder_lookahead_4 is begin process (A, B, Cin) variable G : STD_LOGIC_VECTOR (3 downto 0); variable P : STD_LOGIC_VECTOR (3 downto 0); variable C: STD_LOGIC_VECTOR (4 downto 0); begin G := A AND B; P := A OR B; C(0) := Cin; C(1) := G(0) OR (P(0) AND C(0)); C(2) := G(1) OR (G(0) AND P(1)) OR (C(0) AND P(0) AND P(1)); C(3) := G(2) OR (G(1) AND P(2)) OR (G(0) AND P(1) AND P(2)) OR (C(0) AND P(0) AND P(1) AND P(2)); C(4) := G(3) OR (G(2) AND P(3)) OR (G(1) AND P(2) AND P(3)) OR (G(0) AND P(1) AND P(2) AND P(3)) OR (C(0) AND P(0) AND P(1) AND P(2) AND P(3)); Sum <= (A(3) xor B(3) xor C(3)) & (A(2) xor B(2) xor C(2)) & (A(1) xor B(1) xor C(1)) & (A(0) xor B(0) xor C(0)); Cout <= C(4); end process; end beh_adder_lookahead_4;
gpl-2.0
sjohann81/hf-risc
devices/peripherals/basic_soc.vhd
1
13162
-- file: basic_soc.vhd -- description: basic SoC with peripherals -- date: 07/2019 -- author: Sergio Johann Filho <[email protected]> -- -- Basic SoC configuration template for prototyping. Dual GPIO ports, -- a counter, a timer and a UART are included in this version. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity peripherals is port ( clk_i: in std_logic; rst_i: in std_logic; addr_i: in std_logic_vector(31 downto 0); data_i: in std_logic_vector(31 downto 0); data_o: out std_logic_vector(31 downto 0); sel_i: in std_logic; wr_i: in std_logic; irq_o: out std_logic; gpioa_in: in std_logic_vector(15 downto 0); gpioa_out: out std_logic_vector(15 downto 0); gpioa_ddr: out std_logic_vector(15 downto 0); gpiob_in: in std_logic_vector(15 downto 0); gpiob_out: out std_logic_vector(15 downto 0); gpiob_ddr: out std_logic_vector(15 downto 0) ); end peripherals; architecture peripherals_arch of peripherals is signal segment: std_logic_vector(3 downto 0); signal class: std_logic_vector(3 downto 0); signal device: std_logic_vector(5 downto 0); signal funct: std_logic_vector(3 downto 0); signal paaltcfg0: std_logic_vector(23 downto 0); signal s0cause, gpiocause, gpiocause_inv, gpiomask, timercause, timercause_inv, timermask: std_logic_vector(3 downto 0); signal paddr, paout, pain, pain_inv, pain_mask: std_logic_vector(15 downto 0); signal pbddr, pbout, pbin, pbin_inv, pbin_mask: std_logic_vector(15 downto 0); signal timer0: std_logic_vector(31 downto 0); signal timer1, timer1_ctc, timer1_ocr: std_logic_vector(15 downto 0); signal timer1_pre: std_logic_vector(2 downto 0); signal timer1_set: std_logic; signal int_gpio, int_timer: std_logic; signal int_gpioa, int_gpiob, int_timer1_ocr, int_timer1_ctc, tmr1_pulse, tmr1_dly, tmr1_dly2: std_logic; signal paalt0, paalt2, paalt8, paalt10: std_logic; signal int_uart, uart0_tx, uart0_rx, uart0_enable_w, uart0_enable_r, uart0_write_busy, uart0_data_avail: std_logic; signal uartcause, uartcause_inv, uartmask: std_logic_vector(3 downto 0); signal uart0_data_read, uart0_data_write: std_logic_vector(7 downto 0); signal uart0_divisor: std_logic_vector(15 downto 0); begin segment <= addr_i(27 downto 24); class <= addr_i(19 downto 16); device <= addr_i(15 downto 10); funct <= addr_i(7 downto 4); irq_o <= '1' when s0cause /= "0000" else '0'; s0cause <= int_uart & int_timer & int_gpio & '0'; int_gpio <= '1' when ((gpiocause xor gpiocause_inv) and gpiomask) /= "0000" else '0'; gpiocause <= "00" & int_gpiob & int_gpioa; int_gpioa <= '1' when ((pain xor pain_inv) and pain_mask) /= "0000" else '0'; int_gpiob <= '1' when ((pbin xor pbin_inv) and pbin_mask) /= "0000" else '0'; int_timer <= '1' when ((timercause xor timercause_inv) and timermask) /= "0000" else '0'; timercause <= int_timer1_ocr & int_timer1_ctc & timer0(18) & timer0(16); pain <= gpioa_in(15 downto 0); gpioa_out <= paout(15 downto 11) & paalt10 & paout(9) & paalt8 & paout(7 downto 3) & paalt2 & paout(1) & paalt0; gpioa_ddr <= paddr; pbin <= gpiob_in(15 downto 0); gpiob_out <= pbout; gpiob_ddr <= pbddr; int_uart <= '1' when ((uartcause xor uartcause_inv) and uartmask) /= "0000" else '0'; uartcause <= "00" & uart0_write_busy & uart0_data_avail; paalt0 <= int_timer1_ctc when paaltcfg0(1 downto 0) = "01" else int_timer1_ocr when paaltcfg0(1 downto 0) = "10" else paout(0); paalt2 <= uart0_tx when paaltcfg0(5 downto 4) = "01" else paout(2); paalt8 <= int_timer1_ctc when paaltcfg0(17 downto 16) = "01" else int_timer1_ocr when paaltcfg0(17 downto 16) = "10" else paout(8); paalt10 <= uart0_tx when paaltcfg0(21 downto 20) = "01" else paout(10); uart0_rx <= gpioa_in(3) when paaltcfg0(7 downto 6) = "01" else gpioa_in(11) when paaltcfg0(23 downto 22) = "01" else '1'; -- address decoder, read from peripheral registers process(clk_i, rst_i, segment, class, device, funct) begin if rst_i = '1' then data_o <= (others => '0'); uart0_enable_r <= '0'; elsif clk_i'event and clk_i = '1' then if sel_i = '1' then case segment is when "0001" => case class is when "0000" => -- Segment 0 case device is when "000001" => -- S0CAUSE (RO) data_o <= x"0000000" & s0cause; when "010000" => -- PAALTCFG0 (RW) data_o <= x"00" & paaltcfg0; when others => data_o <= (others => '0'); end case; when "0001" => -- GPIO case device is when "000001" => -- GPIOCAUSE (RO) data_o <= x"0000000" & gpiocause; when "000010" => -- GPIOCAUSE_INV (RW) data_o <= x"0000000" & gpiocause_inv; when "000011" => -- GPIOMASK (RW) data_o <= x"0000000" & gpiomask; when "010000" => -- PORTA case funct is when "0000" => -- PADDR (RW) data_o <= x"0000" & paddr; when "0001" => -- PAOUT (RW) data_o <= x"0000" & paout; when "0010" => -- PAIN (RO) data_o <= x"0000" & pain; when "0011" => -- PAIN_INV (RW) data_o <= x"0000" & pain_inv; when "0100" => -- PAIN_MASK (RW) data_o <= x"0000" & pain_mask; when others => data_o <= (others => '0'); end case; when "010001" => -- PORTB case funct is when "0000" => -- PBDDR (RW) data_o <= x"0000" & pbddr; when "0001" => -- PBOUT (RW) data_o <= x"0000" & pbout; when "0010" => -- PBIN (RO) data_o <= x"0000" & pbin; when "0011" => -- PBIN_INV (RW) data_o <= x"0000" & pbin_inv; when "0100" => -- PBIN_MASK (RW) data_o <= x"0000" & pbin_mask; when others => data_o <= (others => '0'); end case; when others => data_o <= (others => '0'); end case; when "0010" => -- timers case device is when "000001" => -- TIMERCAUSE (RO) data_o <= x"0000000" & timercause; when "000010" => -- TIMERCAUSE_INV (RW) data_o <= x"0000000" & timercause_inv; when "000011" => -- TIMERMASK (RW) data_o <= x"0000000" & timermask; when "010000" => -- TIMER0 (RO) data_o <= timer0; when "010001" => -- TIMER1 case funct is when "0000" => -- TIMER1 (RW) data_o <= x"0000" & timer1; when "0001" => -- TIMER1_PRE (RW) data_o <= x"0000000" & '0' & timer1_pre; when "0010" => -- TIMER1_CTC (RW) data_o <= x"0000" & timer1_ctc; when "0011" => -- TIMER1_OCR (RW) data_o <= x"0000" & timer1_ocr; when others => data_o <= (others => '0'); end case; when others => data_o <= (others => '0'); end case; when "0011" => -- UARTs case device is when "000001" => -- TIMERCAUSE (RO) data_o <= x"0000000" & uartcause; when "000010" => -- UARTCAUSE_INV (RW) data_o <= x"0000000" & uartcause_inv; when "000011" => -- UARTMASK (RW) data_o <= x"0000000" & uartmask; when "010000" => -- UART0 case funct is when "0000" => -- UART0 (RW) data_o <= x"000000" & uart0_data_read; uart0_enable_r <= '1'; when "0001" => -- UART0DIV (RW) data_o <= x"0000" & uart0_divisor; when others => end case; when others => end case; when others => data_o <= (others => '0'); end case; when others => data_o <= (others => '0'); end case; else uart0_enable_r <= '0'; end if; end if; end process; -- peripheral register logic, write to peripheral registers process(clk_i, rst_i, segment, class, device, funct, tmr1_pulse) begin if rst_i = '1' then paaltcfg0 <= (others => '0'); gpiocause_inv <= (others => '0'); gpiomask <= (others => '0'); paout <= (others => '0'); pain_inv <= (others => '0'); pain_mask <= (others => '0'); paddr <= (others => '0'); pbout <= (others => '0'); pbin_inv <= (others => '0'); pbin_mask <= (others => '0'); pbddr <= (others => '0'); timercause_inv <= (others => '0'); timermask <= (others => '0'); timer0 <= (others => '0'); timer1 <= (others => '0'); timer1_set <= '0'; timer1_pre <= (others => '0'); timer1_ctc <= (others => '1'); timer1_ocr <= (others => '0'); int_timer1_ctc <= '0'; uartcause_inv <= (others => '0'); uartmask <= (others => '0'); uart0_enable_w <= '0'; uart0_data_write <= (others => '0'); uart0_divisor <= (others => '0'); elsif clk_i'event and clk_i = '1' then if sel_i = '1' and wr_i = '1' then case segment is when "0001" => case class is when "0000" => -- Segment 0 case device is when "010000" => -- PAALTCFG0 (RW) paaltcfg0 <= data_i(23 downto 0); when others => end case; when "0001" => -- GPIO case device is when "000010" => -- GPIOCAUSE_INV (RW) gpiocause_inv <= data_i(3 downto 0); when "000011" => -- GPIOMASK (RW) gpiomask <= data_i(3 downto 0); when "010000" => -- PORTA case funct is when "0000" => -- PADDR (RW) paddr <= data_i(15 downto 0); when "0001" => -- PAOUT (RW) paout <= data_i(15 downto 0); when "0011" => -- PAIN_INV (RW) pain_inv <= data_i(15 downto 0); when "0100" => -- PAIN_MASK (RW) pain_mask <= data_i(15 downto 0); when others => end case; when "010001" => -- PORTB case funct is when "0000" => -- PBDDR (RW) pbddr <= data_i(15 downto 0); when "0001" => -- PBOUT (RW) pbout <= data_i(15 downto 0); when "0011" => -- PBIN_INV (RW) pbin_inv <= data_i(15 downto 0); when "0100" => -- PBIN_MASK (RW) pbin_mask <= data_i(15 downto 0); when others => end case; when others => end case; when "0010" => -- timers case device is when "000010" => -- TIMERCAUSE_INV (RW) timercause_inv <= data_i(3 downto 0); when "000011" => -- TIMERMASK (RW) timermask <= data_i(3 downto 0); when "010001" => -- TIMER1 case funct is when "0000" => -- TIMER1 (RW) if data_i(31) = '1' then timer1_set <= '1'; end if; if timer1_set = '1' then timer1 <= data_i(15 downto 0); timer1_set <= '0'; end if; when "0001" => -- TIMER1_PRE (RW) timer1_pre <= data_i(2 downto 0); when "0010" => -- TIMER1_CTC (RW) timer1_ctc <= data_i(15 downto 0); when "0011" => -- TIMER1_OCR (RW) timer1_ocr <= data_i(15 downto 0); when others => end case; when others => end case; when "0011" => -- UARTs case device is when "000010" => -- UARTCAUSE_INV (RW) uartcause_inv <= data_i(3 downto 0); when "000011" => -- UARTMASK (RW) uartmask <= data_i(3 downto 0); when "010000" => -- UART0 case funct is when "0000" => -- UART0 (RW) uart0_data_write <= data_i(7 downto 0); uart0_enable_w <= '1'; when "0001" => -- UART0DIV (RW) uart0_divisor <= data_i(15 downto 0); when others => end case; when others => end case; when others => end case; when others => end case; else uart0_enable_w <= '0'; end if; timer0 <= timer0 + 1; if tmr1_pulse = '1' then if (timer1 /= timer1_ctc) then if timer1_set = '0' then timer1 <= timer1 + 1; end if; else int_timer1_ctc <= not int_timer1_ctc; timer1 <= (others => '0'); end if; end if; end if; end process; process(clk_i, rst_i) -- TIMER1 prescaler begin if rst_i = '1' then tmr1_dly <= '0'; tmr1_dly2 <= '0'; elsif clk_i'event and clk_i = '1' then case timer1_pre is when "001" => tmr1_dly <= timer0(2); -- /4 when "010" => tmr1_dly <= timer0(4); -- /16 when "011" => tmr1_dly <= timer0(6); -- /64 when "100" => tmr1_dly <= timer0(8); -- /256 when "101" => tmr1_dly <= timer0(10); -- /1024 when "110" => tmr1_dly <= timer0(12); -- /4096 when "111" => tmr1_dly <= timer0(14); -- /16384 when others => tmr1_dly <= timer0(0); -- /1 end case; tmr1_dly2 <= tmr1_dly; end if; end process; tmr1_pulse <= '1' when tmr1_dly /= tmr1_dly2 else '0'; int_timer1_ocr <= '1' when timer1 < timer1_ocr else '0'; uart0: entity work.uart port map( clk => clk_i, reset => rst_i, divisor => uart0_divisor(11 downto 0), enable_read => uart0_enable_r, enable_write => uart0_enable_w, data_in => uart0_data_write, data_out => uart0_data_read, uart_read => uart0_rx, uart_write => uart0_tx, busy_write => uart0_write_busy, data_avail => uart0_data_avail ); end peripherals_arch;
gpl-2.0
trcwm/fptool
ghdl/csd_test_prolog.vhdl
1
616
-- -- -- -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.MATH_REAL.ALL; entity tb is end entity; architecture behavior of tb is signal a : SIGNED(15 downto 0); -- Q(1,15) signal result : SIGNED(21 downto 0); -- Q(4,18) signal result_real : REAL; begin proc_sim: process variable result_real : real; begin a <= "0111111111111111"; wait for 10 ns; result_real := real(TO_INTEGER(result)); result_real := result_real*2.0**(-18.0); report "The value of 'result' is " & real'image(result_real); wait; end process proc_sim;
gpl-2.0
dl3yc/sdr-fm
dev/div/div.vhd
1
1866
-- DIV module for Betty SDR -- implements an iterative restoring divider -- heavily based on 8-bit Restoring Divider(p.94 U. Meyer-Baese "DSP with FPGA") -- file: div.vhd -- author: Sebastian Weiss DL3YC <[email protected]> -- version: 1.0 -- -- change log: -- - release implementation 1.0 -- - tested with use cases -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity div is generic ( WN : natural; WD : natural ); port ( clk : in std_logic; stb : in std_logic; num : in signed(WN-1 downto 0); denom : in signed(WD-1 downto 0); quot : out signed(WN-1 downto 0); remaind : out signed(WD-1 downto 0); rdy : out std_logic ); end entity div; architecture rtl of div is type state_t is (init, processing, restoring, establish); signal state : state_t; signal r, d : signed(WN+WD-1 downto 0) := (others => '0'); signal q : signed(WN-1 downto 0); signal count : integer range 0 to WN; begin process begin wait until rising_edge(clk); case state is when init => rdy <= '0'; if stb = '1' then state <= processing; count <= 0; q <= (others => '0'); if denom = 0 then state <= establish; d <= to_signed(1,d'length); r <= (others => '0'); else d <= shift_left(resize(denom,d'length),WN-1); r <= resize(num,r'length); end if; end if; when processing => r <= r - d; state <= restoring; when restoring => if r < 0 then r <= r + d; q <= shift_left(q,1); else q <= shift_left(q,1) + 1; end if; count <= count + 1; d <= d / 2; if count = WN-1 then state <= establish; else state <= processing; end if; when establish => quot <= q; remaind <= resize(r,remaind'length); rdy <= '1'; state <= init; end case; end process; end architecture rtl;
gpl-2.0
dl3yc/sdr-fm
testing/fir-1.0/inc/fir_coeff.vhd
2
1826
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.fir_types.all; package fir_coeff_lib is constant fir_order : natural := 128; type integer_vector is array(fir_order downto 0) of integer; constant fir_coeff_content : integer_vector := ( 30251, 25860, 4784, -21256, -36393, -29458, -1554, 32410, 50644, 37512, -4503, -52413, -74050, -48513, 16566, 84046, 107070, 60122, -38397, -130080, -149580, -69234, 74231, 193160, 200780, 71802, -129020, -276090, -259370, -62958, 208440, 381780, 323340, 36338, -319910, -514420, -390640, 16054, 473460, 680160, 458700, -106210, -685690, -891130, -526160, 252490, 985540, 1170900, 592070, -491400, -1436600, -1577800, -662630, 904470, 2199700, 2274900, 763940, -1750000, -3862800, -3974600, -1129700, 4365600, 10902000, 16178000, 18199000, 16178000, 10902000, 4365600, -1129700, -3974600, -3862800, -1750000, 763940, 2274900, 2199700, 904470, -662630, -1577800, -1436600, -491400, 592070, 1170900, 985540, 252490, -526160, -891130, -685690, -106210, 458700, 680160, 473460, 16054, -390640, -514420, -319910, 36338, 323340, 381780, 208440, -62958, -259370, -276090, -129020, 71802, 200780, 193160, 74231, -69234, -149580, -130080, -38397, 60122, 107070, 84046, 16566, -48513, -74050, -52413, -4503, 37512, 50644, 32410, -1554, -29458, -36393, -21256, 4784, 25860, 30251 ); function to_fir_coeff_t(iv: integer_vector) return fir_coeff_t; end package fir_coeff_lib; package body fir_coeff_lib is function to_fir_coeff_t(iv: integer_vector) return fir_coeff_t is variable ret : fir_coeff_t(fir_order downto 0); begin for i in 0 to fir_order loop ret(i) := to_signed(iv(i) ,27); end loop; return ret; end function; end package body fir_coeff_lib;
gpl-2.0
dl3yc/sdr-fm
testing/euler-1.0/sim/euler_tb.vhd
1
5201
-- title: Testbench for VCORDIC -- author: Sebastian Weiss -- last change: 03.12.14 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use IEEE.math_real.all; entity euler_tb is end entity; architecture behavioral of euler_tb is constant A : natural := 16; constant P : natural := 24; constant N : natural := 15; signal clk : std_logic := '0'; signal i : signed(A-1 downto 0) := (others => '0'); signal q : signed(A-1 downto 0) := (others => '0'); signal amp : unsigned(A-1 downto 0); signal phi : signed(P-1 downto 0) := (others => '0'); begin dut : entity work.euler generic map( A => A, P => P, N => N ) port map( clk => clk, i => i, q => q, amp => amp, phi => phi ); process begin wait until rising_edge(clk); i <= to_signed(integer(1.0 * 2.0**(A-1)-1.0),A); q <= to_signed(integer(1.0 * 2.0**(A-1)-1.0),A); wait until rising_edge(clk); i <= to_signed(integer(0.7071 * 2.0**(A-1)),A); q <= to_signed(integer(0.7071 * 2.0**(A-1)),A); wait until rising_edge(clk); i <= to_signed(integer(0.5 * 2.0**(A-1)),A); q <= to_signed(integer(0.2 * 2.0**(A-1)),A); wait until rising_edge(clk); i <= to_signed(integer(-0.1 * 2.0**(A-1)),A); q <= to_signed(integer(0.9 * 2.0**(A-1)),A); wait until rising_edge(clk); i <= to_signed(integer(-1.0 * 2.0**(A-1)+1.0),A); q <= to_signed(integer(-1.0 * 2.0**(A-1)+1.0),A); wait until rising_edge(clk); i <= to_signed(integer(-0.7071 * 2.0**(A-1)),A); q <= to_signed(integer(0.7071 * 2.0**(A-1)),A); wait until rising_edge(clk); i <= to_signed(integer(0.5 * 2.0**(A-1)),A); q <= to_signed(integer(-0.2 * 2.0**(A-1)),A); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); wait until rising_edge(clk); i <= to_signed(integer(0.0 * (2.0**(A-1)-1.0)),A); q <= to_signed(integer(0.0 * (2.0**(A-1)-1.0)),A); wait until rising_edge(clk); assert (amp < to_unsigned(integer(1.01 * 1.4142 * 2.0**(A-1)),A)) and (amp >= to_unsigned(integer(0.99 * 1.4142 * 2.0**(A-1)),A)) report "case 1 failed!" severity error; assert (phi < to_signed(integer(1.01 * atan(1.0/1.0)/(MATH_PI) * 2.0**(P-1)),P)) and (phi >= to_signed(integer(0.99 * atan(1.0/1.0)/(MATH_PI) * 2.0**(P-1)),P)) report "case 2 failed!" severity error; wait until rising_edge(clk); assert (amp < to_unsigned(integer(1.01 * 1.0 * 2.0**(A-1)),A)) and (amp >=to_unsigned(integer(0.99 * 1.0 * 2.0**(A-1)),A)) report "case 3 failed!" severity error; assert (phi < to_signed(integer(1.01 * atan(0.7071/0.7071)/(MATH_PI) * 2.0**(P-1)),P)) and (phi >= to_signed(integer(0.99 * atan(0.7071/0.7071)/(MATH_PI) * 2.0**(P-1)),P)) report "case 4 failed!" severity error; wait until rising_edge(clk); assert (amp < to_unsigned(integer(1.01 * 0.5385 * 2.0**(A-1)),A)) and (amp >=to_unsigned(integer(0.99 * 0.5385 * 2.0**(A-1)),A)) report "case 5 failed!" severity error; assert (phi < to_signed(integer(1.01 * atan(0.5/0.2)/(MATH_PI) * 2.0**(P-1)),P)) and (phi >= to_signed(integer(0.99 * atan(0.5/0.2)/(MATH_PI) * 2.0**(P-1)),P)) report "case 6 failed!" severity error; wait until rising_edge(clk); assert (amp < to_unsigned(integer(1.01 * 0.9055 * 2.0**(A-1)),A)) and (amp >=to_unsigned(integer(0.99 * 0.9055 * 2.0**(A-1)),A)) report "case 7 failed!" severity error; assert (phi < to_signed(integer(1.01 * 1.6815/(MATH_PI) * 2.0**(P-1)),P)) and (phi >= to_signed(integer(0.99 * 1.6815/(MATH_PI) * 2.0**(P-1)),P)) report "case 8 failed!" severity error; wait until rising_edge(clk); assert (amp < to_unsigned(integer(1.01 * 1.4142 * 2.0**(A-1)),A)) and (amp >= to_unsigned(integer(0.99 * 1.4142 * 2.0**(A-1)),A)) report "case 9 failed!" severity error; assert (phi < to_signed(integer(1.01 * atan(1.0/1.0)/(MATH_PI) * 2.0**(P-1)),P)) and (phi >= to_signed(integer(0.99 * atan(1.0/1.0)/(MATH_PI) * 2.0**(P-1)),P)) report "case 10 failed!" severity error; wait until rising_edge(clk); assert (amp < to_unsigned(integer(1.01 * 1.0 * 2.0**(A-1)),A)) and (amp >=to_unsigned(integer(0.99 * 1.0 * 2.0**(A-1)),A)) report "case 11 failed!" severity error; assert (phi < to_signed(integer(1.01 * atan(0.7071/0.7071)/(MATH_PI) * 2.0**(P-1)),P)) and (phi >= to_signed(integer(0.99 * atan(0.7071/0.7071)/(MATH_PI) * 2.0**(P-1)),P)) report "case 12 failed!" severity error; wait until rising_edge(clk); assert (amp < to_unsigned(integer(1.01 * 0.5385 * 2.0**(A-1)),A)) and (amp >=to_unsigned(integer(0.99 * 0.5385 * 2.0**(A-1)),A)) report "case 13 failed!" severity error; assert (phi < to_signed(integer(1.01 * atan(0.5/0.2)/(MATH_PI) * 2.0**(P-1)),P)) and (phi >= to_signed(integer(0.99 * atan(0.5/0.2)/(MATH_PI) * 2.0**(P-1)),P)) report "case 14 failed!" severity error; report "all tests finished!"; wait; end process; clk <= not clk after 11363 ps; end behavioral;
gpl-2.0
vargax/ejemplos
vhd/fundSistDigitales/practica4/sumador.vhd
1
1293
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 21:36:09 09/10/2011 -- Design Name: -- Module Name: sumador - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity sumador is Port ( num : in STD_LOGIC_VECTOR (2 downto 0); suma : out STD_LOGIC_VECTOR (2 downto 0)); end sumador; architecture Behavioral of sumador is begin with num select suma <= "001" when "000", "010" when "001", "011" when "010", "100" when "011", "101" when "100", "110" when "101", "111" when "110", "000" when "111", "---" when others; end Behavioral;
gpl-2.0
zhanglongqi/LED_Blinking_on_ZedBoard
zynq_Gpio.srcs/sources_1/ipshared/xilinx.com/interrupt_control_v3_1/dd9a9dbd/hdl/src/vhdl/interrupt_control.vhd
8
57387
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: interrupt_control.vhd -- -- Description: This VHDL design file is the parameterized interrupt control -- module for the ipif which permits parameterizing 1 or 2 levels -- of interrupt registers. This module has been optimized -- for the 64 bit wide PLB bus. -- -- -- ------------------------------------------------------------------------------- -- Structure: -- -- interrupt_control.vhd -- -- ------------------------------------------------------------------------------- -- BEGIN_CHANGELOG EDK_I_SP2 -- -- Initial Release -- -- END_CHANGELOG ------------------------------------------------------------------------------- -- @BEGIN_CHANGELOG EDK_K_SP3 -- -- Updated to use proc_common_v4_0 library -- -- @END_CHANGELOG ------------------------------------------------------------------------------- -- Author: Doug Thorpe -- -- History: -- Doug Thorpe Aug 16, 2001 -- V1.00a (initial release) -- Mike Lovejoy Oct 9, 2001 -- V1.01a -- Added parameter C_INCLUDE_DEV_ISC to remove Device ISC. -- When one source of interrupts Device ISC is redundant and -- can be eliminated to reduce LUT count. When 7 interrupts -- are included, the LUT count is reduced from 49 to 17. -- Also removed the "wrapper" which required redefining -- ports and generics herein. -- -- det Feb-19-02 -- - Added additional selections of input processing on the IP -- interrupt inputs. This was done by replacing the -- C_IP_IRPT_NUM Generic with an unconstrained input array -- of integers selecting the type of input processing for each -- bit. -- -- det Mar-22-02 -- - Corrected a reset problem with pos edge detect interrupt -- input processing (a high on the input when recovering from -- reset caused an eroneous interrupt to be latched in the IP_ -- ISR reg. -- -- blt Nov-18-02 -- V1.01b -- - Updated library and use statements to use ipif_common_v1_00_b -- -- DET 11/5/2003 v1_00_e -- ~~~~~~ -- - Revamped register topology to take advantage of 64 bit wide data bus -- interface. This required adding the Bus2IP_BE_sa input port to -- provide byte lane qualifiers for write operations. -- ^^^^^^ -- -- -- DET 3/25/2004 ipif to v1_00_f -- ~~~~~~ -- - Changed proc_common library reference to v2_00_a -- - Removed ipif_common library reference -- ^^^^^^ -- GAB 06/29/2005 v2_00_a -- ~~~~~~ -- - Modified plb_interrupt_control of plb_ipif_v1_00_f to make -- a common version that supports 32,64, and 128-Bit Data Bus Widths. -- - Changed to use ieee.numeric_std library and removed -- ieee.std_logic_arith.all -- ^^^^^^ -- GAB 09/01/2006 v2_00_a -- ~~~~~~ -- - Modified wrack and strobe for toggling set interrupt bits to reduce LUTs -- - Removed strobe from interrupt enable registers where it was not needed -- ^^^^^^ -- GAB 07/02/2008 v3_1 -- ~~~~~~ -- - Modified to used proc_common_v4_0 library -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of Interrupt Control to v3.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> -- -- ------------------------------------------------------------------------------- -- Special information -- -- The input Generic C_IP_INTR_MODE_ARRAY is an unconstrained array -- of integers. The number of entries specifies how many IP interrupts -- are to be processed. Each entry in the array specifies the type of input -- processing for each IP interrupt input. The following table -- lists the defined values for entries in the array: -- -- 1 = Level Pass through (non-inverted input) -- 2 = Level Pass through (invert input) -- 3 = Registered Level (non-inverted input) -- 4 = Registered Level (inverted input) -- 5 = Rising Edge Detect (non-inverted input) -- 6 = Falling Edge Detect (non-inverted input) -- ------------------------------------------------------------------------------- -- Library definitions library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.numeric_std.all; library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.ipif_pkg.all; ---------------------------------------------------------------------- entity interrupt_control is Generic( C_NUM_CE : integer range 4 to 16 := 4; -- Number of register chip enables required -- For C_IPIF_DWIDTH=32 Set C_NUM_CE = 16 -- For C_IPIF_DWIDTH=64 Set C_NUM_CE = 8 -- For C_IPIF_DWIDTH=128 Set C_NUM_CE = 4 C_NUM_IPIF_IRPT_SRC : integer range 1 to 29 := 4; C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE := ( 1, -- pass through (non-inverting) 2 -- pass through (inverting) ); -- Interrupt Modes --1, -- pass through (non-inverting) --2, -- pass through (inverting) --3, -- registered level (non-inverting) --4, -- registered level (inverting) --5, -- positive edge detect --6 -- negative edge detect C_INCLUDE_DEV_PENCODER : boolean := false; -- Specifies device Priority Encoder function C_INCLUDE_DEV_ISC : boolean := false; -- Specifies device ISC hierarchy -- Exclusion of Device ISC requires -- exclusion of Priority encoder C_IPIF_DWIDTH : integer range 32 to 128 := 128 ); port( -- Inputs From the IPIF Bus bus2ip_clk : In std_logic; bus2ip_reset : In std_logic; bus2ip_data : In std_logic_vector(0 to C_IPIF_DWIDTH-1); bus2ip_be : In std_logic_vector(0 to (C_IPIF_DWIDTH/8)-1); interrupt_rdce : In std_logic_vector(0 to C_NUM_CE-1); interrupt_wrce : In std_logic_vector(0 to C_NUM_CE-1); -- Interrupt inputs from the IPIF sources that will -- get registered in this design ipif_reg_interrupts : In std_logic_vector(0 to 1); -- Level Interrupt inputs from the IPIF sources ipif_lvl_interrupts : In std_logic_vector (0 to C_NUM_IPIF_IRPT_SRC-1); -- Inputs from the IP Interface ip2bus_intrevent : In std_logic_vector (0 to C_IP_INTR_MODE_ARRAY'length-1); -- Final Device Interrupt Output intr2bus_devintr : Out std_logic; -- Status Reply Outputs to the Bus intr2bus_dbus : Out std_logic_vector(0 to C_IPIF_DWIDTH-1); intr2bus_wrack : Out std_logic; intr2bus_rdack : Out std_logic; intr2bus_error : Out std_logic; intr2bus_retry : Out std_logic; intr2bus_toutsup : Out std_logic ); end interrupt_control; ------------------------------------------------------------------------------- architecture implementation of interrupt_control is ------------------------------------------------------------------------------- -- Function max2 -- -- This function returns the greater of two numbers. ------------------------------------------------------------------------------- function max2 (num1, num2 : integer) return integer is begin if num1 >= num2 then return num1; else return num2; end if; end function max2; ------------------------------------------------------------------------------- -- Function declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: get_max_allowed_irpt_width -- -- Function Description: -- This function determines the maximum number of interrupts that -- can be processed from the User IP based on the IPIF data bus width -- and the number of interrupt entries desired. -- ------------------------------------------------------------------- function get_max_allowed_irpt_width(data_bus_width : integer; num_intrpts_entered : integer) return integer is Variable temp_max : Integer; begin If (data_bus_width >= num_intrpts_entered) Then temp_max := num_intrpts_entered; else temp_max := data_bus_width; End if; return(temp_max); end function get_max_allowed_irpt_width; ------------------------------------------------------------------------------- -- Function data_port_map -- This function will return an index within a 'reg_width' divided port -- having a width of 'port_width' based on an address 'offset'. -- For instance if the port_width is 128-bits and the register width -- reg_width = 32 bits and the register address offset=16 (0x10), this -- function will return a index of 0. -- -- Address Offset Returned Index Return Index Returned Index -- (128 Bit Bus) (64 Bit Bus) (32 Bit Bus) -- 0x00 0 0 0 -- 0x04 1 1 0 -- 0x08 2 0 0 -- 0x0C 3 1 0 -- 0x10 0 0 0 -- 0x14 1 1 0 -- 0x18 2 0 0 -- 0x1C 3 1 0 ------------------------------------------------------------------------------- function data_port_map(offset : integer; reg_width : integer; port_width : integer) return integer is variable upper_index : integer; variable vector_range : integer; variable reg_offset : std_logic_vector(0 to 7); variable word_offset_i : integer; begin -- Calculate index position to start decoding the address offset upper_index := log2(port_width/8); -- Calculate the number of bits to look at in decoding -- the address offset vector_range := max2(1,log2(port_width/reg_width)); -- Convert address offset into a std_logic_vector in order to -- strip out a set of bits for decoding reg_offset := std_logic_vector(to_unsigned(offset,8)); -- Calculate an index representing the word position of -- a register with respect to the port width. word_offset_i := to_integer(unsigned(reg_offset(reg_offset'length - upper_index to (reg_offset'length - upper_index) + vector_range - 1))); return word_offset_i; end data_port_map; ------------------------------------------------------------------------------- -- Type declarations ------------------------------------------------------------------------------- -- no Types ------------------------------------------------------------------------------- -- Constant declarations ------------------------------------------------------------------------------- -- general use constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; -- figure out if 32 bits wide or 64 bits wide Constant LSB_BYTLE_LANE_COL_OFFSET : integer := (C_IPIF_DWIDTH/32)-1; Constant CHIP_SEL_SCALE_FACTOR : integer := (C_IPIF_DWIDTH/32); constant BITS_PER_REG : integer := 32; constant BYTES_PER_REG : integer := BITS_PER_REG/8; -- Register Index Constant DEVICE_ISR_INDEX : integer := 0; Constant DEVICE_IPR_INDEX : integer := 1; Constant DEVICE_IER_INDEX : integer := 2; Constant DEVICE_IAR_INDEX : integer := 3; --NOT USED RSVD Constant DEVICE_SIE_INDEX : integer := 4; --NOT USED RSVD Constant DEVICE_CIE_INDEX : integer := 5; --NOT USED RSVD Constant DEVICE_IIR_INDEX : integer := 6; Constant DEVICE_GIE_INDEX : integer := 7; Constant IP_ISR_INDEX : integer := 8; Constant IP_IPR_INDEX : integer := 9; --NOT USED RSVD Constant IP_IER_INDEX : integer := 10; Constant IP_IAR_INDEX : integer := 11; --NOT USED RSVD Constant IP_SIE_INDEX : integer := 12; --NOT USED RSVD Constant IP_CIE_INDEX : integer := 13; --NOT USED RSVD Constant IP_IIR_INDEX : integer := 14; --NOT USED RSVD Constant IP_GIE_INDEX : integer := 15; --NOT USED RSVD -- Chip Enable Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR : integer := DEVICE_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IPR : integer := DEVICE_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 0 if 64-bit dwidth; Constant DEVICE_IER : integer := DEVICE_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_IAR : integer := DEVICE_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 1 if 64-bit dwidth; Constant DEVICE_SIE : integer := DEVICE_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_CIE : integer := DEVICE_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 2 if 64-bit dwidth; Constant DEVICE_IIR : integer := DEVICE_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant DEVICE_GIE : integer := DEVICE_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 3 if 64-bit dwidth; Constant IP_ISR : integer := IP_ISR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IPR : integer := IP_IPR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 4 if 64-bit dwidth; Constant IP_IER : integer := IP_IER_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_IAR : integer := IP_IAR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 5 if 64-bit dwidth; Constant IP_SIE : integer := IP_SIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_CIE : integer := IP_CIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 6 if 64-bit dwidth; Constant IP_IIR : integer := IP_IIR_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; Constant IP_GIE : integer := IP_GIE_INDEX/CHIP_SEL_SCALE_FACTOR; -- 7 if 64-bit dwidth; -- Register Address Offset Constant DEVICE_ISR_OFFSET : integer := DEVICE_ISR_INDEX * BYTES_PER_REG; Constant DEVICE_IPR_OFFSET : integer := DEVICE_IPR_INDEX * BYTES_PER_REG; Constant DEVICE_IER_OFFSET : integer := DEVICE_IER_INDEX * BYTES_PER_REG; Constant DEVICE_IAR_OFFSET : integer := DEVICE_IAR_INDEX * BYTES_PER_REG; Constant DEVICE_SIE_OFFSET : integer := DEVICE_SIE_INDEX * BYTES_PER_REG; Constant DEVICE_CIE_OFFSET : integer := DEVICE_CIE_INDEX * BYTES_PER_REG; Constant DEVICE_IIR_OFFSET : integer := DEVICE_IIR_INDEX * BYTES_PER_REG; Constant DEVICE_GIE_OFFSET : integer := DEVICE_GIE_INDEX * BYTES_PER_REG; Constant IP_ISR_OFFSET : integer := IP_ISR_INDEX * BYTES_PER_REG; Constant IP_IPR_OFFSET : integer := IP_IPR_INDEX * BYTES_PER_REG; Constant IP_IER_OFFSET : integer := IP_IER_INDEX * BYTES_PER_REG; Constant IP_IAR_OFFSET : integer := IP_IAR_INDEX * BYTES_PER_REG; Constant IP_SIE_OFFSET : integer := IP_SIE_INDEX * BYTES_PER_REG; Constant IP_CIE_OFFSET : integer := IP_CIE_INDEX * BYTES_PER_REG; Constant IP_IIR_OFFSET : integer := IP_IIR_INDEX * BYTES_PER_REG; Constant IP_GIE_OFFSET : integer := IP_GIE_INDEX * BYTES_PER_REG; -- Column Selection mapping (applies to RdCE and WrCE inputs) Constant DEVICE_ISR_COL : integer := data_port_map(DEVICE_ISR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IPR_COL : integer := data_port_map(DEVICE_IPR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IER_COL : integer := data_port_map(DEVICE_IER_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IAR_COL : integer := data_port_map(DEVICE_IAR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_SIE_COL : integer := data_port_map(DEVICE_SIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_CIE_COL : integer := data_port_map(DEVICE_CIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_IIR_COL : integer := data_port_map(DEVICE_IIR_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant DEVICE_GIE_COL : integer := data_port_map(DEVICE_GIE_OFFSET,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_ISR_COL : integer := data_port_map(IP_ISR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IPR_COL : integer := data_port_map(IP_IPR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IER_COL : integer := data_port_map(IP_IER_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IAR_COL : integer := data_port_map(IP_IAR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_SIE_COL : integer := data_port_map(IP_SIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_CIE_COL : integer := data_port_map(IP_CIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_IIR_COL : integer := data_port_map(IP_IIR_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); Constant IP_GIE_COL : integer := data_port_map(IP_GIE_OFFSET ,BITS_PER_REG,C_IPIF_DWIDTH); -- Generic to constant mapping Constant DBUS_WIDTH_MINUS1 : Integer := C_IPIF_DWIDTH - 1; Constant NUM_USER_DESIRED_IRPTS : Integer := C_IP_INTR_MODE_ARRAY'length; -- Constant IP_IRPT_HIGH_INDEX : Integer := C_IP_INTR_MODE_ARRAY'length - 1; Constant IP_IRPT_HIGH_INDEX : Integer := get_max_allowed_irpt_width(C_IPIF_DWIDTH, NUM_USER_DESIRED_IRPTS) -1; Constant IPIF_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC + 2; -- (2 level + 1 IP + Number of latched inputs) - 1 Constant IPIF_LVL_IRPT_HIGH_INDEX : Integer := C_NUM_IPIF_IRPT_SRC - 1; -- Priority encoder support constants Constant PRIORITY_ENC_WIDTH : Integer := 8; -- bits Constant NO_INTR_VALUE : Integer := 128; -- no interrupt pending code = "10000000" ------------------------------------------------------------------------------- -- Signal declarations ------------------------------------------------------------------------------- Signal trans_reg_irpts : std_logic_vector(1 downto 0); Signal trans_lvl_irpts : std_logic_vector (IPIF_LVL_IRPT_HIGH_INDEX downto 0); Signal trans_ip_irpts : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal edgedtct_ip_irpts : std_logic_vector (0 to IP_IRPT_HIGH_INDEX); signal irpt_read_data : std_logic_vector (DBUS_WIDTH_MINUS1 downto 0); Signal irpt_rdack : std_logic; Signal irpt_wrack : std_logic; signal ip_irpt_status_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_enable_reg : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); signal ip_irpt_pending_value : std_logic_vector (IP_IRPT_HIGH_INDEX downto 0); Signal ip_interrupt_or : std_logic; signal ipif_irpt_status_reg : std_logic_vector(1 downto 0); signal ipif_irpt_status_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_enable_reg : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); signal ipif_irpt_pending_value : std_logic_vector (IPIF_IRPT_HIGH_INDEX downto 0); Signal ipif_glbl_irpt_enable_reg : std_logic; Signal ipif_interrupt : std_logic; Signal ipif_interrupt_or : std_logic; Signal ipif_pri_encode_present : std_logic; Signal ipif_priority_encode_value : std_logic_vector (PRIORITY_ENC_WIDTH-1 downto 0); Signal column_sel : std_logic_vector (0 to LSB_BYTLE_LANE_COL_OFFSET); signal interrupt_wrce_strb : std_logic; signal irpt_wrack_d1 : std_logic; signal irpt_rdack_d1 : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- Misc I/O and Signal assignments Intr2Bus_DevIntr <= ipif_interrupt; Intr2Bus_Error <= LOGIC_LOW; Intr2Bus_Retry <= LOGIC_LOW; Intr2Bus_ToutSup <= LOGIC_LOW; REG_WRACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_wrack_d1 <= '0'; Intr2Bus_WrAck <= '0'; else irpt_wrack_d1 <= irpt_wrack; Intr2Bus_WrAck <= interrupt_wrce_strb; end if; end if; end process REG_WRACK_PROCESS; interrupt_wrce_strb <= irpt_wrack and not irpt_wrack_d1; REG_RDACK_PROCESS : process(Bus2IP_Clk) begin if(Bus2IP_Clk'EVENT and Bus2IP_Clk = '1')then if(Bus2IP_Reset = '1')then irpt_rdack_d1 <= '0'; Intr2Bus_RdAck <= '0'; else irpt_rdack_d1 <= irpt_rdack; Intr2Bus_RdAck <= irpt_rdack and not irpt_rdack_d1; end if; end if; end process REG_RDACK_PROCESS; ------------------------------------------------------------- -- Combinational Process -- -- Label: ASSIGN_COL -- -- Process Description: -- -- ------------------------------------------------------------- ASSIGN_COL : process (Bus2IP_BE) begin -- Assign the 32-bit column selects from BE inputs for i in 0 to LSB_BYTLE_LANE_COL_OFFSET loop column_sel(i) <= Bus2IP_BE(i*4); end loop; end process ASSIGN_COL; ---------------------------------------------------------------------------------------------------------------- --- IP Interrupt processing start ------------------------------------------------------------------------------------------ -- Convert Little endian register to big endian data bus ------------------------------------------------------------------------------------------ LITTLE_TO_BIG : process (irpt_read_data) Begin for k in 0 to DBUS_WIDTH_MINUS1 loop Intr2Bus_DBus(DBUS_WIDTH_MINUS1-k) <= irpt_read_data(k); -- Convert to Big-Endian Data Bus End loop; End process; -- LITTLE_TO_BIG ------------------------------------------------------------------------------------------ -- Convert big endian interrupt inputs to Little endian registers ------------------------------------------------------------------------------------------ BIG_TO_LITTLE : process (IPIF_Reg_Interrupts, IPIF_Lvl_Interrupts, edgedtct_ip_irpts) Begin for i in 0 to 1 loop trans_reg_irpts(i) <= IPIF_Reg_Interrupts(i); -- Convert to Little-Endian format End loop; for j in 0 to IPIF_LVL_IRPT_HIGH_INDEX loop trans_lvl_irpts(j) <= IPIF_Lvl_Interrupts(j); -- Convert to Little-Endian format End loop; for k in 0 to IP_IRPT_HIGH_INDEX loop trans_ip_irpts(k) <= edgedtct_ip_irpts(k); -- Convert to Little-Endian format End loop; End process; -- BIG_TO_LITTLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Input Processing ------------------------------------------------------------------------------------------ DO_IRPT_INPUT: for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_NON_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 3) generate edgedtct_ip_irpts(irpt_index) <= IP2Bus_IntrEvent(irpt_index); end generate GEN_NON_INVERT_PASS_THROUGH; GEN_INVERT_PASS_THROUGH : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 2 or C_IP_INTR_MODE_ARRAY(irpt_index) = 4) generate edgedtct_ip_irpts(irpt_index) <= not(IP2Bus_IntrEvent(irpt_index)); end generate GEN_INVERT_PASS_THROUGH; GEN_POS_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 5) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '1'; -- setting to '1' protects reset transition irpt_dly2 <= '1'; -- where interrupt inputs are preset high Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS -- now detect rising edge edgedtct_ip_irpts(irpt_index) <= irpt_dly1 and not(irpt_dly2); end generate GEN_POS_EDGE_DETECT; GEN_NEG_EDGE_DETECT : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 6) generate Signal irpt_dly1 : std_logic; Signal irpt_dly2 : std_logic; begin REG_THE_IRPTS : process (Bus2IP_Clk) begin If (Bus2IP_Clk'EVENT and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then irpt_dly1 <= '0'; irpt_dly2 <= '0'; Else irpt_dly1 <= IP2Bus_IntrEvent(irpt_index); irpt_dly2 <= irpt_dly1; End if; else null; End if; End process; -- REG_THE_IRPTS edgedtct_ip_irpts(irpt_index) <= not(irpt_dly1) and irpt_dly2; end generate GEN_NEG_EDGE_DETECT; GEN_INVALID_TYPE : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 6 ) generate edgedtct_ip_irpts(irpt_index) <= '0'; -- Don't use input end generate GEN_INVALID_TYPE; End generate DO_IRPT_INPUT; -- Generate the IP Interrupt Status register GEN_IP_IRPT_STATUS_REG : for irpt_index in 0 to IP_IRPT_HIGH_INDEX generate GEN_REG_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) > 2) generate DO_STATUS_BIT : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_status_reg(irpt_index) <= '0'; elsif (Interrupt_WrCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then -- toggle selected ISR bits from the DBus inputs -- (GAB) ip_irpt_status_reg(irpt_index) <= (Bus2IP_Data((BITS_PER_REG * IP_ISR_COL) +(BITS_PER_REG - 1) - irpt_index) xor -- toggle bits on write of '1' ip_irpt_status_reg(irpt_index)) or -- but don't miss interrupts coming trans_ip_irpts(irpt_index); -- in on non-cleared interrupt bits else ip_irpt_status_reg(irpt_index) <= ip_irpt_status_reg(irpt_index) or trans_ip_irpts(irpt_index); -- latch and hold input interrupt bits End if; Else null; End if; End process; -- DO_STATUS_BIT End generate GEN_REG_STATUS; GEN_PASS_THROUGH_STATUS : if (C_IP_INTR_MODE_ARRAY(irpt_index) = 1 or C_IP_INTR_MODE_ARRAY(irpt_index) = 2) generate ip_irpt_status_reg(irpt_index) <= trans_ip_irpts(irpt_index); End generate GEN_PASS_THROUGH_STATUS; End generate GEN_IP_IRPT_STATUS_REG; ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IP_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ip_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ip_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) - IP_IRPT_HIGH_INDEX to (BITS_PER_REG * IP_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IP_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IP_INTR_ENABLE : process (ip_irpt_status_reg, ip_irpt_enable_reg) Begin for i in 0 to IP_IRPT_HIGH_INDEX loop ip_irpt_pending_value(i) <= ip_irpt_status_reg(i) and ip_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IP_INTR_ENABLE ------------------------------------------------------------------------------------------ -- Implement the IP Interrupt 'OR' Functions ------------------------------------------------------------------------------------------ DO_IP_INTR_OR : process (ip_irpt_pending_value) Variable ip_loop_or : std_logic; Begin ip_loop_or := '0'; for i in 0 to IP_IRPT_HIGH_INDEX loop ip_loop_or := ip_loop_or or ip_irpt_pending_value(i); End loop; ip_interrupt_or <= ip_loop_or; End process; -- DO_IP_INTR_OR -------------------------------------------------------------------------------------------- --- IP Interrupt processing end -------------------------------------------------------------------------------------------- --========================================================================================== Include_Device_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin -------------------------------------------------------------------------------------------- --- IPIF Interrupt processing Start -------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Status Register Write and Clear Functions -- This is only 2 bits wide (the only inputs latched at this level...the others just flow -- through) ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_STATUS_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_status_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1' and interrupt_wrce_strb = '1') Then for i in 0 to 1 loop -- (GAB) ipif_irpt_status_reg(i) <= (Bus2IP_Data ( (BITS_PER_REG * DEVICE_ISR_COL) +(BITS_PER_REG - 1) - i) xor -- toggle bits on write of '1' ipif_irpt_status_reg(i)) or -- but don't miss interrupts coming trans_reg_irpts(i); -- in on non-cleared interrupt bits End loop; else for i in 0 to 1 loop ipif_irpt_status_reg(i) <= ipif_irpt_status_reg(i) or trans_reg_irpts(i); -- latch and hold asserted interrupts End loop; End if; Else null; End if; End process; -- DO_IPIF_IRPT_STATUS_REG DO_IPIF_IRPT_STATUS_VALUE : process (ipif_irpt_status_reg, trans_lvl_irpts, ip_interrupt_or) Begin ipif_irpt_status_value(1 downto 0) <= ipif_irpt_status_reg; ipif_irpt_status_value(2) <= ip_interrupt_or; for i in 3 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_status_value(i) <= trans_lvl_irpts(i-3); End loop; End process; -- DO_IPIF_IRPT_STATUS_VALUE ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_ENABLE_REG : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_irpt_enable_reg <= (others => '0'); elsif (Interrupt_WrCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') then -- interrupt_wrce_strb = '1') Then -- (GAB) ipif_irpt_enable_reg <= Bus2IP_Data ( (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) - IPIF_IRPT_HIGH_INDEX to (BITS_PER_REG * DEVICE_IER_COL) +(BITS_PER_REG - 1) ); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_ENABLE_REG ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Enable/Masking function ------------------------------------------------------------------------------------------ DO_IPIF_INTR_ENABLE : process (ipif_irpt_status_value, ipif_irpt_enable_reg) Begin for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_irpt_pending_value(i) <= ipif_irpt_status_value(i) and ipif_irpt_enable_reg(i); -- enable/mask interrupt bits End loop; End process; -- DO_IPIF_INTR_ENABLE end generate Include_Device_ISC_generate; Initialize_when_not_include_Device_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_irpt_status_reg <= (others => '0'); ipif_irpt_status_value <= (others => '0'); ipif_irpt_enable_reg <= (others => '0'); ipif_irpt_pending_value <= (others => '0'); end generate Initialize_when_not_include_Device_ISC_generate; ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Master Enable Register Write and Clear Functions ------------------------------------------------------------------------------------------ DO_IPIF_IRPT_MASTER_ENABLE : process (Bus2IP_Clk) Begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') Then If (Bus2IP_Reset = '1') Then ipif_glbl_irpt_enable_reg <= '0'; elsif (Interrupt_WrCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1' )then --interrupt_wrce_strb = '1') Then -- load input data from the DBus inputs -- (GAB) ipif_glbl_irpt_enable_reg <= Bus2IP_Data(BITS_PER_REG * DEVICE_GIE_COL); else null; -- no change End if; Else null; End if; End process; -- DO_IPIF_IRPT_MASTER_ENABLE INCLUDE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = True) generate ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt Priority Encoder Function on the Interrupt Pending Value -- Loop from Interrupt LSB to MSB, retaining the position of the last interrupt detected. -- This method implies a positional priority of MSB to LSB. ------------------------------------------------------------------------------------------ ipif_pri_encode_present <= '1'; DO_PRIORITY_ENCODER : process (ipif_irpt_pending_value) Variable irpt_position : Integer; Variable irpt_detected : Boolean; Variable loop_count : integer; Begin loop_count := IPIF_IRPT_HIGH_INDEX + 1; irpt_position := 0; irpt_detected := FALSE; -- Search through the pending interrupt values starting with the MSB while (loop_count > 0) loop If (ipif_irpt_pending_value(loop_count-1) = '1') Then irpt_detected := TRUE; irpt_position := loop_count-1; else null; -- do nothing End if; loop_count := loop_count - 1; End loop; -- now assign the encoder output value to the bit position of the last interrupt encountered If (irpt_detected) Then ipif_priority_encode_value <= std_logic_vector(to_unsigned(irpt_position, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '1'; -- piggy-back off of this function for the "OR" function else ipif_priority_encode_value <= std_logic_vector(to_unsigned(NO_INTR_VALUE, PRIORITY_ENC_WIDTH)); ipif_interrupt_or <= '0'; End if; End process; -- DO_PRIORITY_ENCODER end generate INCLUDE_DEV_PRIORITY_ENCODER; DELETE_DEV_PRIORITY_ENCODER : if (C_INCLUDE_DEV_PENCODER = False) generate ipif_pri_encode_present <= '0'; ipif_priority_encode_value <= (others => '0'); ------------------------------------------------------------------------------------------ -- Implement the IPIF Interrupt 'OR' Functions (used if priority encoder removed) ------------------------------------------------------------------------------------------ DO_IPIF_INTR_OR : process (ipif_irpt_pending_value) Variable ipif_loop_or : std_logic; Begin ipif_loop_or := '0'; for i in 0 to IPIF_IRPT_HIGH_INDEX loop ipif_loop_or := ipif_loop_or or ipif_irpt_pending_value(i); End loop; ipif_interrupt_or <= ipif_loop_or; End process; -- DO_IPIF_INTR_OR end generate DELETE_DEV_PRIORITY_ENCODER; ------------------------------------------------------------------------------------------- -- Perform the final Master enable function on the 'ORed' interrupts OR_operation_with_Dev_ISC_generate: if(C_INCLUDE_DEV_ISC) generate begin ipif_interrupt_PROCESS: process(ipif_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ipif_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_with_Dev_ISC_generate; OR_operation_withOUT_Dev_ISC_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin ipif_interrupt_PROCESS: process(ip_interrupt_or, ipif_glbl_irpt_enable_reg) begin ipif_interrupt <= ip_interrupt_or and ipif_glbl_irpt_enable_reg; end process ipif_interrupt_PROCESS; end generate OR_operation_withOUT_Dev_ISC_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Interrupt processing end ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_WrAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_ISR) and column_sel(DEVICE_ISR_COL) ) or ( Interrupt_WrCE(DEVICE_IER) and column_sel(DEVICE_IER_COL) ) or ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Include_Dev_ISC_WrAck_OR_generate; Exclude_Dev_ISC_WrAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GEN_WRITE_ACKNOWLEGDGE : process (Interrupt_WrCE, column_sel ) Begin irpt_wrack <= ( Interrupt_WrCE(DEVICE_GIE) and column_sel(DEVICE_GIE_COL) ) or ( Interrupt_WrCE(IP_ISR) and column_sel(IP_ISR_COL) ) or ( Interrupt_WrCE(IP_IER) and column_sel(IP_IER_COL) ); End process; -- GEN_WRITE_ACKNOWLEGDGE end generate Exclude_Dev_ISC_WrAck_OR_generate; ----------------------------------------------------------------------------------------------------------- --- IPIF Bus Data Read Mux and Read Acknowledge generation ---------------------------------------------------------------------------------------------------------------- Include_Dev_ISC_RdAck_OR_generate: if(C_INCLUDE_DEV_ISC) generate begin GET_READ_DATA : process (Interrupt_RdCE, column_sel, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_irpt_pending_value, ipif_irpt_enable_reg, ipif_pri_encode_present, ipif_priority_encode_value, ipif_irpt_status_value, ipif_glbl_irpt_enable_reg) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_ISR) = '1' and column_sel(DEVICE_ISR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_ISR_COL) - BITS_PER_REG)) <= ipif_irpt_status_value(i); -- output IPIF status interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IPR) = '1' and column_sel(DEVICE_IPR_COL) = '1')then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_pending_value(i+32); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IPR_COL) - BITS_PER_REG)) <= ipif_irpt_pending_value(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IER) = '1' and column_sel(DEVICE_IER_COL) = '1') Then for i in 0 to IPIF_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IER_COL) - BITS_PER_REG)) <= ipif_irpt_enable_reg(i); -- output IPIF pending interrupt values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_IIR) = '1' and column_sel(DEVICE_IIR_COL) = '1') Then -- irpt_read_data(32+PRIORITY_ENC_WIDTH-1 downto 32) <= ipif_priority_encode_value; -- output IPIF pending interrupt values irpt_read_data( (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG) + PRIORITY_ENC_WIDTH-1 downto (C_IPIF_DWIDTH - (BITS_PER_REG*DEVICE_IIR_COL) - BITS_PER_REG)) <= ipif_priority_encode_value; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(DBUS_WIDTH_MINUS1) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Include_Dev_ISC_RdAck_OR_generate; Exclude_Dev_ISC_RdAck_OR_generate: if(not(C_INCLUDE_DEV_ISC)) generate begin GET_READ_DATA : process (Interrupt_RdCE, ip_irpt_status_reg, ip_irpt_enable_reg, ipif_glbl_irpt_enable_reg,column_sel) Begin irpt_read_data <= (others => '0'); -- default to driving zeroes If (Interrupt_RdCE(IP_ISR) = '1' and column_sel(IP_ISR_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_status_reg(i); -- output IP interrupt status register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_ISR_COL) - BITS_PER_REG)) <= ip_irpt_status_reg(i); -- output IP interrupt status register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(IP_IER) = '1' and column_sel(IP_IER_COL) = '1') Then for i in 0 to IP_IRPT_HIGH_INDEX loop -- irpt_read_data(i+32) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values irpt_read_data (i+(C_IPIF_DWIDTH - (BITS_PER_REG*IP_IER_COL) - BITS_PER_REG)) <= ip_irpt_enable_reg(i); -- output IP interrupt enable register values End loop; irpt_rdack <= '1'; -- set the acknowledge handshake Elsif (Interrupt_RdCE(DEVICE_GIE) = '1' and column_sel(DEVICE_GIE_COL) = '1') Then -- irpt_read_data(31) <= ipif_glbl_irpt_enable_reg; -- output Global Enable Register value irpt_read_data(C_IPIF_DWIDTH - (BITS_PER_REG * DEVICE_GIE_COL) - 1) <= ipif_glbl_irpt_enable_reg; irpt_rdack <= '1'; -- set the acknowledge handshake else irpt_rdack <= '0'; -- don't set the acknowledge handshake End if; End process; -- GET_READ_DATA end generate Exclude_Dev_ISC_RdAck_OR_generate; end implementation;
gpl-2.0
zhanglongqi/LED_Blinking_on_ZedBoard
zynq_Gpio.srcs/sources_1/ipshared/xilinx.com/axi_gpio_v2_0/e416c384/hdl/src/vhdl/axi_gpio.vhd
5
33293
------------------------------------------------------------------------------- -- AXI_GPIO - entity/architecture pair ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: axi_gpio.vhd -- Version: v2.0 -- Description: General Purpose I/O for AXI Interface -- ------------------------------------------------------------------------------- -- Structure: -- axi_gpio.vhd -- -- axi_lite_ipif.vhd -- -- interrupt_control.vhd -- -- gpio_core.vhd ------------------------------------------------------------------------------- -- Author: KSB -- History: -- ~~~~~~~~~~~~~~ -- KSB 07/28/09 -- ^^^^^^^^^^^^^^ -- First version of axi_gpio. Based on xps_gpio 2.00a -- -- KSB 05/20/10 -- ^^^^^^^^^^^^^^ -- Updated for holes in address range -- ~~~~~~~~~~~~~~ -- VB 09/23/10 -- ^^^^^^^^^^^^^^ -- Updated for axi_lite_ipfi_v1_01_a -- ~~~~~~~~~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use std.textio.all; ------------------------------------------------------------------------------- -- AXI common package of the proc common library is used for different -- function declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- axi_gpio_v2_0 library is used for axi4 component declarations ------------------------------------------------------------------------------- library axi_lite_ipif_v3_0; use axi_lite_ipif_v3_0.ipif_pkg.calc_num_ce; use axi_lite_ipif_v3_0.ipif_pkg.INTEGER_ARRAY_TYPE; use axi_lite_ipif_v3_0.ipif_pkg.SLV64_ARRAY_TYPE; ------------------------------------------------------------------------------- -- axi_gpio_v2_0 library is used for interrupt controller component -- declarations ------------------------------------------------------------------------------- library interrupt_control_v3_1; ------------------------------------------------------------------------------- -- axi_gpio_v2_0 library is used for axi_gpio component declarations ------------------------------------------------------------------------------- library axi_gpio_v2_0; ------------------------------------------------------------------------------- -- Defination of Generics : -- ------------------------------------------------------------------------------- -- AXI generics -- C_BASEADDR -- Base address of the core -- C_HIGHADDR -- Permits alias of address space -- by making greater than xFFF -- C_S_AXI_ADDR_WIDTH -- Width of AXI Address interface (in bits) -- C_S_AXI_DATA_WIDTH -- Width of the AXI Data interface (in bits) -- C_FAMILY -- XILINX FPGA family -- C_INSTANCE -- Instance name ot the core in the EDK system -- C_GPIO_WIDTH -- GPIO Data Bus width. -- C_ALL_INPUTS -- Inputs Only. -- C_INTERRUPT_PRESENT -- GPIO Interrupt. -- C_IS_BIDIR -- Selects gpio_io_i as input. -- C_DOUT_DEFAULT -- GPIO_DATA Register reset value. -- C_TRI_DEFAULT -- GPIO_TRI Register reset value. -- C_IS_DUAL -- Dual Channel GPIO. -- C_ALL_INPUTS_2 -- Channel2 Inputs only. -- C_IS_BIDIR_2 -- Selects gpio2_io_i as input. -- C_DOUT_DEFAULT_2 -- GPIO2_DATA Register reset value. -- C_TRI_DEFAULT_2 -- GPIO2_TRI Register reset value. ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Defination of Ports -- ------------------------------------------------------------------------------- -- AXI signals -- s_axi_awaddr -- AXI Write address -- s_axi_awvalid -- Write address valid -- s_axi_awready -- Write address ready -- s_axi_wdata -- Write data -- s_axi_wstrb -- Write strobes -- s_axi_wvalid -- Write valid -- s_axi_wready -- Write ready -- s_axi_bresp -- Write response -- s_axi_bvalid -- Write response valid -- s_axi_bready -- Response ready -- s_axi_araddr -- Read address -- s_axi_arvalid -- Read address valid -- s_axi_arready -- Read address ready -- s_axi_rdata -- Read data -- s_axi_rresp -- Read response -- s_axi_rvalid -- Read valid -- s_axi_rready -- Read ready -- GPIO Signals -- gpio_io_i -- Channel 1 General purpose I/O in port -- gpio_io_o -- Channel 1 General purpose I/O out port -- gpio_io_t -- Channel 1 General purpose I/O -- TRI-STATE control port -- gpio2_io_i -- Channel 2 General purpose I/O in port -- gpio2_io_o -- Channel 2 General purpose I/O out port -- gpio2_io_t -- Channel 2 General purpose I/O -- TRI-STATE control port -- System Signals -- s_axi_aclk -- AXI Clock -- s_axi_aresetn -- AXI Reset -- ip2intc_irpt -- AXI GPIO Interrupt ------------------------------------------------------------------------------- entity axi_gpio is generic ( -- -- System Parameter C_FAMILY : string := "virtex7"; -- -- AXI Parameters C_S_AXI_ADDR_WIDTH : integer range 9 to 9 := 9; C_S_AXI_DATA_WIDTH : integer range 32 to 128 := 32; -- -- GPIO Parameter C_GPIO_WIDTH : integer range 1 to 32 := 32; C_GPIO2_WIDTH : integer range 1 to 32 := 32; C_ALL_INPUTS : integer range 0 to 1 := 0; C_ALL_INPUTS_2 : integer range 0 to 1 := 0; C_ALL_OUTPUTS : integer range 0 to 1 := 0;--2/28/2013 C_ALL_OUTPUTS_2 : integer range 0 to 1 := 0;--2/28/2013 C_INTERRUPT_PRESENT : integer range 0 to 1 := 0; C_DOUT_DEFAULT : std_logic_vector (31 downto 0) := X"0000_0000"; C_TRI_DEFAULT : std_logic_vector (31 downto 0) := X"FFFF_FFFF"; C_IS_DUAL : integer range 0 to 1 := 0; C_DOUT_DEFAULT_2 : std_logic_vector (31 downto 0) := X"0000_0000"; C_TRI_DEFAULT_2 : std_logic_vector (31 downto 0) := X"FFFF_FFFF" ); port ( -- AXI interface Signals -------------------------------------------------- s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic; s_axi_awaddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; s_axi_wdata : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_wstrb : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; s_axi_araddr : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rdata : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; -- Interrupt--------------------------------------------------------------- ip2intc_irpt : out std_logic; -- GPIO Signals------------------------------------------------------------ gpio_io_i : in std_logic_vector(C_GPIO_WIDTH-1 downto 0); gpio_io_o : out std_logic_vector(C_GPIO_WIDTH-1 downto 0); gpio_io_t : out std_logic_vector(C_GPIO_WIDTH-1 downto 0); gpio2_io_i : in std_logic_vector(C_GPIO2_WIDTH-1 downto 0); gpio2_io_o : out std_logic_vector(C_GPIO2_WIDTH-1 downto 0); gpio2_io_t : out std_logic_vector(C_GPIO2_WIDTH-1 downto 0) ); ------------------------------------------------------------------------------- -- fan-out attributes for XST ------------------------------------------------------------------------------- attribute MAX_FANOUT : string; attribute MAX_FANOUT of s_axi_aclk : signal is "10000"; attribute MAX_FANOUT of s_axi_aresetn : signal is "10000"; ------------------------------------------------------------------------------- -- Attributes for MPD file ------------------------------------------------------------------------------- attribute IP_GROUP : string ; attribute IP_GROUP of axi_gpio : entity is "LOGICORE"; attribute SIGIS : string ; attribute SIGIS of s_axi_aclk : signal is "Clk"; attribute SIGIS of s_axi_aresetn : signal is "Rst"; attribute SIGIS of ip2intc_irpt : signal is "INTR_LEVEL_HIGH"; end entity axi_gpio; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture imp of axi_gpio is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ------------------------------------------------------------------------------- -- constant added for webtalk information ------------------------------------------------------------------------------- --function chr(sl: std_logic) return character is -- variable c: character; -- begin -- case sl is -- when '0' => c:= '0'; -- when '1' => c:= '1'; -- when 'Z' => c:= 'Z'; -- when 'U' => c:= 'U'; -- when 'X' => c:= 'X'; -- when 'W' => c:= 'W'; -- when 'L' => c:= 'L'; -- when 'H' => c:= 'H'; -- when '-' => c:= '-'; -- end case; -- return c; -- end chr; -- --function str(slv: std_logic_vector) return string is -- variable result : string (1 to slv'length); -- variable r : integer; -- begin -- r := 1; -- for i in slv'range loop -- result(r) := chr(slv(i)); -- r := r + 1; -- end loop; -- return result; -- end str; type bo2na_type is array (boolean) of natural; -- boolean to --natural conversion constant bo2na : bo2na_type := (false => 0, true => 1); ------------------------------------------------------------------------------- -- Function Declarations ------------------------------------------------------------------------------- type BOOLEAN_ARRAY_TYPE is array(natural range <>) of boolean; ---------------------------------------------------------------------------- -- This function returns the number of elements that are true in -- a boolean array. ---------------------------------------------------------------------------- function num_set( ba : BOOLEAN_ARRAY_TYPE ) return natural is variable n : natural := 0; begin for i in ba'range loop n := n + bo2na(ba(i)); end loop; return n; end; ---------------------------------------------------------------------------- -- This function returns a num_ce integer array that is constructed by -- taking only those elements of superset num_ce integer array -- that will be defined by the current case. -- The superset num_ce array is given by parameter num_ce_by_ard. -- The current case the ard elements that will be used is given -- by parameter defined_ards. ---------------------------------------------------------------------------- function qual_ard_num_ce_array( defined_ards : BOOLEAN_ARRAY_TYPE; num_ce_by_ard : INTEGER_ARRAY_TYPE ) return INTEGER_ARRAY_TYPE is variable res : INTEGER_ARRAY_TYPE(num_set(defined_ards)-1 downto 0); variable i : natural := 0; variable j : natural := defined_ards'left; begin while i /= res'length loop -- coverage off while defined_ards(j) = false loop j := j+1; end loop; -- coverage on res(i) := num_ce_by_ard(j); i := i+1; j := j+1; end loop; return res; end; ---------------------------------------------------------------------------- -- This function returns a addr_range array that is constructed by -- taking only those elements of superset addr_range array -- that will be defined by the current case. -- The superset addr_range array is given by parameter addr_range_by_ard. -- The current case the ard elements that will be used is given -- by parameter defined_ards. ---------------------------------------------------------------------------- function qual_ard_addr_range_array( defined_ards : BOOLEAN_ARRAY_TYPE; addr_range_by_ard : SLV64_ARRAY_TYPE ) return SLV64_ARRAY_TYPE is variable res : SLV64_ARRAY_TYPE(0 to 2*num_set(defined_ards)-1); variable i : natural := 0; variable j : natural := defined_ards'left; begin while i /= res'length loop -- coverage off while defined_ards(j) = false loop j := j+1; end loop; -- coverage on res(i) := addr_range_by_ard(2*j); res(i+1) := addr_range_by_ard((2*j)+1); i := i+2; j := j+1; end loop; return res; end; function qual_ard_ce_valid( defined_ards : BOOLEAN_ARRAY_TYPE ) return std_logic_vector is variable res : std_logic_vector(0 to 31); begin res := (others => '0'); if defined_ards(defined_ards'right) then res(0 to 3) := "1111"; res(12) := '1'; res(13) := '1'; res(15) := '1'; else res(0 to 3) := "1111"; end if; return res; end; ---------------------------------------------------------------------------- -- This function returns the maximum width amongst the two GPIO Channels -- and if there is only one channel, it returns just the width of that -- channel. ---------------------------------------------------------------------------- function max_width( dual_channel : INTEGER; channel1_width : INTEGER; channel2_width : INTEGER ) return INTEGER is begin if (dual_channel = 0) then return channel1_width; else if (channel1_width > channel2_width) then return channel1_width; else return channel2_width; end if; end if; end; ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- constant C_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF"; constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant INTR_TYPE : integer := 5; constant INTR_BASEADDR : std_logic_vector(0 to 31):= X"00000100"; constant INTR_HIGHADDR : std_logic_vector(0 to 31):= X"000001FF"; constant GPIO_HIGHADDR : std_logic_vector(0 to 31):= X"0000000F"; constant MAX_GPIO_WIDTH : integer := max_width (C_IS_DUAL,C_GPIO_WIDTH,C_GPIO2_WIDTH); constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := qual_ard_addr_range_array( (true,C_INTERRUPT_PRESENT=1), (ZERO_ADDR_PAD & X"00000000", ZERO_ADDR_PAD & GPIO_HIGHADDR, ZERO_ADDR_PAD & INTR_BASEADDR, ZERO_ADDR_PAD & INTR_HIGHADDR ) ); constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := qual_ard_num_ce_array( (true,C_INTERRUPT_PRESENT=1), (4,16) ); constant ARD_CE_VALID : std_logic_vector(0 to 31) := qual_ard_ce_valid( (true,C_INTERRUPT_PRESENT=1) ); constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE(0 to 0+bo2na(C_IS_DUAL=1)) := (others => 5); constant C_USE_WSTRB : integer := 0; constant C_DPHASE_TIMEOUT : integer := 8; ------------------------------------------------------------------------------- -- Signal and Type Declarations ------------------------------------------------------------------------------- signal ip2bus_intrevent : std_logic_vector(0 to 1); signal GPIO_xferAck_i : std_logic; signal Bus2IP_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal Bus2IP1_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal Bus2IP2_Data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); -- IPIC Used Signals signal ip2bus_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal bus2ip_addr : std_logic_vector(0 to C_S_AXI_ADDR_WIDTH-1); signal bus2ip_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal bus2ip_rnw : std_logic; signal bus2ip_cs : std_logic_vector(0 to 0 + bo2na (C_INTERRUPT_PRESENT=1)); signal bus2ip_rdce : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal bus2ip_wrce : std_logic_vector(0 to calc_num_ce(ARD_NUM_CE_ARRAY)-1); signal Intrpt_bus2ip_rdce : std_logic_vector(0 to 15); signal Intrpt_bus2ip_wrce : std_logic_vector(0 to 15); signal intr_wr_ce_or_reduce : std_logic; signal intr_rd_ce_or_reduce : std_logic; signal ip2Bus_RdAck_intr_reg_hole : std_logic; signal ip2Bus_RdAck_intr_reg_hole_d1 : std_logic; signal ip2Bus_WrAck_intr_reg_hole : std_logic; signal ip2Bus_WrAck_intr_reg_hole_d1 : std_logic; signal bus2ip_be : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH / 8) - 1); signal bus2ip_clk : std_logic; signal bus2ip_reset : std_logic; signal bus2ip_resetn : std_logic; signal intr2bus_data : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal intr2bus_wrack : std_logic; signal intr2bus_rdack : std_logic; signal intr2bus_error : std_logic; signal ip2bus_data_i : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal ip2bus_data_i_D1 : std_logic_vector(0 to C_S_AXI_DATA_WIDTH-1); signal ip2bus_wrack_i : std_logic; signal ip2bus_wrack_i_D1 : std_logic; signal ip2bus_rdack_i : std_logic; signal ip2bus_rdack_i_D1 : std_logic; signal ip2bus_error_i : std_logic; signal IP2INTC_Irpt_i : std_logic; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- begin -- architecture IMP AXI_LITE_IPIF_I : entity axi_lite_ipif_v3_0.axi_lite_ipif generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( S_AXI_ACLK => s_axi_aclk, S_AXI_ARESETN => s_axi_aresetn, S_AXI_AWADDR => s_axi_awaddr, S_AXI_AWVALID => s_axi_awvalid, S_AXI_AWREADY => s_axi_awready, S_AXI_WDATA => s_axi_wdata, S_AXI_WSTRB => s_axi_wstrb, S_AXI_WVALID => s_axi_wvalid, S_AXI_WREADY => s_axi_wready, S_AXI_BRESP => s_axi_bresp, S_AXI_BVALID => s_axi_bvalid, S_AXI_BREADY => s_axi_bready, S_AXI_ARADDR => s_axi_araddr, S_AXI_ARVALID => s_axi_arvalid, S_AXI_ARREADY => s_axi_arready, S_AXI_RDATA => s_axi_rdata, S_AXI_RRESP => s_axi_rresp, S_AXI_RVALID => s_axi_rvalid, S_AXI_RREADY => s_axi_rready, -- IP Interconnect (IPIC) port signals Bus2IP_Clk => bus2ip_clk, Bus2IP_Resetn => bus2ip_resetn, IP2Bus_Data => ip2bus_data_i_D1, IP2Bus_WrAck => ip2bus_wrack_i_D1, IP2Bus_RdAck => ip2bus_rdack_i_D1, --IP2Bus_WrAck => ip2bus_wrack_i, --IP2Bus_RdAck => ip2bus_rdack_i, IP2Bus_Error => ip2bus_error_i, Bus2IP_Addr => bus2ip_addr, Bus2IP_Data => bus2ip_data, Bus2IP_RNW => bus2ip_rnw, Bus2IP_BE => bus2ip_be, Bus2IP_CS => bus2ip_cs, Bus2IP_RdCE => bus2ip_rdce, Bus2IP_WrCE => bus2ip_wrce ); ip2bus_data_i <= intr2bus_data or ip2bus_data; ip2bus_wrack_i <= intr2bus_wrack or (GPIO_xferAck_i and not(bus2ip_rnw)) or ip2Bus_WrAck_intr_reg_hole;-- Holes in Address range ip2bus_rdack_i <= intr2bus_rdack or (GPIO_xferAck_i and bus2ip_rnw) or ip2Bus_RdAck_intr_reg_hole; -- Holes in Address range I_WRACK_RDACK_DELAYS: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2bus_wrack_i_D1 <= '0'; ip2bus_rdack_i_D1 <= '0'; ip2bus_data_i_D1 <= (others => '0'); else ip2bus_wrack_i_D1 <= ip2bus_wrack_i; ip2bus_rdack_i_D1 <= ip2bus_rdack_i; ip2bus_data_i_D1 <= ip2bus_data_i; end if; end if; end process I_WRACK_RDACK_DELAYS; ip2bus_error_i <= intr2bus_error; ---------------------- --REG_RESET_FROM_IPIF: convert active low to active hig reset to rest of -- the core. ---------------------- REG_RESET_FROM_IPIF: process (s_axi_aclk) is begin if(s_axi_aclk'event and s_axi_aclk = '1') then bus2ip_reset <= not(bus2ip_resetn); end if; end process REG_RESET_FROM_IPIF; --------------------------------------------------------------------------- -- Interrupts --------------------------------------------------------------------------- INTR_CTRLR_GEN : if (C_INTERRUPT_PRESENT = 1) generate constant NUM_IPIF_IRPT_SRC : natural := 1; constant NUM_CE : integer := 16; signal errack_reserved : std_logic_vector(0 to 1); signal ipif_lvl_interrupts : std_logic_vector(0 to NUM_IPIF_IRPT_SRC-1); begin ipif_lvl_interrupts <= (others => '0'); errack_reserved <= (others => '0'); --- Addr 0X11c, 0X120, 0X128 valid addresses, remaining are holes Intrpt_bus2ip_rdce <= "0000000" & bus2ip_rdce(11) & bus2ip_rdce(12) & '0' & bus2ip_rdce(14) & "00000"; Intrpt_bus2ip_wrce <= "0000000" & bus2ip_wrce(11) & bus2ip_wrce(12) & '0' & bus2ip_wrce(14) & "00000"; intr_rd_ce_or_reduce <= or_reduce(bus2ip_rdce(4 to 10)) or Bus2IP_RdCE(13) or or_reduce(Bus2IP_RdCE(15 to 19)); intr_wr_ce_or_reduce <= or_reduce(bus2ip_wrce(4 to 10)) or bus2ip_wrce(13) or or_reduce(bus2ip_wrce(15 to 19)); I_READ_ACK_INTR_HOLES: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2Bus_RdAck_intr_reg_hole <= '0'; ip2Bus_RdAck_intr_reg_hole_d1 <= '0'; else ip2Bus_RdAck_intr_reg_hole_d1 <= intr_rd_ce_or_reduce; ip2Bus_RdAck_intr_reg_hole <= intr_rd_ce_or_reduce and (not ip2Bus_RdAck_intr_reg_hole_d1); end if; end if; end process I_READ_ACK_INTR_HOLES; I_WRITE_ACK_INTR_HOLES: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2Bus_WrAck_intr_reg_hole <= '0'; ip2Bus_WrAck_intr_reg_hole_d1 <= '0'; else ip2Bus_WrAck_intr_reg_hole_d1 <= intr_wr_ce_or_reduce; ip2Bus_WrAck_intr_reg_hole <= intr_wr_ce_or_reduce and (not ip2Bus_WrAck_intr_reg_hole_d1); end if; end if; end process I_WRITE_ACK_INTR_HOLES; INTERRUPT_CONTROL_I : entity interrupt_control_v3_1.interrupt_control generic map ( C_NUM_CE => NUM_CE, C_NUM_IPIF_IRPT_SRC => NUM_IPIF_IRPT_SRC, C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY, C_INCLUDE_DEV_PENCODER => false, C_INCLUDE_DEV_ISC => false, C_IPIF_DWIDTH => C_S_AXI_DATA_WIDTH ) port map ( -- Inputs From the IPIF Bus Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Reset => bus2ip_reset, Bus2IP_Data => bus2ip_data, Bus2IP_BE => bus2ip_be, Interrupt_RdCE => Intrpt_bus2ip_rdce, Interrupt_WrCE => Intrpt_bus2ip_wrce, -- Interrupt inputs from the IPIF sources that will -- get registered in this design IPIF_Reg_Interrupts => errack_reserved, -- Level Interrupt inputs from the IPIF sources IPIF_Lvl_Interrupts => ipif_lvl_interrupts, -- Inputs from the IP Interface IP2Bus_IntrEvent => ip2bus_intrevent(IP_INTR_MODE_ARRAY'range), -- Final Device Interrupt Output Intr2Bus_DevIntr => IP2INTC_Irpt_i, -- Status Reply Outputs to the Bus Intr2Bus_DBus => intr2bus_data, Intr2Bus_WrAck => intr2bus_wrack, Intr2Bus_RdAck => intr2bus_rdack, Intr2Bus_Error => intr2bus_error, Intr2Bus_Retry => open, Intr2Bus_ToutSup => open ); -- registering interrupt I_INTR_DELAY: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (bus2ip_reset = '1') then ip2intc_irpt <= '0'; else ip2intc_irpt <= IP2INTC_Irpt_i; end if; end if; end process I_INTR_DELAY; end generate INTR_CTRLR_GEN; ----------------------------------------------------------------------- -- Assigning the intr2bus signal to zero's when interrupt is not -- present ----------------------------------------------------------------------- REMOVE_INTERRUPT : if (C_INTERRUPT_PRESENT = 0) generate intr2bus_data <= (others => '0'); ip2intc_irpt <= '0'; intr2bus_error <= '0'; intr2bus_rdack <= '0'; intr2bus_wrack <= '0'; ip2Bus_WrAck_intr_reg_hole <= '0'; ip2Bus_RdAck_intr_reg_hole <= '0'; end generate REMOVE_INTERRUPT; gpio_core_1 : entity axi_gpio_v2_0.gpio_core generic map ( C_DW => C_S_AXI_DATA_WIDTH, C_AW => C_S_AXI_ADDR_WIDTH, C_GPIO_WIDTH => C_GPIO_WIDTH, C_GPIO2_WIDTH => C_GPIO2_WIDTH, C_MAX_GPIO_WIDTH => MAX_GPIO_WIDTH, C_INTERRUPT_PRESENT => C_INTERRUPT_PRESENT, C_DOUT_DEFAULT => C_DOUT_DEFAULT, C_TRI_DEFAULT => C_TRI_DEFAULT, C_IS_DUAL => C_IS_DUAL, C_DOUT_DEFAULT_2 => C_DOUT_DEFAULT_2, C_TRI_DEFAULT_2 => C_TRI_DEFAULT_2, C_FAMILY => C_FAMILY ) port map ( Clk => Bus2IP_Clk, Rst => bus2ip_reset, ABus_Reg => Bus2IP_Addr, BE_Reg => Bus2IP_BE(0 to C_S_AXI_DATA_WIDTH/8-1), DBus_Reg => Bus2IP_Data_i(0 to MAX_GPIO_WIDTH-1), RNW_Reg => Bus2IP_RNW, GPIO_DBus => IP2Bus_Data(0 to C_S_AXI_DATA_WIDTH-1), GPIO_xferAck => GPIO_xferAck_i, GPIO_Select => bus2ip_cs(0), GPIO_intr => ip2bus_intrevent(0), GPIO2_intr => ip2bus_intrevent(1), GPIO_IO_I => gpio_io_i, GPIO_IO_O => gpio_io_o, GPIO_IO_T => gpio_io_t, GPIO2_IO_I => gpio2_io_i, GPIO2_IO_O => gpio2_io_o, GPIO2_IO_T => gpio2_io_t ); Bus2IP_Data_i <= Bus2IP1_Data_i when bus2ip_cs(0) = '1' and bus2ip_addr (5) = '0'else Bus2IP2_Data_i; BUS_CONV_ch1 : for i in 0 to C_GPIO_WIDTH-1 generate Bus2IP1_Data_i(i) <= Bus2IP_Data(i+ C_S_AXI_DATA_WIDTH-C_GPIO_WIDTH); end generate BUS_CONV_ch1; BUS_CONV_ch2 : for i in 0 to C_GPIO2_WIDTH-1 generate Bus2IP2_Data_i(i) <= Bus2IP_Data(i+ C_S_AXI_DATA_WIDTH-C_GPIO2_WIDTH); end generate BUS_CONV_ch2; end architecture imp;
gpl-2.0
nsauzede/cpu86
p2_lcd_spi/drigmorn1_top.vhd
1
11654
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Toplevel : CPU86, 256Byte ROM, 16550 UART, 40K8 SRAM (all blockrams used)-- ------------------------------------------------------------------------------- -- Revision History: -- -- -- -- Date: Revision Author -- -- -- -- 30 Dec 2007 0.1 H. Tiggeler First version -- -- 17 May 2008 0.75 H. Tiggeler Updated for CPU86 ver0.75 -- -- 27 Jun 2008 0.79 H. Tiggeler Changed UART to Opencores 16750 -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.NUMERIC_STD.ALL; ENTITY drigmorn1_top IS PORT( sram_addr : out std_logic_vector(20 downto 0); sram_data : inout std_logic_vector(7 downto 0); sram_ce : out std_logic; sram_we : out std_logic; sram_oe : out std_logic; vramaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); vramdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); spi_cs : out std_logic; spi_clk : out std_logic; spi_mosi : out std_logic; spi_miso : in std_logic; buttons : in STD_LOGIC_VECTOR (3 downto 0); leds : out STD_LOGIC_VECTOR (3 downto 0); CLOCK_40MHZ : IN std_logic; CTS : IN std_logic := '1'; PIN3 : IN std_logic; RXD : IN std_logic; LED1 : OUT std_logic; LED2N : OUT std_logic; LED3N : OUT std_logic; PIN4 : OUT std_logic; RTS : OUT std_logic; TXD : OUT std_logic ); END drigmorn1_top ; ARCHITECTURE struct OF drigmorn1_top IS -- Architecture declarations signal csromn : std_logic; signal csesramn : std_logic; signal csisramn : std_logic; signal csspin : std_logic; signal csspi : std_logic; signal csbutled : std_logic := '1'; -- Internal signal declarations signal leds_b : STD_LOGIC_VECTOR (3 downto 0); signal vramaddr2 : STD_LOGIC_VECTOR(15 DOWNTO 0); -- signal vrambase : STD_LOGIC_VECTOR(15 DOWNTO 0):=x"4000"; signal vrambase : STD_LOGIC_VECTOR(15 DOWNTO 0):=x"0000"; SIGNAL DCDn : std_logic := '1'; SIGNAL DSRn : std_logic := '1'; SIGNAL RIn : std_logic := '1'; SIGNAL abus : std_logic_vector(19 DOWNTO 0); SIGNAL clk : std_logic; SIGNAL cscom1 : std_logic; SIGNAL dbus_com1 : std_logic_vector(7 DOWNTO 0); SIGNAL dbus_in : std_logic_vector(7 DOWNTO 0); SIGNAL dbus_in_cpu : std_logic_vector(7 DOWNTO 0); SIGNAL dbus_out : std_logic_vector(7 DOWNTO 0); SIGNAL dbus_rom : std_logic_vector(7 DOWNTO 0); SIGNAL dbus_esram : std_logic_vector(7 DOWNTO 0); SIGNAL dbus_spi : std_logic_vector(7 DOWNTO 0); SIGNAL dout : std_logic; SIGNAL dout1 : std_logic; SIGNAL intr : std_logic; SIGNAL iom : std_logic; SIGNAL nmi : std_logic; SIGNAL por : std_logic; SIGNAL rdn : std_logic; SIGNAL resoutn : std_logic; SIGNAL sel_s : std_logic_vector(5 DOWNTO 0); -- SIGNAL sel_s : std_logic_vector(4 DOWNTO 0); SIGNAL wea : std_logic_VECTOR(0 DOWNTO 0); SIGNAL wran : std_logic; SIGNAL wrcom : std_logic; SIGNAL wspi : std_logic; SIGNAL wrn : std_logic; signal rxclk_s : std_logic; BEGIN sram_addr <= '0' & abus; ---- sram_data <= dbus_. -- dbus_esram <= sram_data; -- sram_data <= (others => 'Z') when rdn='0' else sram_data; -- sram_ce <= csesramn; -- sram_we <= wrn; -- sram_oe <= rdn; process(csesramn,wrn,rdn,dbus_out,sram_data) begin sram_ce <= '1'; sram_we <= '1'; sram_oe <= '1'; sram_data <= (others => 'Z'); if csesramn='0' then sram_ce <= '0'; if wrn='0' then sram_data <= dbus_out; sram_we <= '0'; else if rdn='0' then dbus_esram <= sram_data; sram_oe <= '0'; end if; end if; end if; end process; leds <= leds_b; leds_b <= dbus_out(3 downto 0) when (csbutled='0') and (wrn='0') else leds_b; -- Architecture concurrent statements -- HDL Embedded Text Block 4 mux -- dmux 1 process(sel_s,dbus_com1,dbus_in,dbus_rom,dbus_esram,dbus_spi,buttons) -- process(sel_s,dbus_com1,dbus_in,dbus_rom,dbus_esram,dbus_spi) begin case sel_s is when "011111" => dbus_in_cpu <= dbus_com1; -- UART when "101111" => dbus_in_cpu <= dbus_rom; -- BootStrap Loader when "110111" => dbus_in_cpu <= dbus_in; -- Embedded SRAM when "111011" => dbus_in_cpu <= dbus_spi; -- SPI -- when "111101" => dbus_in_cpu <= dbus_esram; -- External SRAM when "111101" => dbus_in_cpu <= sram_data; -- External SRAM when "111110" => dbus_in_cpu <= x"0" & buttons; -- butled when others => dbus_in_cpu <= dbus_in_cpu; -- Embedded SRAM end case; end process; -- HDL Embedded Text Block 7 clogic clk <= CLOCK_40MHZ; wrcom <= not wrn; wea(0)<= not wrn and not csisramn; wspi<= not wrn; PIN4 <= resoutn; -- For debug only -- dbus_in_cpu multiplexer sel_s <= cscom1 & csromn & csisramn & csspin & csesramn & csbutled; -- sel_s <= cscom1 & csromn & csisramn & csspin & csesramn; -- chip_select -- Comport, uart_16550 -- COM1, 0x3F8-0x3FF -- cscom1 <= '0' when (abus(15 downto 3)="0000001111111" AND iom='1') else '1'; cscom1 <= '0' when ((abus(15 downto 4)=X"03F") AND iom='1') else '1'; -- SPI, 0x400-0x407 -- csspin <= '0' when (abus(15 downto 3)="0000010000000" AND iom='1') else '1'; csspin <= '0' when ((abus(15 downto 4)=X"040") AND iom='1') else '1'; csspi <= not csspin; -- BUTLED, 0x500-0x507 csbutled <= '0' when ((abus(15 downto 4)=X"050") AND iom='1') else '1'; -- Bootstrap ROM 256 bytes -- FFFFF-FF=FFF00 csromn <= '0' when ((abus(19 downto 8)=X"FFF") AND iom='0') else '1'; -- external SRAM -- 0xE0000 -- csesramn <= '0' when ((abus(19 downto 16)=X"1") AND iom='0') else '1'; -- 0x10000 csesramn <= '0' when ((abus(19 downto 16)=X"E") AND iom='0') else '1'; -- 0xE0000 -- csesramn <= '0' when (csromn='1' and csisramn='1' AND iom='0') else '1'; -- csesramn <= not (cscom1 and csromnn and csiramn); -- internal SRAM -- below 0x10000 csisramn <= '0' when ((abus(19 downto 16)=X"0") AND iom='0') else '1'; spim0: entity work.spi_master port map ( clk => clk, reset => por, cpu_address => abus(2 downto 0), cpu_wait => open, data_in => dbus_out, data_out => dbus_spi, enable => csspi, req_read => '0', req_write => wspi, slave_cs => spi_cs, slave_clk => spi_clk, slave_mosi => spi_mosi, slave_miso => spi_miso ); nmi <= '0'; intr <= '0'; dout <= '0'; dout1 <= '0'; DCDn <= '0'; DSRn <= '0'; RIn <= '0'; por <= NOT(PIN3); -- Instance port mappings. U_1 : entity work.cpu86 PORT MAP ( clk => clk, dbus_in => dbus_in_cpu, intr => intr, nmi => nmi, por => por, abus => abus, cpuerror => LED1, dbus_out => dbus_out, inta => OPEN, iom => iom, rdn => rdn, resoutn => resoutn, wran => wran, wrn => wrn ); -- U_3 : blk_mem_40K -- PORT MAP ( -- clka => clk, -- dina => dbus_out, -- addra => abus(15 DOWNTO 0), -- wea => wea, -- douta => dbus_in -- ); vramaddr2 <= vramaddr + vrambase; U_3 : entity work.blk_mem_40K PORT MAP ( clka => clk, dina => dbus_out, addra => abus(15 DOWNTO 0), wea => wea, douta => dbus_in, clkb => clk, dinb => (others => '0'), addrb => vramaddr2, web => (others => '0'), doutb => vramdata ); U_2 : entity work.bootstrap PORT MAP ( abus => abus(7 DOWNTO 0), dbus => dbus_rom ); U_0 : entity work.uart_top PORT MAP ( BR_clk => rxclk_s, CTSn => CTS, DCDn => DCDn, DSRn => DSRn, RIn => RIn, abus => abus(2 DOWNTO 0), clk => clk, csn => cscom1, dbus_in => dbus_out, rdn => rdn, resetn => resoutn, sRX => RXD, wrn => wrn, B_CLK => rxclk_s, DTRn => OPEN, IRQ => OPEN, OUT1n => led2n, OUT2n => led3n, RTSn => RTS, dbus_out => dbus_com1, stx => TXD ); END struct;
gpl-2.0
nsauzede/cpu86
p2_lcd_spi/cpu86pack.vhd
3
17116
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; PACKAGE cpu86pack IS constant RESET_CS_C : std_logic_vector(15 downto 0) := (others => '1'); -- FFFF:0000 constant RESET_IP_C : std_logic_vector(15 downto 0) := (others => '0'); constant RESET_ES_C : std_logic_vector(15 downto 0) := (others => '0'); constant RESET_SS_C : std_logic_vector(15 downto 0) := (others => '0'); constant RESET_DS_C : std_logic_vector(15 downto 0) := (others => '0'); constant RESET_VECTOR_C : std_logic_vector(19 downto 0) := (RESET_CS_C & X"0") + (X"0" & RESET_IP_C); constant MUL_MCD_C : std_logic_vector(4 downto 0) := "00010"; -- mul MCP -- Serial Divider delay -- changed later to done signal -- You can gain 1 clk cycle, done can be asserted 1 cycle earlier constant DIV_MCD_C : std_logic_vector(4 downto 0) := "10011"; -- div waitstates 19! constant ONE : std_logic := '1'; constant ZERO : std_logic := '0'; constant ZEROVECTOR_C : std_logic_vector(31 downto 0) := X"00000000"; -- Minimum value for MAX_WS="000", this result in a 2 cycle rd/wr strobe -- Total Read cycle is 1 cycle for address setup + 2 cycles for rd/wr strobe, thus -- minimum bus cycle is 3 clk cycles. constant WS_WIDTH : integer := 3; -- 2^WS_WIDTH=MAX Waitstates constant MAX_WS : std_logic_vector(WS_WIDTH-1 downto 0) := "000"; -- 3 clk bus cycles constant DONTCARE : std_logic_vector(31 downto 0):=X"FFFFFFFF"; -- Status record containing some data and flag register type instruction_type is record ireg : std_logic_vector(7 downto 0); -- Instruction register xmod : std_logic_vector(1 downto 0); -- mod is a reserved word reg : std_logic_vector(2 downto 0); -- between mode and rm rm : std_logic_vector(2 downto 0); data : std_logic_vector(15 downto 0); disp : std_logic_vector(15 downto 0); nb : std_logic_vector(2 downto 0); -- Number of bytes end record; -- Status record containing some data and flag register type status_out_type is record ax : std_logic_vector(15 downto 0); cx_one : std_logic; -- '1' if CX=0001 cx_zero : std_logic; -- '1' if CX=0000 cl : std_logic_vector(7 downto 0); -- 5 bits shift/rotate counter flag : std_logic_vector(15 downto 0); div_err : std_logic; -- Divider overflow end record; -------------------------------------------------------------------------------------- -- Data Path Records -------------------------------------------------------------------------------------- type path_in_type is record datareg_input : std_logic_vector(6 downto 0); -- dimux(3) & w & seldreg(3) alu_operation : std_logic_vector(14 downto 0);-- selalua(4) & selalub(4) & aluopr(7) dbus_output : std_logic_vector(1 downto 0); -- (Odd/Even) domux setting segreg_input : std_logic_vector(3 downto 0); -- simux & selsreg ea_output : std_logic_vector(9 downto 0); -- dispmux(3) & eamux(4) & [flag]&segop(2) end record; -- Write Strobe Record for Data Path type write_in_type is record wrd : std_logic; -- Write datareg wralu : std_logic; -- Write ALU result wrcc : std_logic; -- Write Flag register wrs : std_logic; -- Write Segment register wrip : std_logic; -- Write Instruction Pointer wrop : std_logic; -- Write Segment Prefix register, Set Prefix Flag wrtemp: std_logic; -- Write to ALU_TEMP register end record; constant SET_OPFLAG : std_logic:='1'; -- Override Prefix Flag -- DIMUX constant DATAIN_IN : std_logic_vector(2 downto 0) := "000"; constant EABUS_IN : std_logic_vector(2 downto 0) := "001"; constant ALUBUS_IN : std_logic_vector(2 downto 0) := "010"; constant MDBUS_IN : std_logic_vector(2 downto 0) := "011"; constant ES_IN : std_logic_vector(2 downto 0) := "100"; constant CS_IN : std_logic_vector(2 downto 0) := "101"; constant SS_IN : std_logic_vector(2 downto 0) := "110"; constant DS_IN : std_logic_vector(2 downto 0) := "111"; -- SIMUX Segment Register input Mux constant SDATAIN_IN : std_logic_vector(1 downto 0) := "00"; constant SEABUS_IN : std_logic_vector(1 downto 0) := "01"; -- Effective Address constant SALUBUS_IN : std_logic_vector(1 downto 0) := "10"; constant SMDBUS_IN : std_logic_vector(1 downto 0) := "11"; -- DOMUX (Note bit 2=odd/even) constant ALUBUS_OUT : std_logic_vector(1 downto 0) := "00"; constant CCBUS_OUT : std_logic_vector(1 downto 0) := "01"; constant DIBUS_OUT : std_logic_vector(1 downto 0) := "10"; constant IPBUS_OUT : std_logic_vector(1 downto 0) := "11"; -- dispmux(3) & eamux(4) & poflag & segop[1:0] -- note some bits may be dontcare! constant NB_ES_IP : std_logic_vector(9 downto 0) := "0000000000"; -- IPREG+NB ADDR=ES:IP constant NB_CS_IP : std_logic_vector(9 downto 0) := "0000000001"; constant NB_SS_IP : std_logic_vector(9 downto 0) := "0000000010"; constant NB_DS_IP : std_logic_vector(9 downto 0) := "0000000011"; constant NB_ES_EA : std_logic_vector(9 downto 0) := "0000001000"; -- IPREG+NB ADDR=EA constant NB_CS_EA : std_logic_vector(9 downto 0) := "0000001001"; constant NB_SS_EA : std_logic_vector(9 downto 0) := "0000001010"; constant NB_DS_EA : std_logic_vector(9 downto 0) := "0000001011"; constant DISP_ES_EA : std_logic_vector(9 downto 0) := "0010001000"; -- IPREG+DISP ADDR=EA constant DISP_CS_EA : std_logic_vector(9 downto 0) := "0010001001"; constant DISP_SS_EA : std_logic_vector(9 downto 0) := "0010001010"; constant DISP_DS_EA : std_logic_vector(9 downto 0) := "0010001011"; constant DISP_CS_IP : std_logic_vector(9 downto 0) := "0010000001"; -- Used for Jx instructions constant PORT_00_DX : std_logic_vector(6 downto 0) := "0000010"; -- EAMUX IN/OUT instruction constant PORT_00_EA : std_logic_vector(6 downto 0) := "0000001"; -- EAMUX Segm=00 00:IP or 00:DISP constant NB_SS_SP : std_logic_vector(6 downto 0) := "0000100"; -- IP=IP+NBREQ, EAMUX=SS:SP , 100, 101, 110 unused constant LD_SS_SP : std_logic_vector(6 downto 0) := "0100100"; -- Load new IP from MDBUS & out=SS:SP constant LD_MD_IP : std_logic_vector(9 downto 0) := "0100000001"; -- Load new IP from MDBUS (e.g. RET instruction) constant LD_CS_IP : std_logic_vector(9 downto 0) := "0110000001"; -- Load new IP (e.g. RET instruction) constant EA_CS_IP : std_logic_vector(9 downto 0) := "1000001001"; -- Load new IP (e.g. RET instruction) constant IPB_CS_IP : std_logic_vector(9 downto 0) := "1110000001"; -- Select IPBUS=IPREG constant MD_EA2_DS : std_logic_vector(9 downto 0) := "0100011011"; -- IP<-MD, addr=DS:EA2 -- SELALUA/B or SELDREG(2 downto 0) constant REG_AX : std_logic_vector(3 downto 0) := "0000"; -- W=1 Into ALUBUS A or B constant REG_CX : std_logic_vector(3 downto 0) := "0001"; constant REG_DX : std_logic_vector(3 downto 0) := "0010"; constant REG_BX : std_logic_vector(3 downto 0) := "0011"; constant REG_SP : std_logic_vector(3 downto 0) := "0100"; constant REG_BP : std_logic_vector(3 downto 0) := "0101"; constant REG_SI : std_logic_vector(3 downto 0) := "0110"; constant REG_DI : std_logic_vector(3 downto 0) := "0111"; constant REG_DATAIN : std_logic_vector(3 downto 0) := "1000"; -- Pass data_in to ALU constant REG_MDBUS : std_logic_vector(3 downto 0) := "1111"; -- Pass memory bus (mdbus) to ALU -- Only for SELALUB constant REG_CONST1 : std_logic_vector(3 downto 0) := "1001"; -- Used for INC/DEC function, W=0/1 constant REG_CONST2 : std_logic_vector(3 downto 0) := "1010"; -- Used for POP/PUSH function W=1 -- W+SELDREG constant REG_AH : std_logic_vector(3 downto 0) := "0100"; -- W=1 SELDREG=AH --------------------------------------------------------------- -- ALU Operations -- Use ireg(5 downto 3) / modrm(5 downto 3) / ireg(3 downto 0) -- Constants for --------------------------------------------------------------- constant ALU_ADD : std_logic_vector(6 downto 0) := "0000000"; constant ALU_OR : std_logic_vector(6 downto 0) := "0000001"; constant ALU_ADC : std_logic_vector(6 downto 0) := "0000010"; constant ALU_SBB : std_logic_vector(6 downto 0) := "0000011"; constant ALU_AND : std_logic_vector(6 downto 0) := "0000100"; constant ALU_SUB : std_logic_vector(6 downto 0) := "0000101"; constant ALU_XOR : std_logic_vector(6 downto 0) := "0000110"; constant ALU_CMP : std_logic_vector(6 downto 0) := "0000111"; -- See also ALU_CMPS constant ALU_TEST0 : std_logic_vector(6 downto 0) := "0001000"; constant ALU_TEST1 : std_logic_vector(6 downto 0) := "0001101"; -- Random assignment, these can be changed. constant ALU_PUSH : std_logic_vector(6 downto 0) := "0001001"; -- Used for PUSH (SUB) constant ALU_POP : std_logic_vector(6 downto 0) := "0001010"; -- Used for POP (ADD) constant ALU_REGL : std_logic_vector(6 downto 0) := "0001011"; -- alureg(15..0) (latched alu_busb) constant ALU_REGH : std_logic_vector(6 downto 0) := "0111011"; -- alureg(31..16) (latched alu_busa) constant ALU_PASSA : std_logic_vector(6 downto 0) := "0001100"; -- abus_s only constant ALU_TEMP : std_logic_vector(6 downto 0) := "1111001"; -- Used to select temp/scratchpad register (80186 only) -- CONST & instr.irg(3 downto 0) constant ALU_SAHF : std_logic_vector(6 downto 0) := "0001110"; -- AH -> Flags -- CONST & instr.irg(3 downto 0) constant ALU_LAHF : std_logic_vector(6 downto 0) := "0001111"; -- Flags->ALUBUS (->AH) -- CONSTANT & instr.ireg(1) & modrm.reg(5 downto 3) -- CONSTANT=001 constant ALU_ROL1 : std_logic_vector(6 downto 0) := "0010000"; -- count=1 constant ALU_ROR1 : std_logic_vector(6 downto 0) := "0010001"; constant ALU_RCL1 : std_logic_vector(6 downto 0) := "0010010"; constant ALU_RCR1 : std_logic_vector(6 downto 0) := "0010011"; constant ALU_SHL1 : std_logic_vector(6 downto 0) := "0010100"; constant ALU_SHR1 : std_logic_vector(6 downto 0) := "0010101"; constant ALU_SAR1 : std_logic_vector(6 downto 0) := "0010111"; constant ALU_ROL : std_logic_vector(6 downto 0) := "0011000"; -- Count in CL constant ALU_ROR : std_logic_vector(6 downto 0) := "0011001"; constant ALU_RCL : std_logic_vector(6 downto 0) := "0011010"; constant ALU_RCR : std_logic_vector(6 downto 0) := "0011011"; constant ALU_SHL : std_logic_vector(6 downto 0) := "0011100"; constant ALU_SHR : std_logic_vector(6 downto 0) := "0011101"; constant ALU_SAR : std_logic_vector(6 downto 0) := "0011111"; -- CONST & modrm.reg(5 downto 3)/instr.ireg(5 downto 3) constant ALU_INC : std_logic_vector(6 downto 0) := "0100000"; -- Increment constant ALU_DEC : std_logic_vector(6 downto 0) := "0100001"; -- Decrement also used for LOOP/JCXZ constant ALU_CLRTIF : std_logic_vector(6 downto 0) := "0100010"; -- Clear TF/IF flag, used for INT constant ALU_CMPS : std_logic_vector(6 downto 0) := "0100111"; -- Compare String ALUREG-MDBUS constant ALU_SCAS : std_logic_vector(6 downto 0) := "0101111"; -- AX/AL-MDBUS, no SEXT -- CONST & instr.irg(3 downto 0) constant ALU_CMC : std_logic_vector(6 downto 0) := "0100101"; -- Complement Carry constant ALU_CLC : std_logic_vector(6 downto 0) := "0101000"; -- Clear Carry constant ALU_STC : std_logic_vector(6 downto 0) := "0101001"; -- Set Carry constant ALU_CLI : std_logic_vector(6 downto 0) := "0101010"; -- Clear interrupt constant ALU_STI : std_logic_vector(6 downto 0) := "0101011"; -- Set Interrupt constant ALU_CLD : std_logic_vector(6 downto 0) := "0101100"; -- Clear Direction constant ALU_STD : std_logic_vector(6 downto 0) := "0101101"; -- Set Direction -- CONST & modrm.reg(5 downto 3) constant ALU_TEST2 : std_logic_vector(6 downto 0) := "0110000"; -- F6/F7 constant ALU_NOT : std_logic_vector(6 downto 0) := "0110010"; -- F6/F7 constant ALU_NEG : std_logic_vector(6 downto 0) := "0110011"; -- F6/F7 constant ALU_MUL : std_logic_vector(6 downto 0) := "0110100"; -- F6/F7 constant ALU_IMUL : std_logic_vector(6 downto 0) := "0110101"; -- F6/F7 constant ALU_DIV : std_logic_vector(6 downto 0) := "0110110"; -- F6/F7 constant ALU_IDIV : std_logic_vector(6 downto 0) := "0110111"; -- F6/F7 -- Second cycle write DX constant ALU_MUL2 : std_logic_vector(6 downto 0) := "0111100"; -- F6/F7 constant ALU_IMUL2 : std_logic_vector(6 downto 0) := "0111101"; -- F6/F7 constant ALU_DIV2 : std_logic_vector(6 downto 0) := "0111110"; -- F6/F7 constant ALU_IDIV2 : std_logic_vector(6 downto 0) := "0111111"; -- F6/F7 -- CONST & instr.ireg(3 downto 0) constant ALU_SEXT : std_logic_vector(6 downto 0) := "0111000"; -- Used for CBW constant ALU_SEXTW : std_logic_vector(6 downto 0) := "0111001"; -- Used for CWD -- CONSTANT & & instr.ireg(1) & instr.ireg(5 downto 3) constant ALU_AAM : std_logic_vector(6 downto 0) := "1000010"; constant ALU_AAD : std_logic_vector(6 downto 0) := "1001010"; constant ALU_DAA : std_logic_vector(6 downto 0) := "1001100"; constant ALU_DAS : std_logic_vector(6 downto 0) := "1001101"; constant ALU_AAA : std_logic_vector(6 downto 0) := "1001110"; constant ALU_AAS : std_logic_vector(6 downto 0) := "1001111"; constant ALU_ADD_SE : std_logic_vector(6 downto 0) := "1100000"; constant ALU_OR_SE : std_logic_vector(6 downto 0) := "1100001"; constant ALU_ADC_SE : std_logic_vector(6 downto 0) := "1100010"; constant ALU_SBB_SE : std_logic_vector(6 downto 0) := "1100011"; constant ALU_AND_SE : std_logic_vector(6 downto 0) := "1100100"; constant ALU_SUB_SE : std_logic_vector(6 downto 0) := "1100101"; constant ALU_XOR_SE : std_logic_vector(6 downto 0) := "1100110"; constant ALU_CMP_SE : std_logic_vector(6 downto 0) := "1100111"; END cpu86pack;
gpl-2.0
nsauzede/cpu86
p2_lcd_spi/spi_master.vhd
2
7000
--+-----------------------------------+-------------------------------------+-- --| ___ ___ | (c) 2013-2014 William R Sowerbutts |-- --| ___ ___ ___ ___( _ ) / _ \ | [email protected] |-- --| / __|/ _ \ / __|_ / _ \| | | | | |-- --| \__ \ (_) | (__ / / (_) | |_| | | A Z80 FPGA computer, just for fun |-- --| |___/\___/ \___/___\___/ \___/ | |-- --| | http://sowerbutts.com/ |-- --+-----------------------------------+-------------------------------------+-- --| A rudimentary SPI master peripheral |-- --+-------------------------------------------------------------------------+-- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity spi_master is port ( clk : in std_logic; reset : in std_logic; cpu_address : in std_logic_vector(2 downto 0); cpu_wait : out std_logic; data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); enable : in std_logic; req_read : in std_logic; req_write : in std_logic; slave_cs : out std_logic; slave_clk : out std_logic; slave_mosi : out std_logic; slave_miso : in std_logic ); end spi_master; -- registers: -- base+0 -- chip select control; bit 0 is slave_cs -- base+1 -- status register; bit 0 indicates "transmitter busy" -- base+2 -- transmitter: write a byte here, starts SPI bus transaction -- base+3 -- receiver: last byte received (updated on each transation) -- base+4 -- clock divider: clk counts from 0 to whatever is in this register before proceeding -- -- Note that if an SPI transfer is underway already the CPU will be -- forced to wait until it completes before any register can be -- read or written. This is very convenient as it means you can -- just read or write bytes without checking the status register. architecture Behavioral of spi_master is -- start up in idle state signal slave_cs_register : std_logic := '1'; signal slave_clk_register : std_logic := '1'; --MODE3 -- signal slave_clk_register : std_logic := '0'; --MODE0 signal slave_mosi_register: std_logic := '0'; signal data_out_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB -- signal data_out_sr : std_logic_vector(7 downto 0) := x"55"; -- shifted left ie MSB <- LSB signal data_in_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB signal busy_sr : std_logic_vector(7 downto 0) := (others => '0'); -- shifted left ie MSB <- LSB signal clk_divide_target : unsigned(7 downto 0) := (others => '0'); -- signal clk_divide_target : unsigned(7 downto 0) := x"aa"; signal clk_divide_value : unsigned(7 downto 0) := (others => '0'); signal cpu_was_idle : std_logic := '1'; -- cpu visible registers signal chip_select_out : std_logic_vector(7 downto 0); signal status_data_out : std_logic_vector(7 downto 0); begin chip_select_out <= "0000000" & slave_cs_register; status_data_out <= "0000000" & busy_sr(7); cpu_wait <= busy_sr(7); with cpu_address select data_out <= chip_select_out when "000", status_data_out when "001", data_out_sr when "010", data_in_sr when "011", std_logic_vector(clk_divide_target) when "100", status_data_out when others; -- data_out <= data_out_sr; slave_cs <= slave_cs_register; slave_clk <= slave_clk_register; slave_mosi <= slave_mosi_register; spimaster_proc: process(clk) begin if rising_edge(clk) then if reset = '1' then slave_cs_register <= '1'; slave_clk_register <= '1'; --MODE3 -- slave_clk_register <= '0'; --MODE0 slave_mosi_register <= '0'; data_out_sr <= (others => '0'); -- data_out_sr <= x"aa"; data_in_sr <= (others => '0'); busy_sr <= (others => '0'); clk_divide_target <= (others => '0'); clk_divide_value <= (others => '0'); cpu_was_idle <= '1'; else -- divide down input clk to get 2 * spi clk clk_divide_value <= clk_divide_value + 1; if clk_divide_value = clk_divide_target then clk_divide_value <= to_unsigned(0, 8); end if; if busy_sr(7) = '1' then if clk_divide_value = clk_divide_target then -- we're in the midst of a transaction! whoo! if slave_clk_register = '1' then -- clk is high; next cycle will be falling edge of clk slave_clk_register <= '0'; slave_mosi_register <= data_out_sr(7); -- shift data out data_out_sr <= data_out_sr(6 downto 0) & '0'; else -- clk is low; next cycle will be rising edge of clk slave_clk_register <= '1'; -- shift busy busy_sr <= busy_sr(6 downto 0) & '0'; -- latch data in data_in_sr <= data_in_sr(6 downto 0) & slave_miso; end if; end if; end if; if enable = '1' and req_write = '1' then if busy_sr(7) = '0' and cpu_was_idle = '1' then cpu_was_idle <= '0'; case cpu_address is when "000" => slave_cs_register <= data_in(0); when "010" => -- only allow writes when transmitter is idle data_out_sr <= data_in; busy_sr <= (others => '1'); when "100" => clk_divide_target <= unsigned(data_in); when others => -- no change end case; else cpu_was_idle <= cpu_was_idle; end if; else cpu_was_idle <= '1'; end if; end if; end if; end process; end Behavioral;
gpl-2.0
nsauzede/cpu86
papilio2_drigmorn1/ipcore_dir/clk32to40/simulation/timing/clk32to40_tb.vhd
2
6460
-- file: clk32to40_tb.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- Clocking wizard demonstration testbench ------------------------------------------------------------------------------ -- This demonstration testbench instantiates the example design for the -- clocking wizard. Input clocks are toggled, which cause the clocking -- network to lock and the counters to increment. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; use ieee.std_logic_textio.all; library std; use std.textio.all; library work; use work.all; entity clk32to40_tb is end clk32to40_tb; architecture test of clk32to40_tb is -- Clock to Q delay of 100 ps constant TCQ : time := 100 ps; -- timescale is 1ps constant ONE_NS : time := 1 ns; -- how many cycles to run constant COUNT_PHASE : integer := 1024 + 1; -- we'll be using the period in many locations constant PER1 : time := 31.25 ns; -- Declare the input clock signals signal CLK_IN1 : std_logic := '1'; -- The high bit of the sampling counter signal COUNT : std_logic; signal COUNTER_RESET : std_logic := '0'; signal timeout_counter : std_logic_vector (13 downto 0) := (others => '0'); -- signal defined to stop mti simulation without severity failure in the report signal end_of_sim : std_logic := '0'; signal CLK_OUT : std_logic_vector(1 downto 1); --Freq Check using the M & D values setting and actual Frequency generated component clk32to40_exdes port (-- Clock in ports CLK_IN1 : in std_logic; -- Reset that only drives logic in example design COUNTER_RESET : in std_logic; CLK_OUT : out std_logic_vector(1 downto 1) ; -- High bits of counters driven by clocks COUNT : out std_logic ); end component; begin -- Input clock generation -------------------------------------- process begin CLK_IN1 <= not CLK_IN1; wait for (PER1/2); end process; -- Test sequence process procedure simtimeprint is variable outline : line; begin write(outline, string'("## SYSTEM_CYCLE_COUNTER ")); write(outline, NOW/PER1); write(outline, string'(" ns")); writeline(output,outline); end simtimeprint; procedure simfreqprint (period : time; clk_num : integer) is variable outputline : LINE; variable str1 : string(1 to 16); variable str2 : integer; variable str3 : string(1 to 2); variable str4 : integer; variable str5 : string(1 to 4); begin str1 := "Freq of CLK_OUT("; str2 := clk_num; str3 := ") "; str4 := 1000000 ps/period ; str5 := " MHz" ; write(outputline, str1 ); write(outputline, str2); write(outputline, str3); write(outputline, str4); write(outputline, str5); writeline(output, outputline); end simfreqprint; begin report "Timing checks are not valid" severity note; -- can't probe into hierarchy, wait "some time" for lock wait for (PER1*2500); wait for (PER1*20); COUNTER_RESET <= '1'; wait for (PER1*19.5); COUNTER_RESET <= '0'; wait for (PER1*1); report "Timing checks are valid" severity note; wait for (PER1*COUNT_PHASE); simtimeprint; end_of_sim <= '1'; wait for 1 ps; report "Simulation Stopped." severity failure; wait; end process; -- Instantiation of the example design containing the clock -- network and sampling counters ----------------------------------------------------------- dut : clk32to40_exdes port map (-- Clock in ports CLK_IN1 => CLK_IN1, -- Reset for logic in example design COUNTER_RESET => COUNTER_RESET, CLK_OUT => CLK_OUT, -- High bits of the counters COUNT => COUNT); -- Freq Check end test;
gpl-2.0
nsauzede/cpu86
p2_lcd_spi/slib_edge_detect.vhd
3
1756
-- -- Signal edge detect -- -- Author: Sebastian Witt -- Data: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_edge_detect is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset D : in std_logic; -- Signal input RE : out std_logic; -- Rising edge detected FE : out std_logic -- Falling edge detected ); end slib_edge_detect; architecture rtl of slib_edge_detect is signal iDd : std_logic; -- D register begin -- Store D ED_D: process (RST, CLK) begin if (RST = '1') then iDd <= '0'; elsif (CLK'event and CLK='1') then iDd <= D; end if; end process; -- Output ports RE <= '1' when iDd = '0' and D = '1' else '0'; FE <= '1' when iDd = '1' and D = '0' else '0'; end rtl;
gpl-2.0
nsauzede/cpu86
papilio1/uart_tx.vhd
1
5192
-- UART Transmitter with integral 16 byte FIFO buffer -- -- 8 bit, no parity, 1 stop bit -- -- Version : 1.00 -- Version Date : 14th October 2002 -- -- Start of design entry : 14th October 2002 -- -- Ken Chapman -- Xilinx Ltd -- Benchmark House -- 203 Brooklands Road -- Weybridge -- Surrey KT13 ORH -- United Kingdom -- -- [email protected] -- ------------------------------------------------------------------------------------ -- -- NOTICE: -- -- Copyright Xilinx, Inc. 2002. This code may be contain portions patented by other -- third parties. By providing this core as one possible implementation of a standard, -- Xilinx is making no representation that the provided implementation of this standard -- is free from any claims of infringement by any third party. Xilinx expressly -- disclaims any warranty with respect to the adequacy of the implementation, including -- but not limited to any warranty or representation that the implementation is free -- from claims of any third party. Futhermore, Xilinx is providing this core as a -- courtesy to you and suggests that you contact all third parties to obtain the -- necessary rights to use this implementation. -- ------------------------------------------------------------------------------------ -- -- Library declarations -- -- The Unisim Library is used to define Xilinx primitives. It is also used during -- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library unisim; use unisim.vcomponents.all; -- ------------------------------------------------------------------------------------ -- -- Main Entity for UART_TX -- entity uart_tx is Port ( data_in : in std_logic_vector(7 downto 0); write_buffer : in std_logic; reset_buffer : in std_logic; en_16_x_baud : in std_logic; serial_out : out std_logic; buffer_full : out std_logic; buffer_half_full : out std_logic; clk : in std_logic); end uart_tx; -- ------------------------------------------------------------------------------------ -- -- Start of Main Architecture for UART_TX -- architecture macro_level_definition of uart_tx is -- ------------------------------------------------------------------------------------ -- -- Components used in UART_TX and defined in subsequent entities. -- ------------------------------------------------------------------------------------ -- -- Constant (K) Compact UART Transmitter -- component kcuart_tx Port ( data_in : in std_logic_vector(7 downto 0); send_character : in std_logic; en_16_x_baud : in std_logic; serial_out : out std_logic; Tx_complete : out std_logic; clk : in std_logic); end component; -- -- 'Bucket Brigade' FIFO -- component bbfifo_16x8 Port ( data_in : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(7 downto 0); reset : in std_logic; write : in std_logic; read : in std_logic; full : out std_logic; half_full : out std_logic; data_present : out std_logic; clk : in std_logic); end component; -- ------------------------------------------------------------------------------------ -- -- Signals used in UART_TX -- ------------------------------------------------------------------------------------ -- signal fifo_data_out : std_logic_vector(7 downto 0); signal fifo_data_present : std_logic; signal fifo_read : std_logic; -- ------------------------------------------------------------------------------------ -- -- Start of UART_TX circuit description -- ------------------------------------------------------------------------------------ -- begin -- 8 to 1 multiplexer to convert parallel data to serial kcuart: kcuart_tx port map ( data_in => fifo_data_out, send_character => fifo_data_present, en_16_x_baud => en_16_x_baud, serial_out => serial_out, Tx_complete => fifo_read, clk => clk); buf: bbfifo_16x8 port map ( data_in => data_in, data_out => fifo_data_out, reset => reset_buffer, write => write_buffer, read => fifo_read, full => buffer_full, half_full => buffer_half_full, data_present => fifo_data_present, clk => clk); end macro_level_definition; ------------------------------------------------------------------------------------ -- -- END OF FILE UART_TX.VHD -- ------------------------------------------------------------------------------------
gpl-2.0
nsauzede/cpu86
papilio2_lcd/uart_16750.vhd
3
53058
-- -- UART 16750 -- -- Author: Sebastian Witt -- Date: 29.01.2008 -- Version: 1.4 -- -- History: 1.0 - Initial version -- 1.1 - THR empty interrupt register connected to RST -- 1.2 - Registered outputs -- 1.3 - Automatic flow control -- 1.4 - De-assert IIR FIFO64 when FIFO is disabled -- -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; -- Serial UART entity uart_16750 is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset BAUDCE : in std_logic; -- Baudrate generator clock enable CS : in std_logic; -- Chip select WR : in std_logic; -- Write to UART RD : in std_logic; -- Read from UART A : in std_logic_vector(2 downto 0); -- Register select DIN : in std_logic_vector(7 downto 0); -- Data bus input DOUT : out std_logic_vector(7 downto 0); -- Data bus output DDIS : out std_logic; -- Driver disable INT : out std_logic; -- Interrupt output OUT1N : out std_logic; -- Output 1 OUT2N : out std_logic; -- Output 2 RCLK : in std_logic; -- Receiver clock (16x baudrate) BAUDOUTN : out std_logic; -- Baudrate generator output (16x baudrate) RTSN : out std_logic; -- RTS output DTRN : out std_logic; -- DTR output CTSN : in std_logic; -- CTS input DSRN : in std_logic; -- DSR input DCDN : in std_logic; -- DCD input RIN : in std_logic; -- RI input SIN : in std_logic; -- Receiver input SOUT : out std_logic -- Transmitter output ); end uart_16750; architecture rtl of uart_16750 is -- UART transmitter component uart_transmitter is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset TXCLK : in std_logic; -- Transmitter clock (2x baudrate) TXSTART : in std_logic; -- Start transmitter CLEAR : in std_logic; -- Clear transmitter state WLS : in std_logic_vector(1 downto 0); -- Word length select STB : in std_logic; -- Number of stop bits PEN : in std_logic; -- Parity enable EPS : in std_logic; -- Even parity select SP : in std_logic; -- Stick parity BC : in std_logic; -- Break control DIN : in std_logic_vector(7 downto 0); -- Input data TXFINISHED : out std_logic; -- Transmitter operation finished SOUT : out std_logic -- Transmitter output ); end component; -- UART receiver component uart_receiver is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset RXCLK : in std_logic; -- Receiver clock (16x baudrate) RXCLEAR : in std_logic; -- Reset receiver state WLS : in std_logic_vector(1 downto 0); -- Word length select STB : in std_logic; -- Number of stop bits PEN : in std_logic; -- Parity enable EPS : in std_logic; -- Even parity select SP : in std_logic; -- Stick parity SIN : in std_logic; -- Receiver input PE : out std_logic; -- Parity error FE : out std_logic; -- Framing error BI : out std_logic; -- Break interrupt DOUT : out std_logic_vector(7 downto 0); -- Output data RXFINISHED : out std_logic -- Receiver operation finished ); end component; -- UART interrupt control component uart_interrupt is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset IER : in std_logic_vector(3 downto 0); -- IER 3:0 LSR : in std_logic_vector(4 downto 0); -- LSR 4:0 THI : in std_logic; -- Transmitter holding register empty interrupt RDA : in std_logic; -- Receiver data available CTI : in std_logic; -- Character timeout indication AFE : in std_logic; -- Automatic flow control enable MSR : in std_logic_vector(3 downto 0); -- MSR 3:0 IIR : out std_logic_vector(3 downto 0); -- IIR 3:0 INT : out std_logic -- Interrupt ); end component; -- UART baudrate generator component uart_baudgen is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable CLEAR : in std_logic; -- Reset generator (synchronization) DIVIDER : in std_logic_vector(15 downto 0); -- Clock divider BAUDTICK : out std_logic -- 16xBaudrate tick ); end component; -- UART FIFO component slib_fifo is generic ( WIDTH : integer := 8; -- FIFO width SIZE_E : integer := 6 -- FIFO size (2^SIZE_E) ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CLEAR : in std_logic; -- Clear FIFO WRITE : in std_logic; -- Write to FIFO READ : in std_logic; -- Read from FIFO D : in std_logic_vector(WIDTH-1 downto 0); -- FIFO input Q : out std_logic_vector(WIDTH-1 downto 0); -- FIFO output EMPTY : out std_logic; -- FIFO is empty FULL : out std_logic; -- FIFO is full USAGE : out std_logic_vector(SIZE_E-1 downto 0) -- FIFO usage ); end component; -- Edge detect component slib_edge_detect is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset D : in std_logic; -- Signal input RE : out std_logic; -- Rising edge detected FE : out std_logic -- Falling edge detected ); end component; -- Input synchronization component slib_input_sync is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset D : in std_logic; -- Signal input Q : out std_logic -- Signal output ); end component; -- Input filter component slib_input_filter is generic ( SIZE : natural := 4 -- Filter width ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable D : in std_logic; -- Signal input Q : out std_logic -- Signal output ); end component; -- Clock enable generation component slib_clock_div is generic ( RATIO : integer := 8 -- Clock divider ratio ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable input Q : out std_logic -- New clock enable output ); end component; -- Global device signals signal iCSWR : std_logic; -- Chipselect and write signal iCSRD : std_logic; -- Chipselect and read signal iWriteFE : std_logic; -- Write falling edge signal iReadFE : std_logic; -- Read falling edge signal iWrite : std_logic; -- Write to UART signal iRead : std_logic; -- Read from UART signal iA : std_logic_vector(2 downto 0); -- UART register address signal iDIN : std_logic_vector(7 downto 0); -- UART data input -- UART registers read/write signals signal iRBRRead : std_logic; -- Read from RBR signal iTHRWrite : std_logic; -- Write to THR signal iDLLWrite : std_logic; -- Write to DLL signal iDLMWrite : std_logic; -- Write to DLM signal iIERWrite : std_logic; -- Write to IER signal iIIRRead : std_logic; -- Read from IIR signal iFCRWrite : std_logic; -- Write to FCR signal iLCRWrite : std_logic; -- Write to LCR signal iMCRWrite : std_logic; -- Write to MCR signal iLSRRead : std_logic; -- Read from LSR signal iMSRRead : std_logic; -- Read from MSR signal iSCRWrite : std_logic; -- Write to SCR -- UART registers signal iTSR : std_logic_vector(7 downto 0); -- Transmitter holding register signal iRBR : std_logic_vector(7 downto 0); -- Receiver buffer register signal iDLL : std_logic_vector(7 downto 0); -- Divisor latch LSB signal iDLM : std_logic_vector(7 downto 0); -- Divisor latch MSB signal iIER : std_logic_vector(7 downto 0); -- Interrupt enable register signal iIIR : std_logic_vector(7 downto 0); -- Interrupt identification register signal iFCR : std_logic_vector(7 downto 0); -- FIFO control register signal iLCR : std_logic_vector(7 downto 0); -- Line control register signal iMCR : std_logic_vector(7 downto 0); -- Modem control register signal iLSR : std_logic_vector(7 downto 0); -- Line status register signal iMSR : std_logic_vector(7 downto 0); -- Modem status register signal iSCR : std_logic_vector(7 downto 0); -- Scratch register -- IER register signals signal iIER_ERBI : std_logic; -- IER: Enable received data available interrupt signal iIER_ETBEI : std_logic; -- IER: Enable transmitter holding register empty interrupt signal iIER_ELSI : std_logic; -- IER: Enable receiver line status interrupt signal iIER_EDSSI : std_logic; -- IER: Enable modem status interrupt -- IIR register signals signal iIIR_PI : std_logic; -- IIR: Pending interrupt signal iIIR_ID0 : std_logic; -- IIR: Interrupt ID0 signal iIIR_ID1 : std_logic; -- IIR: Interrupt ID1 signal iIIR_ID2 : std_logic; -- IIR: Interrupt ID2 signal iIIR_FIFO64 : std_logic; -- IIR: 64 byte FIFO enabled -- FCR register signals signal iFCR_FIFOEnable : std_logic; -- FCR: FIFO enable signal iFCR_RXFIFOReset : std_logic; -- FCR: Receiver FIFO reset signal iFCR_TXFIFOReset : std_logic; -- FCR: Transmitter FIFO reset signal iFCR_DMAMode : std_logic; -- FCR: DMA mode select signal iFCR_FIFO64E : std_logic; -- FCR: 64 byte FIFO enable signal iFCR_RXTrigger : std_logic_vector(1 downto 0); -- FCR: Receiver trigger -- LCR register signals signal iLCR_WLS : std_logic_vector(1 downto 0); -- LCR: Word length select signal iLCR_STB : std_logic; -- LCR: Number of stop bits signal iLCR_PEN : std_logic; -- LCR: Parity enable signal iLCR_EPS : std_logic; -- LCR: Even parity select signal iLCR_SP : std_logic; -- LCR: Sticky parity signal iLCR_BC : std_logic; -- LCR: Break control signal iLCR_DLAB : std_logic; -- LCR: Divisor latch access bit -- MCR register signals signal iMCR_DTR : std_logic; -- MCR: Data terminal ready signal iMCR_RTS : std_logic; -- MCR: Request to send signal iMCR_OUT1 : std_logic; -- MCR: OUT1 signal iMCR_OUT2 : std_logic; -- MCR: OUT2 signal iMCR_LOOP : std_logic; -- MCR: Loop signal iMCR_AFE : std_logic; -- MCR: Auto flow control enable -- LSR register signals signal iLSR_DR : std_logic; -- LSR: Data ready signal iLSR_OE : std_logic; -- LSR: Overrun error signal iLSR_PE : std_logic; -- LSR: Parity error signal iLSR_FE : std_logic; -- LSR: Framing error signal iLSR_BI : std_logic; -- LSR: Break Interrupt signal iLSR_THRE : std_logic; -- LSR: Transmitter holding register empty signal iLSR_TEMT : std_logic; -- LSR: Transmitter empty signal iLSR_FIFOERR : std_logic; -- LSR: Error in receiver FIFO -- MSR register signals signal iMSR_dCTS : std_logic; -- MSR: Delta CTS signal iMSR_dDSR : std_logic; -- MSR: Delta DSR signal iMSR_TERI : std_logic; -- MSR: Trailing edge ring indicator signal iMSR_dDCD : std_logic; -- MSR: Delta DCD signal iMSR_CTS : std_logic; -- MSR: CTS signal iMSR_DSR : std_logic; -- MSR: DSR signal iMSR_RI : std_logic; -- MSR: RI signal iMSR_DCD : std_logic; -- MSR: DCD -- UART MSR signals signal iCTSNs : std_logic; -- Synchronized CTSN input signal iDSRNs : std_logic; -- Synchronized DSRN input signal iDCDNs : std_logic; -- Synchronized DCDN input signal iRINs : std_logic; -- Synchronized RIN input signal iCTSn : std_logic; -- Filtered CTSN input signal iDSRn : std_logic; -- Filtered DSRN input signal iDCDn : std_logic; -- Filtered DCDN input signal iRIn : std_logic; -- Filtered RIN input signal iCTSnRE : std_logic; -- CTSn rising edge signal iCTSnFE : std_logic; -- CTSn falling edge signal iDSRnRE : std_logic; -- DSRn rising edge signal iDSRnFE : std_logic; -- DSRn falling edge signal iDCDnRE : std_logic; -- DCDn rising edge signal iDCDnFE : std_logic; -- DCDn falling edge signal iRInRE : std_logic; -- RIn rising edge signal iRInFE : std_logic; -- RIn falling edge -- UART baudrate generation signals signal iBaudgenDiv : std_logic_vector(15 downto 0); -- Baudrate divider signal iBaudtick16x : std_logic; -- 16x Baudrate output from baudrate generator signal iBaudtick2x : std_logic; -- 2x Baudrate for transmitter signal iRCLK : std_logic; -- 16x Baudrate for receiver -- UART FIFO signals signal iTXFIFOClear : std_logic; -- Clear TX FIFO signal iTXFIFOWrite : std_logic; -- Write to TX FIFO signal iTXFIFORead : std_logic; -- Read from TX FIFO signal iTXFIFOEmpty : std_logic; -- TX FIFO is empty signal iTXFIFOFull : std_logic; -- TX FIFO is full signal iTXFIFO16Full : std_logic; -- TX FIFO 16 byte mode is full signal iTXFIFO64Full : std_logic; -- TX FIFO 64 byte mode is full signal iTXFIFOUsage : std_logic_vector(5 downto 0); -- RX FIFO usage signal iTXFIFOQ : std_logic_vector(7 downto 0); -- TX FIFO output signal iRXFIFOClear : std_logic; -- Clear RX FIFO signal iRXFIFOWrite : std_logic; -- Write to RX FIFO signal iRXFIFORead : std_logic; -- Read from RX FIFO signal iRXFIFOEmpty : std_logic; -- RX FIFO is empty signal iRXFIFOFull : std_logic; -- RX FIFO is full signal iRXFIFO16Full : std_logic; -- RX FIFO 16 byte mode is full signal iRXFIFO64Full : std_logic; -- RX FIFO 64 byte mode is full signal iRXFIFOD : std_logic_vector(10 downto 0); -- RX FIFO input signal iRXFIFOQ : std_logic_vector(10 downto 0); -- RX FIFO output signal iRXFIFOUsage : std_logic_vector(5 downto 0); -- RX FIFO usage signal iRXFIFOTrigger : std_logic; -- FIFO trigger level reached signal iRXFIFO16Trigger : std_logic; -- FIFO 16 byte mode trigger level reached signal iRXFIFO64Trigger : std_logic; -- FIFO 64 byte mode trigger level reached signal iRXFIFOPE : std_logic; -- Parity error from FIFO signal iRXFIFOFE : std_logic; -- Frame error from FIFO signal iRXFIFOBI : std_logic; -- Break interrupt from FIFO -- UART transmitter signals signal iSOUT : std_logic; -- Transmitter output signal iTXStart : std_logic; -- Start transmitter signal iTXClear : std_logic; -- Clear transmitter status signal iTXFinished : std_logic; -- TX finished, character transmitted signal iTXRunning : std_logic; -- TX in progress -- UART receiver signals signal iSINr : std_logic; -- Synchronized SIN input signal iSIN : std_logic; -- Receiver input signal iRXFinished : std_logic; -- RX finished, character received signal iRXClear : std_logic; -- Clear receiver status signal iRXData : std_logic_vector(7 downto 0); -- RX data signal iRXPE : std_logic; -- RX parity error signal iRXFE : std_logic; -- RX frame error signal iRXBI : std_logic; -- RX break interrupt -- UART control signals signal iFERE : std_logic; -- Frame error detected signal iPERE : std_logic; -- Parity error detected signal iBIRE : std_logic; -- Break interrupt detected signal iFECounter : integer range 0 to 64; -- FIFO error counter signal iFEIncrement : std_logic; -- FIFO error counter increment signal iFEDecrement : std_logic; -- FIFO error counter decrement signal iRDAInterrupt : std_logic; -- Receiver data available interrupt (DA or FIFO trigger level) signal iTimeoutCount : unsigned(5 downto 0); -- Character timeout counter (FIFO mode) signal iCharTimeout : std_logic; -- Character timeout indication (FIFO mode) signal iLSR_THRERE : std_logic; -- LSR THRE rising edge for interrupt generation signal iTHRInterrupt : std_logic; -- Transmitter holding register empty interrupt signal iTXEnable : std_logic; -- Transmitter enable signal signal iRTS : std_logic; -- Internal RTS signal with/without automatic flow control begin -- Global device signals iCSWR <= '1' when CS = '1' and WR = '1' else '0'; iCSRD <= '1' when CS = '1' and RD = '1' else '0'; UART_ED_WRITE: slib_edge_detect port map (CLK => CLK, RST => RST, D => iCSWR, FE => iWriteFE); UART_ED_READ: slib_edge_detect port map (CLK => CLK, RST => RST, D => iCSRD, FE => iReadFE); iWrite <= '1' when iWriteFE = '1' else '0'; iRead <= '1' when iReadFE = '1' else '0'; -- UART registers read/write signals iRBRRead <= '1' when iRead = '1' and iA = "000" and iLCR_DLAB = '0' else '0'; iTHRWrite <= '1' when iWrite = '1' and iA = "000" and iLCR_DLAB = '0' else '0'; iDLLWrite <= '1' when iWrite = '1' and iA = "000" and iLCR_DLAB = '1' else '0'; iDLMWrite <= '1' when iWrite = '1' and iA = "001" and iLCR_DLAB = '1' else '0'; iIERWrite <= '1' when iWrite = '1' and iA = "001" and iLCR_DLAB = '0' else '0'; iIIRRead <= '1' when iRead = '1' and iA = "010" else '0'; iFCRWrite <= '1' when iWrite = '1' and iA = "010" else '0'; iLCRWrite <= '1' when iWrite = '1' and iA = "011" else '0'; iMCRWrite <= '1' when iWrite = '1' and iA = "100" else '0'; iLSRRead <= '1' when iRead = '1' and iA = "101" else '0'; iMSRRead <= '1' when iRead = '1' and iA = "110" else '0'; iSCRWrite <= '1' when iWrite = '1' and iA = "111" else '0'; -- Async. input synchronization UART_IS_SIN: slib_input_sync port map (CLK, RST, SIN, iSINr); UART_IS_CTS: slib_input_sync port map (CLK, RST, CTSN, iCTSNs); UART_IS_DSR: slib_input_sync port map (CLK, RST, DSRN, iDSRNs); UART_IS_DCD: slib_input_sync port map (CLK, RST, DCDN, iDCDNs); UART_IS_RI: slib_input_sync port map (CLK, RST, RIN, iRINs); -- Input filter for UART control signals UART_IF_CTS: slib_input_filter generic map (SIZE => 2) port map (CLK, RST, iBaudtick2x, iCTSNs, iCTSn); UART_IF_DSR: slib_input_filter generic map (SIZE => 2) port map (CLK, RST, iBaudtick2x, iDSRNs, iDSRn); UART_IF_DCD: slib_input_filter generic map (SIZE => 2) port map (CLK, RST, iBaudtick2x, iDCDNs, iDCDn); UART_IF_RI: slib_input_filter generic map (SIZE => 2) port map (CLK, RST, iBaudtick2x, iRINs, iRIn); -- Sync. input synchronization UART_SIS: process (CLK, RST) begin if (RST = '1') then iA <= (others => '0'); iDIN <= (others => '0'); elsif (CLK'event and CLK = '1') then iA <= A; iDIN <= DIN; end if; end process; -- Divisor latch register UART_DLR: process (CLK, RST) begin if (RST = '1') then iDLL <= (others => '0'); iDLM <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iDLLWrite = '1') then iDLL <= iDIN; end if; if (iDLMWrite = '1') then iDLM <= iDIN; end if; end if; end process; -- Interrupt enable register UART_IER: process (CLK, RST) begin if (RST = '1') then iIER(3 downto 0) <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iIERWrite = '1') then iIER(3 downto 0) <= iDIN(3 downto 0); end if; end if; end process; iIER_ERBI <= iIER(0); iIER_ETBEI <= iIER(1); iIER_ELSI <= iIER(2); iIER_EDSSI <= iIER(3); iIER(7 downto 4) <= (others => '0'); -- Interrupt control and IIR UART_IIC: uart_interrupt port map (CLK => CLK, RST => RST, IER => iIER(3 downto 0), LSR => iLSR(4 downto 0), THI => iTHRInterrupt, RDA => iRDAInterrupt, CTI => iCharTimeout, AFE => iMCR_AFE, MSR => iMSR(3 downto 0), IIR => iIIR(3 downto 0), INT => INT ); -- THR empty interrupt UART_IIC_THRE_ED: slib_edge_detect port map (CLK => CLK, RST => RST, D => iLSR_THRE, RE => iLSR_THRERE); UART_IIC_THREI: process (CLK, RST) begin if (RST = '1') then iTHRInterrupt <= '0'; elsif (CLK'event and CLK = '1') then if (iLSR_THRERE = '1' or iFCR_TXFIFOReset = '1' or (iIERWrite = '1' and iDIN(1) = '1' and iLSR_THRE = '1')) then iTHRInterrupt <= '1'; -- Set on THRE, TX FIFO reset (FIFO enable) or ETBEI enable elsif ((iIIRRead = '1' and iIIR(3 downto 1) = "001") or iTHRWrite = '1') then iTHRInterrupt <= '0'; -- Clear on IIR read (if source of interrupt) or THR write end if; end if; end process; iRDAInterrupt <= '1' when (iFCR_FIFOEnable = '0' and iLSR_DR = '1') or (iFCR_FIFOEnable = '1' and iRXFIFOTrigger = '1') else '0'; iIIR_PI <= iIIR(0); iIIR_ID0 <= iIIR(1); iIIR_ID1 <= iIIR(2); iIIR_ID2 <= iIIR(3); iIIR_FIFO64 <= iIIR(5); iIIR(4) <= '0'; iIIR(5) <= iFCR_FIFO64E when iFCR_FIFOEnable = '1' else '0'; iIIR(6) <= iFCR_FIFOEnable; iIIR(7) <= iFCR_FIFOEnable; -- Character timeout indication UART_CTI: process (CLK, RST) begin if (RST = '1') then iTimeoutCount <= (others => '0'); iCharTimeout <= '0'; elsif (CLK'event and CLK = '1') then if (iRXFIFOEmpty = '1' or iRBRRead = '1' or iRXFIFOWrite = '1') then iTimeoutCount <= (others => '0'); elsif (iRXFIFOEmpty = '0' and iBaudtick2x = '1' and iTimeoutCount(5) = '0') then iTimeoutCount <= iTimeoutCount + 1; end if; -- Timeout indication if (iFCR_FIFOEnable = '1') then if (iRBRRead = '1') then iCharTimeout <= '0'; elsif (iTimeoutCount(5) = '1') then iCharTimeout <= '1'; end if; else iCharTimeout <= '0'; end if; end if; end process; -- FIFO control register UART_FCR: process (CLK, RST) begin if (RST = '1') then iFCR_FIFOEnable <= '0'; iFCR_RXFIFOReset <= '0'; iFCR_TXFIFOReset <= '0'; iFCR_DMAMode <= '0'; iFCR_FIFO64E <= '0'; iFCR_RXTrigger <= (others => '0'); elsif (CLK'event and CLK = '1') then -- FIFO reset pulse only iFCR_RXFIFOReset <= '0'; iFCR_TXFIFOReset <= '0'; if (iFCRWrite = '1') then iFCR_FIFOEnable <= iDIN(0); iFCR_DMAMode <= iDIN(3); iFCR_RXTrigger <= iDIN(7 downto 6); if (iLCR_DLAB = '1') then iFCR_FIFO64E <= iDIN(5); end if; -- RX FIFO reset control, reset on FIFO enable/disable if (iDIN(1) = '1' or (iFCR_FIFOEnable = '0' and iDIN(0) = '1') or (iFCR_FIFOEnable = '1' and iDIN(0) = '0')) then iFCR_RXFIFOReset <= '1'; end if; -- TX FIFO reset control, reset on FIFO enable/disable if (iDIN(2) = '1' or (iFCR_FIFOEnable = '0' and iDIN(0) = '1') or (iFCR_FIFOEnable = '1' and iDIN(0) = '0')) then iFCR_TXFIFOReset <= '1'; end if; end if; end if; end process; iFCR(0) <= iFCR_FIFOEnable; iFCR(1) <= iFCR_RXFIFOReset; iFCR(2) <= iFCR_TXFIFOReset; iFCR(3) <= iFCR_DMAMode; iFCR(4) <= '0'; iFCR(5) <= iFCR_FIFO64E; iFCR(7 downto 6) <= iFCR_RXTrigger; -- Line control register UART_LCR: process (CLK, RST) begin if (RST = '1') then iLCR <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iLCRWrite = '1') then iLCR <= iDIN; end if; end if; end process; iLCR_WLS <= iLCR(1 downto 0); iLCR_STB <= iLCR(2); iLCR_PEN <= iLCR(3); iLCR_EPS <= iLCR(4); iLCR_SP <= iLCR(5); iLCR_BC <= iLCR(6); iLCR_DLAB <= iLCR(7); -- Modem control register UART_MCR: process (CLK, RST) begin if (RST = '1') then iMCR(5 downto 0) <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iMCRWrite = '1') then iMCR(5 downto 0) <= iDIN(5 downto 0); end if; end if; end process; iMCR_DTR <= iMCR(0); iMCR_RTS <= iMCR(1); iMCR_OUT1 <= iMCR(2); iMCR_OUT2 <= iMCR(3); iMCR_LOOP <= iMCR(4); iMCR_AFE <= iMCR(5); iMCR(6) <= '0'; iMCR(7) <= '0'; -- Line status register UART_LSR: process (CLK, RST) begin if (RST = '1') then iLSR_OE <= '0'; iLSR_PE <= '0'; iLSR_FE <= '0'; iLSR_BI <= '0'; iFECounter <= 0; elsif (CLK'event and CLK = '1') then -- Overrun error if ((iFCR_FIFOEnable = '0' and iLSR_DR = '1' and iRXFinished = '1') or (iFCR_FIFOEnable = '1' and iRXFIFOFull = '1' and iRXFinished = '1')) then iLSR_OE <= '1'; elsif (iLSRRead = '1') then iLSR_OE <= '0'; end if; -- Parity error if (iPERE = '1') then iLSR_PE <= '1'; elsif (iLSRRead = '1') then iLSR_PE <= '0'; end if; -- Frame error if (iFERE = '1') then iLSR_FE <= '1'; elsif (iLSRRead = '1') then iLSR_FE <= '0'; end if; -- Break interrupt if (iBIRE = '1') then iLSR_BI <= '1'; elsif (iLSRRead = '1') then iLSR_BI <= '0'; end if; -- FIFO error -- Datasheet: Cleared by LSR read when no subsequent errors in FIFO -- Observed: Cleared when no subsequent errors in FIFO if (iFECounter /= 0) then iLSR_FIFOERR <= '1'; --elsif (iLSRRead = '1' and iFECounter = 0 and not (iRXFIFOEmpty = '0' and iRXFIFOQ(10 downto 8) /= "000")) then elsif (iRXFIFOEmpty = '1' or iRXFIFOQ(10 downto 8) = "000") then iLSR_FIFOERR <= '0'; end if; -- FIFO error counter if (iRXFIFOClear = '1') then iFECounter <= 0; else if (iFEIncrement = '1' and iFEDecrement = '0') then iFECounter <= iFECounter + 1; elsif (iFEIncrement = '0' and iFEDecrement = '1') then iFECounter <= iFECounter - 1; end if; end if; end if; end process; iRXFIFOPE <= '1' when iRXFIFOEmpty = '0' and iRXFIFOQ(8) = '1' else '0'; iRXFIFOFE <= '1' when iRXFIFOEmpty = '0' and iRXFIFOQ(9) = '1' else '0'; iRXFIFOBI <= '1' when iRXFIFOEmpty = '0' and iRXFIFOQ(10) = '1' else '0'; UART_PEDET: slib_edge_detect port map (CLK, RST, iRXFIFOPE, iPERE); UART_FEDET: slib_edge_detect port map (CLK, RST, iRXFIFOFE, iFERE); UART_BIDET: slib_edge_detect port map (CLK, RST, iRXFIFOBI, iBIRE); iFEIncrement <= '1' when iRXFIFOWrite = '1' and iRXFIFOD(10 downto 8) /= "000" else '0'; iFEDecrement <= '1' when iFECounter /= 0 and iRXFIFOEmpty = '0' and (iPERE = '1' or iFERE = '1' or iBIRE = '1') else '0'; iLSR(0) <= iLSR_DR; iLSR(1) <= iLSR_OE; iLSR(2) <= iLSR_PE; iLSR(3) <= iLSR_FE; iLSR(4) <= iLSR_BI; iLSR(5) <= iLSR_THRE; iLSR(6) <= iLSR_TEMT; iLSR(7) <= '1' when iFCR_FIFOEnable = '1' and iLSR_FIFOERR = '1' else '0'; iLSR_DR <= '1' when iRXFIFOEmpty = '0' or iRXFIFOWrite = '1' else '0'; iLSR_THRE <= '1' when iTXFIFOEmpty = '1' else '0'; iLSR_TEMT <= '1' when iTXRunning = '0' and iLSR_THRE = '1' else '0'; -- Modem status register iMSR_CTS <= '1' when (iMCR_LOOP = '1' and iRTS = '1') or (iMCR_LOOP = '0' and iCTSn = '0') else '0'; iMSR_DSR <= '1' when (iMCR_LOOP = '1' and iMCR_DTR = '1') or (iMCR_LOOP = '0' and iDSRn = '0') else '0'; iMSR_RI <= '1' when (iMCR_LOOP = '1' and iMCR_OUT1 = '1') or (iMCR_LOOP = '0' and iRIn = '0') else '0'; iMSR_DCD <= '1' when (iMCR_LOOP = '1' and iMCR_OUT2 = '1') or (iMCR_LOOP = '0' and iDCDn = '0') else '0'; -- Edge detection for CTS, DSR, DCD and RI UART_ED_CTS: slib_edge_detect port map (CLK => CLK, RST => RST, D => iMSR_CTS, RE => iCTSnRE, FE => iCTSnFE); UART_ED_DSR: slib_edge_detect port map (CLK => CLK, RST => RST, D => iMSR_DSR, RE => iDSRnRE, FE => iDSRnFE); UART_ED_RI: slib_edge_detect port map (CLK => CLK, RST => RST, D => iMSR_RI, RE => iRInRE, FE => iRInFE); UART_ED_DCD: slib_edge_detect port map (CLK => CLK, RST => RST, D => iMSR_DCD, RE => iDCDnRE, FE => iDCDnFE); UART_MSR: process (CLK, RST) begin if (RST = '1') then iMSR_dCTS <= '0'; iMSR_dDSR <= '0'; iMSR_TERI <= '0'; iMSR_dDCD <= '0'; elsif (CLK'event and CLK = '1') then -- Delta CTS if (iCTSnRE = '1' or iCTSnFE = '1') then iMSR_dCTS <= '1'; elsif (iMSRRead = '1') then iMSR_dCTS <= '0'; end if; -- Delta DSR if (iDSRnRE = '1' or iDSRnFE = '1') then iMSR_dDSR <= '1'; elsif (iMSRRead = '1') then iMSR_dDSR <= '0'; end if; -- Trailing edge RI if (iRInFE = '1') then iMSR_TERI <= '1'; elsif (iMSRRead = '1') then iMSR_TERI <= '0'; end if; -- Delta DCD if (iDCDnRE = '1' or iDCDnFE = '1') then iMSR_dDCD <= '1'; elsif (iMSRRead = '1') then iMSR_dDCD <= '0'; end if; end if; end process; iMSR(0) <= iMSR_dCTS; iMSR(1) <= iMSR_dDSR; iMSR(2) <= iMSR_TERI; iMSR(3) <= iMSR_dDCD; iMSR(4) <= iMSR_CTS; iMSR(5) <= iMSR_DSR; iMSR(6) <= iMSR_RI; iMSR(7) <= iMSR_DCD; -- Scratch register UART_SCR: process (CLK, RST) begin if (RST = '1') then iSCR <= (others => '0'); elsif (CLK'event and CLK = '1') then if (iSCRWrite = '1') then iSCR <= iDIN; end if; end if; end process; -- Baudrate generator iBaudgenDiv <= iDLM & iDLL; UART_BG16: uart_baudgen port map (CLK => CLK, RST => RST, CE => BAUDCE, CLEAR => '0', DIVIDER => iBaudgenDiv, BAUDTICK => iBaudtick16x ); UART_BG2: slib_clock_div generic map (RATIO => 8) port map (CLK => CLK, RST => RST, CE => iBaudtick16x, Q => iBaudtick2x ); UART_RCLK: slib_edge_detect port map (CLK => CLK, RST => RST, D => RCLK, RE => iRCLK ); -- Transmitter FIFO UART_TXFF: slib_fifo generic map (WIDTH => 8, SIZE_E => 6) port map (CLK => CLK, RST => RST, CLEAR => iTXFIFOClear, WRITE => iTXFIFOWrite, READ => iTXFIFORead, D => iDIN, Q => iTXFIFOQ, EMPTY => iTXFIFOEmpty, FULL => iTXFIFO64Full, USAGE => iTXFIFOUsage ); -- Transmitter FIFO inputs iTXFIFO16Full <= iTXFIFOUsage(4); iTXFIFOFull <= iTXFIFO16Full when iFCR_FIFO64E = '0' else iTXFIFO64Full; iTXFIFOWrite <= '1' when ((iFCR_FIFOEnable = '0' and iTXFIFOEmpty = '1') or (iFCR_FIFOEnable = '1' and iTXFIFOFull = '0')) and iTHRWrite = '1' else '0'; iTXFIFOClear <= '1' when iFCR_TXFIFOReset = '1' else '0'; -- Receiver FIFO UART_RXFF: slib_fifo generic map (WIDTH => 11, SIZE_E => 6) port map (CLK => CLK, RST => RST, CLEAR => iRXFIFOClear, WRITE => iRXFIFOWrite, READ => iRXFIFORead, D => iRXFIFOD, Q => iRXFIFOQ, EMPTY => iRXFIFOEmpty, FULL => iRXFIFO64Full, USAGE => iRXFIFOUsage ); -- Receiver FIFO inputs iRXFIFORead <= '1' when iRBRRead = '1' else '0'; iRXFIFO16Full <= iRXFIFOUsage(4); iRXFIFOFull <= iRXFIFO16Full when iFCR_FIFO64E = '0' else iRXFIFO64Full; -- Receiver FIFO outputs iRBR <= iRXFIFOQ(7 downto 0); -- FIFO trigger level: 1, 4, 8, 14 iRXFIFO16Trigger <= '1' when (iFCR_RXTrigger = "00" and iRXFIFOEmpty = '0') or (iFCR_RXTrigger = "01" and (iRXFIFOUsage(2) = '1' or iRXFIFOUsage(3) = '1')) or (iFCR_RXTrigger = "10" and iRXFIFOUsage(3) = '1') or (iFCR_RXTrigger = "11" and iRXFIFOUsage(3) = '1' and iRXFIFOUsage(2) = '1' and iRXFIFOUsage(1) = '1') or iRXFIFO16Full = '1' else '0'; -- FIFO 64 trigger level: 1, 16, 32, 56 iRXFIFO64Trigger <= '1' when (iFCR_RXTrigger = "00" and iRXFIFOEmpty = '0') or (iFCR_RXTrigger = "01" and (iRXFIFOUsage(4) = '1' or iRXFIFOUsage(5) = '1')) or (iFCR_RXTrigger = "10" and iRXFIFOUsage(5) = '1') or (iFCR_RXTrigger = "11" and iRXFIFOUsage(5) = '1' and iRXFIFOUsage(4) = '1' and iRXFIFOUsage(3) = '1') or iRXFIFO64Full = '1' else '0'; iRXFIFOTrigger <= iRXFIFO16Trigger when iFCR_FIFO64E = '0' else iRXFIFO64Trigger; -- Transmitter UART_TX: uart_transmitter port map (CLK => CLK, RST => RST, TXCLK => iBaudtick2x, TXSTART => iTXStart, CLEAR => iTXClear, WLS => iLCR_WLS, STB => iLCR_STB, PEN => iLCR_PEN, EPS => iLCR_EPS, SP => iLCR_SP, BC => iLCR_BC, DIN => iTSR, TXFINISHED => iTXFinished, SOUT => iSOUT ); iTXClear <= '0'; -- Receiver UART_RX: uart_receiver port map (CLK => CLK, RST => RST, RXCLK => iRCLK, RXCLEAR => iRXClear, WLS => iLCR_WLS, STB => iLCR_STB, PEN => iLCR_PEN, EPS => iLCR_EPS, SP => iLCR_SP, SIN => iSIN, PE => iRXPE, FE => iRXFE, BI => iRXBI, DOUT => iRXData, RXFINISHED => iRXFinished ); iRXClear <= '0'; iSIN <= iSINr when iMCR_LOOP = '0' else iSOUT; -- Transmitter enable signal -- TODO: Use iCTSNs instead of iMSR_CTS? Input filter increases delay for Auto-CTS recognition. iTXEnable <= '1' when iTXFIFOEmpty = '0' and (iMCR_AFE = '0' or (iMCR_AFE = '1' and iMSR_CTS = '1')) else '0'; -- Transmitter process UART_TXPROC: process (CLK, RST) type state_type is (IDLE, TXSTART, TXRUN, TXEND); variable State : state_type; begin if (RST = '1') then State := IDLE; iTSR <= (others => '0'); iTXStart <= '0'; iTXFIFORead <= '0'; iTXRunning <= '0'; elsif (CLK'event and CLK = '1') then -- Defaults iTXStart <= '0'; iTXFIFORead <= '0'; iTXRunning <= '0'; case State is when IDLE => if (iTXEnable = '1') then iTXStart <= '1'; -- Start transmitter State := TXSTART; else State := IDLE; end if; when TXSTART => iTSR <= iTXFIFOQ; iTXStart <= '1'; -- Start transmitter iTXFIFORead <= '1'; -- Increment TX FIFO read counter State := TXRUN; when TXRUN => if (iTXFinished = '1') then -- TX finished State := TXEND; else State := TXRUN; end if; iTXRunning <= '1'; iTXStart <= '1'; when TXEND => State := IDLE; when others => State := IDLE; end case; end if; end process; -- Receiver process UART_RXPROC: process (CLK, RST) type state_type is (IDLE, RXSAVE); variable State : state_type; begin if (RST = '1') then State := IDLE; iRXFIFOWrite <= '0'; iRXFIFOClear <= '0'; iRXFIFOD <= (others => '0'); elsif (CLK'event and CLK = '1') then -- Defaults iRXFIFOWrite <= '0'; iRXFIFOClear <= iFCR_RXFIFOReset; case State is when IDLE => if (iRXFinished = '1') then -- Receive finished iRXFIFOD <= iRXBI & iRXFE & iRXPE & iRXData; if (iFCR_FIFOEnable = '0') then iRXFIFOClear <= '1'; -- Non-FIFO mode end if; State := RXSAVE; else State := IDLE; end if; when RXSAVE => if (iFCR_FIFOEnable = '0') then iRXFIFOWrite <= '1'; -- Non-FIFO mode: Overwrite elsif (iRXFIFOFull = '0') then iRXFIFOWrite <= '1'; -- FIFO mode end if; State := IDLE; when others => State := IDLE; end case; end if; end process; -- Automatic flow control UART_AFC: process (CLK, RST) begin if (RST = '1') then iRTS <= '0'; elsif (CLK'event and CLK = '1') then if (iMCR_RTS = '0' or (iMCR_AFE = '1' and iRXFIFOTrigger = '1')) then -- Deassert when MCR_RTS is not set or AFC is enabled and the RX FIFO trigger level is reached iRTS <= '0'; elsif (iMCR_RTS = '1' and (iMCR_AFE = '0' or (iMCR_AFE = '1' and iRXFIFOEmpty = '1'))) then -- Assert when MCR_RTS is set and AFC is disabled or when AFC is enabled and the RX FIFO is empty iRTS <= '1'; end if; end if; end process; -- Output registers UART_OUTREGS: process (CLK, RST) begin if (RST = '1') then DDIS <= '0'; BAUDOUTN <= '0'; OUT1N <= '0'; OUT2N <= '0'; RTSN <= '0'; DTRN <= '0'; SOUT <= '0'; elsif (CLK'event and CLK = '1') then -- Default values DDIS <= '0'; BAUDOUTN <= '0'; OUT1N <= '0'; OUT2N <= '0'; RTSN <= '0'; DTRN <= '0'; SOUT <= '0'; -- DDIS if (CS = '0' or RD = '0') then DDIS <= '1'; end if; -- BAUDOUTN if (iBaudtick16x = '0') then BAUDOUTN <= '1'; end if; -- OUT1N if (iMCR_LOOP = '1' or iMCR_OUT1 = '0') then OUT1N <= '1'; end if; -- OUT2N if (iMCR_LOOP = '1' or iMCR_OUT2 = '0') then OUT2N <= '1'; end if; -- RTS if (iMCR_LOOP = '1' or iRTS = '0') then RTSN <= '1'; end if; -- DTR if (iMCR_LOOP = '1' or iMCR_DTR = '0') then DTRN <= '1'; end if; -- SOUT if (iMCR_LOOP = '1' or iSOUT = '1') then SOUT <= '1'; end if; end if; end process; -- UART data output UART_DOUT: process (A, iLCR_DLAB, iRBR, iDLL, iDLM, iIER, iIIR, iLCR, iMCR, iLSR, iMSR, iSCR) begin case A is when "000" => if (iLCR_DLAB = '0') then DOUT <= iRBR; else DOUT <= iDLL; end if; when "001" => if (iLCR_DLAB = '0') then DOUT <= iIER; else DOUT <= iDLM; end if; when "010" => DOUT <= iIIR; when "011" => DOUT <= iLCR; when "100" => DOUT <= iMCR; when "101" => DOUT <= iLSR; when "110" => DOUT <= iMSR; when "111" => DOUT <= iSCR; when others => DOUT <= iRBR; end case; end process; end rtl;
gpl-2.0
nsauzede/cpu86
papilio1_0/coregen/blk_mem_40K.vhd
4
5211
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used -- -- solely for design, simulation, implementation and creation of -- -- design files limited to Xilinx devices or technologies. Use -- -- with non-Xilinx devices or technologies is expressly prohibited -- -- and immediately terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- -- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- -- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- -- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- -- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- -- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- -- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- -- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- -- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- -- FOR A PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support -- -- appliances, devices, or systems. Use in such applications are -- -- expressly prohibited. -- -- -- -- (c) Copyright 1995-2009 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -- You must compile the wrapper file blk_mem_40K.vhd when simulating -- the core, blk_mem_40K. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off Library XilinxCoreLib; -- synthesis translate_on ENTITY blk_mem_40K IS port ( clka: IN std_logic; wea: IN std_logic_VECTOR(0 downto 0); addra: IN std_logic_VECTOR(15 downto 0); dina: IN std_logic_VECTOR(7 downto 0); douta: OUT std_logic_VECTOR(7 downto 0)); END blk_mem_40K; ARCHITECTURE blk_mem_40K_a OF blk_mem_40K IS -- synthesis translate_off component wrapped_blk_mem_40K port ( clka: IN std_logic; wea: IN std_logic_VECTOR(0 downto 0); addra: IN std_logic_VECTOR(15 downto 0); dina: IN std_logic_VECTOR(7 downto 0); douta: OUT std_logic_VECTOR(7 downto 0)); end component; -- Configuration specification for all : wrapped_blk_mem_40K use entity XilinxCoreLib.blk_mem_gen_v3_2(behavioral) generic map( c_has_regceb => 0, c_has_regcea => 0, c_mem_type => 0, c_rstram_b => 0, c_rstram_a => 0, c_has_injecterr => 0, c_rst_type => "SYNC", c_prim_type => 1, c_read_width_b => 8, c_initb_val => "0", c_family => "spartan3", c_read_width_a => 8, c_disable_warn_bhv_coll => 1, c_write_mode_b => "WRITE_FIRST", c_init_file_name => "blk_mem_40K.mif", c_write_mode_a => "WRITE_FIRST", c_mux_pipeline_stages => 0, c_has_mem_output_regs_b => 0, c_has_mem_output_regs_a => 0, c_load_init_file => 1, c_xdevicefamily => "spartan3e", c_write_depth_b => 40960, c_write_depth_a => 40960, c_has_rstb => 0, c_has_rsta => 0, c_has_mux_output_regs_b => 0, c_inita_val => "0", c_has_mux_output_regs_a => 0, c_addra_width => 16, c_addrb_width => 16, c_default_data => "0", c_use_ecc => 0, c_algorithm => 1, c_disable_warn_bhv_range => 1, c_write_width_b => 8, c_write_width_a => 8, c_read_depth_b => 40960, c_read_depth_a => 40960, c_byte_size => 9, c_sim_collision_check => "NONE", c_common_clk => 0, c_wea_width => 1, c_has_enb => 0, c_web_width => 1, c_has_ena => 0, c_use_byte_web => 0, c_use_byte_wea => 0, c_rst_priority_b => "CE", c_rst_priority_a => "CE", c_use_default_data => 1); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_blk_mem_40K port map ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta); -- synthesis translate_on END blk_mem_40K_a;
gpl-2.0
nsauzede/cpu86
papilio2_drigmorn1/ipcore_dir/blk_mem_40K/simulation/data_gen.vhd
26
5164
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
gpl-2.0
nsauzede/cpu86
papilio2/ipcore_dir/blk_mem_40K/simulation/data_gen.vhd
26
5164
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: data_gen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.BMG_TB_PKG.ALL; ENTITY DATA_GEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END DATA_GEN; ARCHITECTURE DATA_GEN_ARCH OF DATA_GEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.RANDOM GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
gpl-2.0
nsauzede/cpu86
papilio2/ipcore_dir/blk_mem_40K/simulation/blk_mem_40K_synth.vhd
2
8184
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: blk_mem_40K_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY blk_mem_40K_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE blk_mem_40K_synth_ARCH OF blk_mem_40K_synth IS COMPONENT blk_mem_40K_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(7 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 8, READ_WIDTH => 8 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: blk_mem_40K_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
gpl-2.0
nsauzede/cpu86
papilio2_lcd/multiplier_rtl.vhd
3
4121
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY multiplier IS GENERIC( WIDTH : integer := 16 ); PORT( multiplicant : IN std_logic_vector (WIDTH-1 DOWNTO 0); multiplier : IN std_logic_vector (WIDTH-1 DOWNTO 0); product : OUT std_logic_vector (WIDTH+WIDTH-1 DOWNTO 0); -- result twocomp : IN std_logic ); END multiplier ; architecture rtl of multiplier is function rectify (r : in std_logic_vector (WIDTH-1 downto 0); -- Rectifier for signed multiplication twoc : in std_logic) -- Signed/Unsigned return std_logic_vector is variable rec_v : std_logic_vector (WIDTH-1 downto 0); begin if ((r(WIDTH-1) and twoc)='1') then rec_v := not(r); else rec_v := r; end if; return (rec_v + (r(WIDTH-1) and twoc)); end; signal multiplicant_s : std_logic_vector (WIDTH-1 downto 0); signal multiplier_s : std_logic_vector (WIDTH-1 downto 0); signal product_s : std_logic_vector (WIDTH+WIDTH-1 downto 0); -- Result signal sign_s : std_logic; begin multiplicant_s <= rectify(multiplicant,twocomp); multiplier_s <= rectify(multiplier,twocomp); sign_s <= multiplicant(WIDTH-1) xor multiplier(WIDTH-1); -- sign product product_s <= multiplicant_s * multiplier_s; product <= ((not(product_s)) + '1') when (sign_s and twocomp)='1' else product_s; end rtl;
gpl-2.0
nsauzede/cpu86
cpu86_rtl/multiplier_rtl.vhd
3
4121
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; ENTITY multiplier IS GENERIC( WIDTH : integer := 16 ); PORT( multiplicant : IN std_logic_vector (WIDTH-1 DOWNTO 0); multiplier : IN std_logic_vector (WIDTH-1 DOWNTO 0); product : OUT std_logic_vector (WIDTH+WIDTH-1 DOWNTO 0); -- result twocomp : IN std_logic ); END multiplier ; architecture rtl of multiplier is function rectify (r : in std_logic_vector (WIDTH-1 downto 0); -- Rectifier for signed multiplication twoc : in std_logic) -- Signed/Unsigned return std_logic_vector is variable rec_v : std_logic_vector (WIDTH-1 downto 0); begin if ((r(WIDTH-1) and twoc)='1') then rec_v := not(r); else rec_v := r; end if; return (rec_v + (r(WIDTH-1) and twoc)); end; signal multiplicant_s : std_logic_vector (WIDTH-1 downto 0); signal multiplier_s : std_logic_vector (WIDTH-1 downto 0); signal product_s : std_logic_vector (WIDTH+WIDTH-1 downto 0); -- Result signal sign_s : std_logic; begin multiplicant_s <= rectify(multiplicant,twocomp); multiplier_s <= rectify(multiplier,twocomp); sign_s <= multiplicant(WIDTH-1) xor multiplier(WIDTH-1); -- sign product product_s <= multiplicant_s * multiplier_s; product <= ((not(product_s)) + '1') when (sign_s and twocomp)='1' else product_s; end rtl;
gpl-2.0
nsauzede/cpu86
p2_lcd_spi/vga_sync.vhd
2
2106
-- -- Copyright 2011, Kevin Lindsey -- See LICENSE file for licensing information -- -- Based on code from P. P. Chu, "FPGA Prototyping by VHDL Examples: Xilinx Spartan-3 Version", 2008 -- Chapters 12-13 -- library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; entity vga_sync is port( clock: in std_logic; reset: in std_logic; hsync, vsync: out std_logic; video_on: out std_logic; pixel_tick: out std_logic; pixel_x, pixel_y: out std_logic_vector(9 downto 0) ); end vga_sync; architecture arch of vga_sync is signal h_sync_reg, v_sync_reg, video_on_reg: std_logic := '0'; signal v_count_reg: std_logic_vector(9 downto 0); signal h_count_reg: std_logic_vector(9 downto 0); -- VGA 640x480 constant thp : integer := 6; -- hsync 156 constant htotal : integer := 850; -- screen size, with back porch 900 constant tvp : integer := 34; -- vsync 1 constant vtotal : integer := 560; -- screen size, with back porch 560 begin -- registers process(clock) begin if rising_edge(clock) then video_on_reg <= '1'; if h_count_reg < (thp) then h_sync_reg <= '0'; video_on_reg <= '0'; else h_sync_reg <= '1'; end if; if v_count_reg < tvp then v_sync_reg <= '0'; video_on_reg <= '0'; else v_sync_reg <= '1'; end if; if h_count_reg = htotal then h_count_reg <= (others => '0'); if v_count_reg = vtotal then v_count_reg <= (others => '0'); else v_count_reg <= v_count_reg + 1; end if; else h_count_reg <= h_count_reg + 1; end if; end if; end process; -- video on/off -- video_on <= h_sync_reg and v_sync_reg; video_on <= video_on_reg; -- output signals hsync <= h_sync_reg; vsync <= v_sync_reg; pixel_x <= std_logic_vector(h_count_reg)-thp-104; pixel_y <= std_logic_vector(v_count_reg)-tvp; -- pixel_tick <= p_tick; end arch;
gpl-2.0
nsauzede/cpu86
papilio2_lcd/slib_fifo.vhd
3
5060
-- -- FIFO -- -- Author: Sebastian Witt -- Date: 29.01.2008 -- Version: 1.3 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; entity slib_fifo is generic ( WIDTH : integer := 8; -- FIFO width SIZE_E : integer := 6 -- FIFO size (2^SIZE_E) ); port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CLEAR : in std_logic; -- Clear FIFO WRITE : in std_logic; -- Write to FIFO READ : in std_logic; -- Read from FIFO D : in std_logic_vector(WIDTH-1 downto 0); -- FIFO input Q : out std_logic_vector(WIDTH-1 downto 0); -- FIFO output EMPTY : out std_logic; -- FIFO is empty FULL : out std_logic; -- FIFO is full USAGE : out std_logic_vector(SIZE_E-1 downto 0) -- FIFO usage ); end slib_fifo; architecture rtl of slib_fifo is -- Signals signal iEMPTY : std_logic; -- Internal EMPTY signal iFULL : std_logic; -- Internal FULL signal iWRAddr : unsigned(SIZE_E downto 0); -- FIFO write address signal iRDAddr : unsigned(SIZE_E downto 0); -- FIFO read address signal iUSAGE : unsigned(SIZE_E-1 downto 0); -- FIFO usage -- FIFO memory type FIFO_Mem_Type is array (2**SIZE_E-1 downto 0) of std_logic_vector(WIDTH-1 downto 0); signal iFIFOMem : FIFO_Mem_Type := (others => (others => '0')); begin -- Full signal (biggest difference of read and write address) iFULL <= '1' when (iRDAddr(SIZE_E-1 downto 0) = iWRAddr(SIZE_E-1 downto 0)) and (iRDAddr(SIZE_E) /= iWRAddr(SIZE_E)) else '0'; -- Write/read address counter and empty signal FF_ADDR: process (RST, CLK) begin if (RST = '1') then iWRAddr <= (others => '0'); iRDAddr <= (others => '0'); iEMPTY <= '1'; elsif (CLK'event and CLK='1') then if (WRITE = '1' and iFULL = '0') then -- Write to FIFO iWRAddr <= iWRAddr + 1; end if; if (READ = '1' and iEMPTY = '0') then -- Read from FIFO iRDAddr <= iRDAddr + 1; end if; if (CLEAR = '1') then -- Reset FIFO iWRAddr <= (others => '0'); iRDAddr <= (others => '0'); end if; if (iRDAddr = iWRAddr) then -- Empty signal (read address same as write address) iEMPTY <= '1'; else iEMPTY <= '0'; end if; end if; end process; -- FIFO memory process FF_MEM: process (RST, CLK) begin if (RST = '1') then --iFIFOMem(2**SIZE_E-1 downto 0) <= (others => (others => '0')); elsif (CLK'event and CLK = '1') then if (WRITE = '1' and iFULL = '0') then iFIFOMem(to_integer(iWRAddr(SIZE_E-1 downto 0))) <= D; end if; Q <= iFIFOMem(to_integer(iRDAddr(SIZE_E-1 downto 0))); end if; end process; -- Usage counter FF_USAGE: process (RST, CLK) begin if (RST = '1') then iUSAGE <= (others => '0'); elsif (CLK'event and CLK = '1') then if (CLEAR = '1') then iUSAGE <= (others => '0'); else if (READ = '0' and WRITE = '1' and iFULL = '0') then iUSAGE <= iUSAGE + 1; end if; if (WRITE = '0' and READ = '1' and iEMPTY = '0') then iUSAGE <= iUSAGE - 1; end if; end if; end if; end process; -- Output signals EMPTY <= iEMPTY; FULL <= iFULL; USAGE <= std_logic_vector(iUSAGE); end rtl;
gpl-2.0
nsauzede/cpu86
p2_lcd_spi/segregfile_rtl.vhd
3
5118
------------------------------------------------------------------------------- -- CPU86 - VHDL CPU8088 IP core -- -- Copyright (C) 2002-2008 HT-LAB -- -- -- -- Contact/bugs : http://www.ht-lab.com/misc/feedback.html -- -- Web : http://www.ht-lab.com -- -- -- -- CPU86 is released as open-source under the GNU GPL license. This means -- -- that designs based on CPU86 must be distributed in full source code -- -- under the same license. Contact HT-Lab for commercial applications where -- -- source-code distribution is not desirable. -- -- -- ------------------------------------------------------------------------------- -- -- -- This library is free software; you can redistribute it and/or -- -- modify it under the terms of the GNU Lesser General Public -- -- License as published by the Free Software Foundation; either -- -- version 2.1 of the License, or (at your option) any later version. -- -- -- -- This library is distributed in the hope that it will be useful, -- -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- -- Lesser General Public License for more details. -- -- -- -- Full details of the license can be found in the file "copying.txt". -- -- -- -- You should have received a copy of the GNU Lesser General Public -- -- License along with this library; if not, write to the Free Software -- -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- -- -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE ieee.std_logic_arith.ALL; USE work.cpu86pack.ALL; ENTITY segregfile IS PORT( selsreg : IN std_logic_vector (1 DOWNTO 0); sibus : IN std_logic_vector (15 DOWNTO 0); wrs : IN std_logic; reset : IN std_logic; clk : IN std_logic; sdbus : OUT std_logic_vector (15 DOWNTO 0); dimux : IN std_logic_vector (2 DOWNTO 0); es_s : OUT std_logic_vector (15 DOWNTO 0); cs_s : OUT std_logic_vector (15 DOWNTO 0); ss_s : OUT std_logic_vector (15 DOWNTO 0); ds_s : OUT std_logic_vector (15 DOWNTO 0) ); END segregfile ; architecture rtl of segregfile is signal esreg_s : std_logic_vector(15 downto 0); signal csreg_s : std_logic_vector(15 downto 0); signal ssreg_s : std_logic_vector(15 downto 0); signal dsreg_s : std_logic_vector(15 downto 0); signal sdbus_s : std_logic_vector (15 downto 0); -- internal sdbus signal dimux_s : std_logic_vector (2 downto 0); -- replaced dimux begin ---------------------------------------------------------------------------- -- 4 registers of 16 bits each ---------------------------------------------------------------------------- process (clk,reset) begin if reset='1' then esreg_s <= RESET_ES_C; csreg_s <= RESET_CS_C; -- Only CS set after reset ssreg_s <= RESET_SS_C; dsreg_s <= RESET_DS_C; elsif rising_edge(clk) then if (wrs='1') then case selsreg is when "00" => esreg_s <= sibus; when "01" => csreg_s <= sibus; when "10" => ssreg_s <= sibus; when others => dsreg_s <= sibus; end case; end if; end if; end process; dimux_s <= dimux; process (dimux_s,esreg_s,csreg_s,ssreg_s,dsreg_s) begin case dimux_s is -- Only 2 bits required when "100" => sdbus_s <= esreg_s; when "101" => sdbus_s <= csreg_s; when "110" => sdbus_s <= ssreg_s; when others => sdbus_s <= dsreg_s; end case; end process; sdbus <= sdbus_s; -- Connect to entity es_s <= esreg_s; cs_s <= csreg_s; ss_s <= ssreg_s; ds_s <= dsreg_s; end rtl;
gpl-2.0
davewebb8211/ghdl
libraries/vital95/vital_timing.vhdl
6
46973
------------------------------------------------------------------------------- -- Title : Standard VITAL TIMING Package -- : $Revision$ -- : -- Library : This package shall be compiled into a library -- : symbolically named IEEE. -- : -- Developers : IEEE DASC Timing Working Group (TWG), PAR 1076.4 -- : -- Purpose : This packages defines standard types, attributes, constants, -- : functions and procedures for use in developing ASIC models. -- : -- Known Errors : -- : -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the objects (types, subtypes, constants, functions, -- : procedures ... etc.) that can be used by a user. The package -- : body shall be considered the formal definition of the -- : semantics of this package. Tool developers may choose to -- : implement the package body in the most efficient manner -- : available to them. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Acknowledgments: -- This code was originally developed under the "VHDL Initiative Toward ASIC -- Libraries" (VITAL), an industry sponsored initiative. Technical -- Director: William Billowitch, VHDL Technology Group; U.S. Coordinator: -- Steve Schultz; Steering Committee Members: Victor Berman, Cadence Design -- Systems; Oz Levia, Synopsys Inc.; Ray Ryan, Ryan & Ryan; Herman van Beek, -- Texas Instruments; Victor Martin, Hewlett-Packard Company. -- ---------------------------------------------------------------------------- -- -- ---------------------------------------------------------------------------- -- Modification History : -- ---------------------------------------------------------------------------- -- Version No:|Auth:| Mod.Date:| Changes Made: -- v95.0 A | | 06/02/95 | Initial ballot draft 1995 -- v95.1 | | 08/31/95 | #203 - Timing violations at time 0 -- #204 - Output mapping prior to glitch detection -- ---------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.Std_Logic_1164.ALL; PACKAGE VITAL_Timing IS TYPE VitalTransitionType IS ( tr01, tr10, tr0z, trz1, tr1z, trz0, tr0X, trx1, tr1x, trx0, trxz, trzx); SUBTYPE VitalDelayType IS TIME; TYPE VitalDelayType01 IS ARRAY (VitalTransitionType RANGE tr01 to tr10) OF TIME; TYPE VitalDelayType01Z IS ARRAY (VitalTransitionType RANGE tr01 to trz0) OF TIME; TYPE VitalDelayType01ZX IS ARRAY (VitalTransitionType RANGE tr01 to trzx) OF TIME; TYPE VitalDelayArrayType IS ARRAY (NATURAL RANGE <>) OF VitalDelayType; TYPE VitalDelayArrayType01 IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01; TYPE VitalDelayArrayType01Z IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01Z; TYPE VitalDelayArrayType01ZX IS ARRAY (NATURAL RANGE <>) OF VitalDelayType01ZX; -- ---------------------------------------------------------------------- -- ********************************************************************** -- ---------------------------------------------------------------------- CONSTANT VitalZeroDelay : VitalDelayType := 0 ns; CONSTANT VitalZeroDelay01 : VitalDelayType01 := ( 0 ns, 0 ns ); CONSTANT VitalZeroDelay01Z : VitalDelayType01Z := ( OTHERS => 0 ns ); CONSTANT VitalZeroDelay01ZX : VitalDelayType01ZX := ( OTHERS => 0 ns ); --------------------------------------------------------------------------- -- examples of usage: --------------------------------------------------------------------------- -- tpd_CLK_Q : VitalDelayType := 5 ns; -- tpd_CLK_Q : VitalDelayType01 := (tr01 => 2 ns, tr10 => 3 ns); -- tpd_CLK_Q : VitalDelayType01Z := ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ); -- tpd_CLK_Q : VitalDelayArrayType(0 to 1) -- := (0 => 5 ns, 1 => 6 ns); -- tpd_CLK_Q : VitalDelayArrayType01(0 to 1) -- := (0 => (tr01 => 2 ns, tr10 => 3 ns), -- 1 => (tr01 => 2 ns, tr10 => 3 ns)); -- tpd_CLK_Q : VitalDelayArrayType01Z(0 to 1) -- := (0 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns ), -- 1 => ( 1 ns, 2 ns, 3 ns, 4 ns, 5 ns, 6 ns )); --------------------------------------------------------------------------- -- TRUE if the model is LEVEL0 | LEVEL1 compliant ATTRIBUTE VITAL_Level0 : BOOLEAN; ATTRIBUTE VITAL_Level1 : BOOLEAN; SUBTYPE std_logic_vector2 IS std_logic_vector(1 DOWNTO 0); SUBTYPE std_logic_vector3 IS std_logic_vector(2 DOWNTO 0); SUBTYPE std_logic_vector4 IS std_logic_vector(3 DOWNTO 0); SUBTYPE std_logic_vector8 IS std_logic_vector(7 DOWNTO 0); -- Types for strength mapping of outputs TYPE VitalOutputMapType IS ARRAY ( std_ulogic ) OF std_ulogic; TYPE VitalResultMapType IS ARRAY ( UX01 ) OF std_ulogic; TYPE VitalResultZMapType IS ARRAY ( UX01Z ) OF std_ulogic; CONSTANT VitalDefaultOutputMap : VitalOutputMapType := "UX01ZWLH-"; CONSTANT VitalDefaultResultMap : VitalResultMapType := ( 'U', 'X', '0', '1' ); CONSTANT VitalDefaultResultZMap : VitalResultZMapType := ( 'U', 'X', '0', '1', 'Z' ); -- Types for fields of VitalTimingDataType TYPE VitalTimeArrayT IS ARRAY (INTEGER RANGE <>) OF TIME; TYPE VitalTimeArrayPT IS ACCESS VitalTimeArrayT; TYPE VitalBoolArrayT IS ARRAY (INTEGER RANGE <>) OF BOOLEAN; TYPE VitalBoolArrayPT IS ACCESS VitalBoolArrayT; TYPE VitalLogicArrayPT IS ACCESS std_logic_vector; TYPE VitalTimingDataType IS RECORD NotFirstFlag : BOOLEAN; RefLast : X01; RefTime : TIME; HoldEn : BOOLEAN; TestLast : std_ulogic; TestTime : TIME; SetupEn : BOOLEAN; TestLastA : VitalLogicArrayPT; TestTimeA : VitalTimeArrayPT; HoldEnA : VitalBoolArrayPT; SetupEnA : VitalBoolArrayPT; END RECORD; FUNCTION VitalTimingDataInit RETURN VitalTimingDataType; -- type for internal data of VitalPeriodPulseCheck TYPE VitalPeriodDataType IS RECORD Last : X01; Rise : TIME; Fall : TIME; NotFirstFlag : BOOLEAN; END RECORD; CONSTANT VitalPeriodDataInit : VitalPeriodDataType := ('X', 0 ns, 0 ns, FALSE ); -- Type for specifying the kind of Glitch handling to use TYPE VitalGlitchKindType IS (OnEvent, OnDetect, VitalInertial, VitalTransport); TYPE VitalGlitchDataType IS RECORD SchedTime : TIME; GlitchTime : TIME; SchedValue : std_ulogic; LastValue : std_ulogic; END RECORD; TYPE VitalGlitchDataArrayType IS ARRAY (NATURAL RANGE <>) OF VitalGlitchDataType; -- PathTypes: for handling simple PathDelay info TYPE VitalPathType IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType; -- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; TYPE VitalPath01Type IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType01; -- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; TYPE VitalPath01ZType IS RECORD InputChangeTime : TIME; -- timestamp for path input signal PathDelay : VitalDelayType01Z;-- delay for this path PathCondition : BOOLEAN; -- path sensitize condition END RECORD; -- For representing multiple paths to an output TYPE VitalPathArrayType IS ARRAY (NATURAL RANGE <> ) OF VitalPathType; TYPE VitalPathArray01Type IS ARRAY (NATURAL RANGE <> ) OF VitalPath01Type; TYPE VitalPathArray01ZType IS ARRAY (NATURAL RANGE <> ) OF VitalPath01ZType; TYPE VitalTableSymbolType IS ( '/', -- 0 -> 1 '\', -- 1 -> 0 'P', -- Union of '/' and '^' (any edge to 1) 'N', -- Union of '\' and 'v' (any edge to 0) 'r', -- 0 -> X 'f', -- 1 -> X 'p', -- Union of '/' and 'r' (any edge from 0) 'n', -- Union of '\' and 'f' (any edge from 1) 'R', -- Union of '^' and 'p' (any possible rising edge) 'F', -- Union of 'v' and 'n' (any possible falling edge) '^', -- X -> 1 'v', -- X -> 0 'E', -- Union of 'v' and '^' (any edge from X) 'A', -- Union of 'r' and '^' (rising edge to or from 'X') 'D', -- Union of 'f' and 'v' (falling edge to or from 'X') '*', -- Union of 'R' and 'F' (any edge) 'X', -- Unknown level '0', -- low level '1', -- high level '-', -- don't care 'B', -- 0 or 1 'Z', -- High Impedance 'S' -- steady value ); SUBTYPE VitalEdgeSymbolType IS VitalTableSymbolType RANGE '/' TO '*'; -- ------------------------------------------------------------------------ -- -- Function Name: VitalExtendToFillDelay -- -- Description: A six element array of delay values of type -- VitalDelayType01Z is returned when a 1, 2 or 6 -- element array is given. This function will convert -- VitalDelayType and VitalDelayType01 delay values into -- a VitalDelayType01Z type following these rules: -- -- When a VitalDelayType is passed, all six transition -- values are assigned the input value. When a -- VitalDelayType01 is passed, the 01 transitions are -- assigned to the 01, 0Z and Z1 transitions and the 10 -- transitions are assigned to 10, 1Z and Z0 transition -- values. When a VitalDelayType01Z is passed, the values -- are kept as is. -- -- The function is overloaded based on input type. -- -- There is no function to fill a 12 value delay -- type. -- -- Arguments: -- -- IN Type Description -- Delay A one, two or six delay value Vital- -- DelayType is passed and a six delay, -- VitalDelayType01Z, item is returned. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- VitalDelayType01Z -- -- ------------------------------------------------------------------------- FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType ) RETURN VitalDelayType01Z; FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType01 ) RETURN VitalDelayType01Z; FUNCTION VitalExtendToFillDelay ( CONSTANT Delay : IN VitalDelayType01Z ) RETURN VitalDelayType01Z; -- ------------------------------------------------------------------------ -- -- Function Name: VitalCalcDelay -- -- Description: This function accepts a 1, 2 or 6 value delay and -- chooses the correct delay time to delay the NewVal -- signal. This function is overloaded based on the -- delay type passed. The function returns a single value -- of time. -- -- This function is provided for Level 0 models in order -- to calculate the delay which should be applied -- for the passed signal. The delay selection is performed -- using the OldVal and the NewVal to determine the -- transition to select. The default value of OldVal is X. -- -- This function cannot be used in a Level 1 model since -- the VitalPathDelay routines perform the delay path -- selection and output driving function. -- -- Arguments: -- -- IN Type Description -- NewVal New value of the signal to be -- assigned -- OldVal Previous value of the signal. -- Default value is 'X' -- Delay The delay structure from which to -- select the appropriate delay. The -- function overload is based on the -- type of delay passed. In the case of -- the single delay, VitalDelayType, no -- selection is performed, since there -- is only one value to choose from. -- For the other cases, the transition -- from the old value to the new value -- decide the value returned. -- -- INOUT -- none -- -- OUT -- none -- -- Returns -- Time The time value selected from the -- Delay INPUT is returned. -- -- ------------------------------------------------------------------------- FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType ) RETURN TIME; FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType01 ) RETURN TIME; FUNCTION VitalCalcDelay ( CONSTANT NewVal : IN std_ulogic := 'X'; CONSTANT OldVal : IN std_ulogic := 'X'; CONSTANT Delay : IN VitalDelayType01Z ) RETURN TIME; -- ------------------------------------------------------------------------ -- -- Function Name: VitalPathDelay -- -- Description: VitalPathDelay is the Level 1 routine used to select -- the propagation delay path and schedule a new output -- value. -- -- For single and dual delay values, VitalDelayType and -- VitalDelayType01 are used. The output value is -- scheduled with a calculated delay without strength -- modification. -- -- For the six delay value, VitalDelayType01Z, the output -- value is scheduled with a calculated delay. The drive -- strength can be modified to handle weak signal strengths -- to model tri-state devices, pull-ups and pull-downs as -- an example. -- -- The correspondence between the delay type and the -- path delay function is as follows: -- -- Delay Type Path Type -- -- VitalDelayType VitalPathDelay -- VitalDelayType01 VitalPathDelay01 -- VitalDelayType01Z VitalPathDelay01Z -- -- For each of these routines, the following capabilities -- is provided: -- -- o Transition dependent path delay selection -- o User controlled glitch detection with the ability -- to generate "X" on output and report the violation -- o Control of the severity level for message generation -- o Scheduling of the computed values on the specified -- signal. -- -- Selection of the appropriate path delay begins with the -- candidate paths. The candidate paths are selected by -- identifying the paths for which the PathCondition is -- true. If there is a single candidate path, then that -- delay is selected. If there is more than one candidate -- path, then the shortest delay is selected using -- transition dependent delay selection. If there is no -- candidate paths, then the delay specified by the -- DefaultDelay parameter to the path delay is used. -- -- Once the delay is known, the output signal is then -- scheduled with that delay. In the case of -- VitalPathDelay01Z, an additional result mapping of -- the output value is performed before scheduling. The -- result mapping is performed after transition dependent -- delay selection but before scheduling the final output. -- -- In order to perform glitch detection, the user is -- obligated to provide a variable of VitalGlitchDataType -- for the propagation delay functions to use. The user -- cannot modify or use this information. -- -- Arguments: -- -- IN Type Description -- OutSignalName string The name of the output signal -- OutTemp std_logic The new output value to be driven -- Paths VitalPathArrayType A list of paths of VitalPathArray -- VitalPathArrayType01 type. The VitalPathDelay routine -- VitalPathArrayType01Z is overloaded based on the type -- of constant passed in. With -- VitalPathArrayType01Z, the -- resulting output strengths can be -- mapped. -- DefaultDelay VitalDelayType The default delay can be changed -- VitalDelayType01 from zero-delay to another set of -- VitalDelayType01Z values. -- Mode VitalGlitchKindType The value of this constant -- selects the type of glitch -- detection. -- OnEvent Glitch on transition event -- | OnDetect Glitch immediate on detection -- | VitalInertial No glitch, use INERTIAL -- assignment -- | VitalTransport No glitch, use TRANSPORT -- assignment -- XOn BOOLEAN Control for generation of 'X' on -- glitch. When TRUE, 'X's are -- scheduled for glitches, otherwise -- no are generated. -- MsgOn BOOLEAN Control for message generation on -- glitch detect. When TRUE, -- glitches are reported, otherwise -- they are not reported. -- MsgSeverity SEVERITY_LEVEL The level at which the message, -- or assertion, will be reported. -- OutputMap VitalOutputMapType For VitalPathDelay01Z, the output -- can be mapped to alternate -- strengths to model tri-state -- devices, pull-ups and pull-downs. -- -- INOUT -- GlitchData VitalGlitchDataType The internal data storage -- variable required to detect -- glitches. -- -- OUT -- OutSignal std_logic The output signal to be driven -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalPathDelay ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArrayType; CONSTANT DefaultDelay : IN VitalDelayType := VitalZeroDelay; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); PROCEDURE VitalPathDelay01 ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArray01Type; CONSTANT DefaultDelay : IN VitalDelayType01 := VitalZeroDelay01; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); PROCEDURE VitalPathDelay01Z ( SIGNAL OutSignal : OUT std_logic; VARIABLE GlitchData : INOUT VitalGlitchDataType; CONSTANT OutSignalName : IN string; CONSTANT OutTemp : IN std_logic; CONSTANT Paths : IN VitalPathArray01ZType; CONSTANT DefaultDelay : IN VitalDelayType01Z := VitalZeroDelay01Z; CONSTANT Mode : IN VitalGlitchKindType := OnEvent; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING; CONSTANT OutputMap : IN VitalOutputMapType := VitalDefaultOutputMap ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalWireDelay -- -- Description: VitalWireDelay is used to delay an input signal. -- The delay is selected from the input parameter passed. -- The function is useful for back annotation of actual -- net delays. -- -- The function is overloaded to permit passing a delay -- value for twire for VitalDelayType, VitalDelayType01 -- and VitalDelayType01Z. twire is a generic which can -- be back annotated and must be constructed to follow -- the SDF to generic mapping rules. -- -- Arguments: -- -- IN Type Description -- InSig std_ulogic The input signal (port) to be -- delayed. -- twire VitalDelayType The delay value for which the input -- VitalDelayType01 signal should be delayed. For Vital- -- VitalDelayType01Z DelayType, the value is single value -- passed. For VitalDelayType01 and -- VitalDelayType01Z, the appropriate -- delay value is selected by VitalCalc- -- Delay. -- -- INOUT -- none -- -- OUT -- OutSig std_ulogic The internal delayed signal -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType ); PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType01 ); PROCEDURE VitalWireDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT twire : IN VitalDelayType01Z ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalSignalDelay -- -- Description: The VitalSignalDelay procedure is called in a signal -- delay block in the architecture to delay the -- appropriate test or reference signal in order to -- accommodate negative constraint checks. -- -- The amount of delay is of type TIME and is a constant. -- -- Arguments: -- -- IN Type Description -- InSig std_ulogic The signal to be delayed. -- dly TIME The amount of time the signal is -- delayed. -- -- INOUT -- none -- -- OUT -- OutSig std_ulogic The delayed signal -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalSignalDelay ( SIGNAL OutSig : OUT std_ulogic; SIGNAL InSig : IN std_ulogic; CONSTANT dly : IN TIME ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalSetupHoldCheck -- -- Description: The VitalSetupHoldCheck procedure detects a setup or a -- hold violation on the input test signal with respect -- to the corresponding input reference signal. The timing -- constraints are specified through parameters -- representing the high and low values for the setup and -- hold values for the setup and hold times. This -- procedure assumes non-negative values for setup and hold -- timing constraints. -- -- It is assumed that negative timing constraints -- are handled by internally delaying the test or -- reference signals. Negative setup times result in -- a delayed reference signal. Negative hold times -- result in a delayed test signal. Furthermore, the -- delays and constraints associated with these and -- other signals may need to be appropriately -- adjusted so that all constraint intervals overlap -- the delayed reference signals and all constraint -- values (with respect to the delayed signals) are -- non-negative. -- -- This function is overloaded based on the input -- TestSignal. A vector and scalar form are provided. -- -- TestSignal XXXXXXXXXXXX____________________________XXXXXXXXXXXXXXXXXXXXXX -- : -- : -->| error region |<-- -- : -- _______________________________ -- RefSignal \______________________________ -- : | | | -- : | -->| |<-- thold -- : -->| tsetup |<-- -- -- Arguments: -- -- IN Type Description -- TestSignal std_ulogic Value of test signal -- std_logic_vector -- TestSignalName STRING Name of test signal -- TestDelay TIME Model's internal delay associated -- with TestSignal -- RefSignal std_ulogic Value of reference signal -- RefSignalName STRING Name of reference signal -- RefDelay TIME Model's internal delay associated -- with RefSignal -- SetupHigh TIME Absolute minimum time duration before -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "1" state without -- causing a setup violation. -- SetupLow TIME Absolute minimum time duration before -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "0" state without -- causing a setup violation. -- HoldHigh TIME Absolute minimum time duration after -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "1" state without -- causing a hold violation. -- HoldLow TIME Absolute minimum time duration after -- the transition of RefSignal for which -- transitions of TestSignal are allowed -- to proceed to the "0" state without -- causing a hold violation. -- CheckEnabled BOOLEAN Check performed if TRUE. -- RefTransition VitalEdgeSymbolType -- Reference edge specified. Events on -- the RefSignal which match the edge -- spec. are used as reference edges. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0." -- MsgOn BOOLEAN If TRUE, set and hold violation -- message will be generated. -- Otherwise, no messages are generated, -- even upon violations. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- -- INOUT -- TimingData VitalTimingDataType -- VitalSetupHoldCheck information -- storage area. This is used -- internally to detect reference edges -- and record the time of the last edge. -- -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalSetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN TIME := 0 ns; CONSTANT SetupLow : IN TIME := 0 ns; CONSTANT HoldHigh : IN TIME := 0 ns; CONSTANT HoldLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); PROCEDURE VitalSetupHoldCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_logic_vector; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT SetupHigh : IN TIME := 0 ns; CONSTANT SetupLow : IN TIME := 0 ns; CONSTANT HoldHigh : IN TIME := 0 ns; CONSTANT HoldLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalRecoveryRemovalCheck -- -- Description: The VitalRecoveryRemovalCheck detects the presence of -- a recovery or removal violation on the input test -- signal with respect to the corresponding input reference -- signal. It assumes non-negative values of setup and -- hold timing constraints. The timing constraint is -- specified through parameters representing the recovery -- and removal times associated with a reference edge of -- the reference signal. A flag indicates whether a test -- signal is asserted when it is high or when it is low. -- -- It is assumed that negative timing constraints -- are handled by internally delaying the test or -- reference signals. Negative recovery times result in -- a delayed reference signal. Negative removal times -- result in a delayed test signal. Furthermore, the -- delays and constraints associated with these and -- other signals may need to be appropriately -- adjusted so that all constraint intervals overlap -- the delayed reference signals and all constraint -- values (with respect to the delayed signals) are -- non-negative. -- -- Arguments: -- -- IN Type Description -- TestSignal std_ulogic Value of TestSignal. The routine is -- TestSignalName STRING Name of TestSignal -- TestDelay TIME Model internal delay associated with -- the TestSignal -- RefSignal std_ulogic Value of RefSignal -- RefSignalName STRING Name of RefSignal -- RefDelay TIME Model internal delay associated with -- the RefSignal -- Recovery TIME A change to an unasserted value on -- the asynchronous TestSignal must -- precede reference edge (on RefSignal) -- by at least this time. -- Removal TIME An asserted condition must be present -- on the asynchronous TestSignal for at -- least the removal time following a -- reference edge on RefSignal. -- ActiveLow BOOLEAN A flag which indicates if TestSignal -- is asserted when it is low - "0." -- FALSE indicate that TestSignal is -- asserted when it has a value "1." -- CheckEnabled BOOLEAN The check in enabled when the value -- is TRUE, otherwise the constraints -- are not checked. -- RefTransition VitalEdgeSymbolType -- Reference edge specifier. Events on -- RefSignal will match the edge -- specified. -- HeaderMsg STRING A header message that will accompany -- any assertion message. -- XOn BOOLEAN When TRUE, the output Violation is -- set to "X." When FALSE, it is always -- "0." -- MsgOn BOOLEAN When TRUE, violation messages are -- output. When FALSE, no messages are -- generated. -- MsgSeverity SEVERITY_LEVEL Severity level of the asserted -- message. -- -- INOUT -- TimingData VitalTimingDataType -- VitalRecoveryRemovalCheck information -- storage area. This is used -- internally to detect reference edges -- and record the time of the last edge. -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------- PROCEDURE VitalRecoveryRemovalCheck ( VARIABLE Violation : OUT X01; VARIABLE TimingData : INOUT VitalTimingDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName: IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; SIGNAL RefSignal : IN std_ulogic; CONSTANT RefSignalName : IN STRING := ""; CONSTANT RefDelay : IN TIME := 0 ns; CONSTANT Recovery : IN TIME := 0 ns; CONSTANT Removal : IN TIME := 0 ns; CONSTANT ActiveLow : IN BOOLEAN := TRUE; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT RefTransition : IN VitalEdgeSymbolType; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); -- ------------------------------------------------------------------------ -- -- Function Name: VitalPeriodPulseCheck -- -- Description: VitalPeriodPulseCheck checks for minimum and maximum -- periodicity and pulse width for "1" and "0" values of -- the input test signal. The timing constraint is -- specified through parameters representing the minimal -- period between successive rising and falling edges of -- the input test signal and the minimum pulse widths -- associated with high and low values. -- -- VitalPeriodCheck's accepts rising and falling edges -- from 1 and 0 as well as transitions to and from 'X.' -- -- _______________ __________ -- ____________| |_______| -- -- |<--- pw_hi --->| -- |<-------- period ----->| -- -->| pw_lo |<-- -- -- Arguments: -- IN Type Description -- TestSignal std_ulogic Value of test signal -- TestSignalName STRING Name of the test signal -- TestDelay TIME Model's internal delay associated -- with TestSignal -- Period TIME Minimum period allowed between -- consecutive rising ('P') or -- falling ('F') transitions. -- PulseWidthHigh TIME Minimum time allowed for a high -- pulse ('1' or 'H') -- PulseWidthLow TIME Minimum time allowed for a low -- pulse ('0' or 'L') -- CheckEnabled BOOLEAN Check performed if TRUE. -- HeaderMsg STRING String that will accompany any -- assertion messages produced. -- XOn BOOLEAN If TRUE, Violation output parameter -- is set to "X". Otherwise, Violation -- is always set to "0." -- MsgOn BOOLEAN If TRUE, period/pulse violation -- message will be generated. -- Otherwise, no messages are generated, -- even though a violation is detected. -- MsgSeverity SEVERITY_LEVEL Severity level for the assertion. -- -- INOUT -- PeriodData VitalPeriodDataType -- VitalPeriodPulseCheck information -- storage area. This is used -- internally to detect reference edges -- and record the pulse and period -- times. -- OUT -- Violation X01 This is the violation flag returned. -- -- Returns -- none -- -- ------------------------------------------------------------------------ PROCEDURE VitalPeriodPulseCheck ( VARIABLE Violation : OUT X01; VARIABLE PeriodData : INOUT VitalPeriodDataType; SIGNAL TestSignal : IN std_ulogic; CONSTANT TestSignalName : IN STRING := ""; CONSTANT TestDelay : IN TIME := 0 ns; CONSTANT Period : IN TIME := 0 ns; CONSTANT PulseWidthHigh : IN TIME := 0 ns; CONSTANT PulseWidthLow : IN TIME := 0 ns; CONSTANT CheckEnabled : IN BOOLEAN := TRUE; CONSTANT HeaderMsg : IN STRING := " "; CONSTANT XOn : IN BOOLEAN := TRUE; CONSTANT MsgOn : IN BOOLEAN := TRUE; CONSTANT MsgSeverity : IN SEVERITY_LEVEL := WARNING ); END VITAL_Timing;
gpl-2.0
davewebb8211/ghdl
libraries/ieee/numeric_bit-body.vhdl
7
57051
-- ----------------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_BIT) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents an UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type BIT. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions, clock detection -- : functions, and other utility functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_BIT. The NUMERIC_BIT package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- : -- ----------------------------------------------------------------------------- -- Version : 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- --============================================================================== --======================= Package Body ========================================= --============================================================================== package body NUMERIC_BIT is -- null range array constants constant NAU: UNSIGNED(0 downto 1) := (others => '0'); constant NAS: SIGNED(0 downto 1) := (others => '0'); -- implementation controls constant NO_WARNING: BOOLEAN := FALSE; -- default to emit warnings --=========================Local Subprograms ================================= function MAX (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT > RIGHT then return LEFT; else return RIGHT; end if; end MAX; function MIN (LEFT, RIGHT: INTEGER) return INTEGER is begin if LEFT < RIGHT then return LEFT; else return RIGHT; end if; end MIN; function SIGNED_NUM_BITS (ARG: INTEGER) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin if ARG >= 0 then N := ARG; else N := -(ARG+1); end if; NBITS := 1; while N > 0 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end SIGNED_NUM_BITS; function UNSIGNED_NUM_BITS (ARG: NATURAL) return NATURAL is variable NBITS: NATURAL; variable N: NATURAL; begin N := ARG; NBITS := 1; while N > 1 loop NBITS := NBITS+1; N := N / 2; end loop; return NBITS; end UNSIGNED_NUM_BITS; ------------------------------------------------------------------------------ -- this internal function computes the addition of two UNSIGNED -- with input carry -- * the two arguments are of the same length function ADD_UNSIGNED (L, R: UNSIGNED; C: BIT) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(L_LEFT downto 0) is R; variable RESULT: UNSIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_UNSIGNED; -- this internal function computes the addition of two SIGNED -- with input carry -- * the two arguments are of the same length function ADD_SIGNED (L, R: SIGNED; C: BIT) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; alias XL: SIGNED(L_LEFT downto 0) is L; alias XR: SIGNED(L_LEFT downto 0) is R; variable RESULT: SIGNED(L_LEFT downto 0); variable CBIT: BIT := C; begin for I in 0 to L_LEFT loop RESULT(I) := CBIT xor XL(I) xor XR(I); CBIT := (CBIT and XL(I)) or (CBIT and XR(I)) or (XL(I) and XR(I)); end loop; return RESULT; end ADD_SIGNED; ------------------------------------------------------------------------------ -- this internal procedure computes UNSIGNED division -- giving the quotient and remainder. procedure DIVMOD (NUM, XDENOM: UNSIGNED; XQUOT, XREMAIN: out UNSIGNED) is variable TEMP: UNSIGNED(NUM'LENGTH downto 0); variable QUOT: UNSIGNED(MAX(NUM'LENGTH, XDENOM'LENGTH)-1 downto 0); alias DENOM: UNSIGNED(XDENOM'LENGTH-1 downto 0) is XDENOM; variable TOPBIT: INTEGER; begin TEMP := "0"&NUM; QUOT := (others => '0'); TOPBIT := -1; for J in DENOM'RANGE loop if DENOM(J)='1' then TOPBIT := J; exit; end if; end loop; assert TOPBIT >= 0 report "DIV, MOD, or REM by zero" severity ERROR; for J in NUM'LENGTH-(TOPBIT+1) downto 0 loop if TEMP(TOPBIT+J+1 downto J) >= "0"&DENOM(TOPBIT downto 0) then TEMP(TOPBIT+J+1 downto J) := (TEMP(TOPBIT+J+1 downto J)) -("0"&DENOM(TOPBIT downto 0)); QUOT(J) := '1'; end if; assert TEMP(TOPBIT+J+1)='0' report "internal error in the division algorithm" severity ERROR; end loop; XQUOT := RESIZE(QUOT, XQUOT'LENGTH); XREMAIN := RESIZE(TEMP, XREMAIN'LENGTH); end DIVMOD; -----------------Local Subprograms - shift/rotate ops------------------------- function XSLL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L downto COUNT) := XARG(ARG_L-COUNT downto 0); end if; return RESULT; end XSLL; function XSRL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := (others => '0'); begin if COUNT <= ARG_L then RESULT(ARG_L-COUNT downto 0) := XARG(ARG_L downto COUNT); end if; return RESULT; end XSRL; function XSRA (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0); variable XCOUNT: NATURAL := COUNT; begin if ((ARG'LENGTH <= 1) or (XCOUNT = 0)) then return ARG; else if (XCOUNT > ARG_L) then XCOUNT := ARG_L; end if; RESULT(ARG_L-XCOUNT downto 0) := XARG(ARG_L downto XCOUNT); RESULT(ARG_L downto (ARG_L - XCOUNT + 1)) := (others => XARG(ARG_L)); end if; return RESULT; end XSRA; function XROL (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L downto COUNTM) := XARG(ARG_L-COUNTM downto 0); RESULT(COUNTM-1 downto 0) := XARG(ARG_L downto ARG_L-COUNTM+1); end if; return RESULT; end XROL; function XROR (ARG: BIT_VECTOR; COUNT: NATURAL) return BIT_VECTOR is constant ARG_L: INTEGER := ARG'LENGTH-1; alias XARG: BIT_VECTOR(ARG_L downto 0) is ARG; variable RESULT: BIT_VECTOR(ARG_L downto 0) := XARG; variable COUNTM: INTEGER; begin COUNTM := COUNT mod (ARG_L + 1); if COUNTM /= 0 then RESULT(ARG_L-COUNTM downto 0) := XARG(ARG_L downto COUNTM); RESULT(ARG_L downto ARG_L-COUNTM+1) := XARG(COUNTM-1 downto 0); end if; return RESULT; end XROR; ---------------- Local Subprograms - Relational Operators -------------------- -- General "=" for UNSIGNED vectors, same length -- function UNSIGNED_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end UNSIGNED_EQUAL; -- -- General "=" for SIGNED vectors, same length -- function SIGNED_EQUAL (L, R: SIGNED) return BOOLEAN is begin return BIT_VECTOR(L) = BIT_VECTOR(R); end SIGNED_EQUAL; -- -- General "<" for UNSIGNED vectors, same length -- function UNSIGNED_LESS (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) < BIT_VECTOR(R); end UNSIGNED_LESS; -- -- General "<" function for SIGNED vectors, same length -- function SIGNED_LESS (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) < BIT_VECTOR(INTERN_R); end SIGNED_LESS; -- -- General "<=" function for UNSIGNED vectors, same length -- function UNSIGNED_LESS_OR_EQUAL (L, R: UNSIGNED) return BOOLEAN is begin return BIT_VECTOR(L) <= BIT_VECTOR(R); end UNSIGNED_LESS_OR_EQUAL; -- -- General "<=" function for SIGNED vectors, same length -- function SIGNED_LESS_OR_EQUAL (L, R: SIGNED) return BOOLEAN is -- Need aliases to assure index direction variable INTERN_L: SIGNED(0 to L'LENGTH-1); variable INTERN_R: SIGNED(0 to R'LENGTH-1); begin INTERN_L := L; INTERN_R := R; INTERN_L(0) := not INTERN_L(0); INTERN_R(0) := not INTERN_R(0); return BIT_VECTOR(INTERN_L) <= BIT_VECTOR(INTERN_R); end SIGNED_LESS_OR_EQUAL; --====================== Exported Functions ================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; variable RESULT: SIGNED(ARG_LEFT downto 0); begin if ARG'LENGTH < 1 then return NAS; end if; RESULT := ARG; if RESULT(RESULT'LEFT) = '1' then RESULT := -RESULT; end if; return RESULT; end "abs"; -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: SIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: SIGNED(ARG_LEFT downto 0); variable CBIT: BIT := '1'; begin if ARG'LENGTH < 1 then return NAS; end if; for I in 0 to RESULT'LEFT loop RESULT(I) := not(XARG(I)) xor CBIT; CBIT := CBIT and not(XARG(I)); end loop; return RESULT; end "-"; --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), RESIZE(R, SIZE), '0'); end "+"; -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L + TO_UNSIGNED(R, L'LENGTH); end "+"; -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) + R; end "+"; -- Id: A.7 function "+" (L: SIGNED; R: INTEGER) return SIGNED is begin return L + TO_SIGNED(R, L'LENGTH); end "+"; -- Id: A.8 function "+" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) + R; end "+"; --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; return ADD_UNSIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; constant SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; return ADD_SIGNED(RESIZE(L, SIZE), not(RESIZE(R, SIZE)), '1'); end "-"; -- Id: A.11 function "-" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L - TO_UNSIGNED(R, L'LENGTH); end "-"; -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) - R; end "-"; -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED is begin return L - TO_SIGNED(R, L'LENGTH); end "-"; -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) - R; end "-"; --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; alias XL: UNSIGNED(L_LEFT downto 0) is L; alias XR: UNSIGNED(R_LEFT downto 0) is R; variable RESULT: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0) := (others => '0'); variable ADVAL: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; return RESULT; end "*"; -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED is constant L_LEFT: INTEGER := L'LENGTH-1; constant R_LEFT: INTEGER := R'LENGTH-1; variable XL: SIGNED(L_LEFT downto 0); variable XR: SIGNED(R_LEFT downto 0); variable RESULT: SIGNED((L_LEFT+R_LEFT+1) downto 0) := (others => '0'); variable ADVAL: SIGNED((L_LEFT+R_LEFT+1) downto 0); begin if ((L_LEFT < 0) or (R_LEFT < 0)) then return NAS; end if; XL := L; XR := R; ADVAL := RESIZE(XR, RESULT'LENGTH); for I in 0 to L_LEFT-1 loop if XL(I)='1' then RESULT := RESULT + ADVAL; end if; ADVAL := SHIFT_LEFT(ADVAL, 1); end loop; if XL(L_LEFT)='1' then RESULT := RESULT - ADVAL; end if; return RESULT; end "*"; -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED is begin return L * TO_UNSIGNED(R, L'LENGTH); end "*"; -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED is begin return TO_UNSIGNED(L, R'LENGTH) * R; end "*"; -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED is begin return L * TO_SIGNED(R, L'LENGTH); end "*"; -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED is begin return TO_SIGNED(L, R'LENGTH) * R; end "*"; --============================================================================ -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FQUOT; end "/"; -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable QNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); QNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); QNEG := not QNEG; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if QNEG then FQUOT := "0"-FQUOT; end if; return SIGNED(FQUOT); end "/"; -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, QUOT: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_UNSIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, QUOT: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; if (R_LENGTH > L'LENGTH) then QUOT := (others => '0'); return RESIZE(QUOT, L'LENGTH); end if; XR := TO_SIGNED(R, R_LENGTH); QUOT := RESIZE((L / XR), QUOT'LENGTH); return RESIZE(QUOT, L'LENGTH); end "/"; -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, QUOT: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); QUOT := RESIZE((XL / R), QUOT'LENGTH); if L_LENGTH > R'LENGTH and QUOT(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => QUOT(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""/"": Quotient Truncated" severity WARNING; end if; return RESIZE(QUOT, R'LENGTH); end "/"; --============================================================================ -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "rem"; -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); RNEG := TRUE; else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG then FREMAIN := "0"-FREMAIN; end if; return SIGNED(FREMAIN); end "rem"; -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L rem XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "rem"; -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL rem R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""rem"": Remainder Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "rem"; --============================================================================ -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAU; end if; DIVMOD(L, R, FQUOT, FREMAIN); return FREMAIN; end "mod"; -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED is variable FQUOT: UNSIGNED(L'LENGTH-1 downto 0); variable FREMAIN: UNSIGNED(R'LENGTH-1 downto 0); variable XNUM: UNSIGNED(L'LENGTH-1 downto 0); variable XDENOM: UNSIGNED(R'LENGTH-1 downto 0); variable RNEG: BOOLEAN := FALSE; begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then return NAS; end if; if L(L'LEFT)='1' then XNUM := UNSIGNED(-L); else XNUM := UNSIGNED(L); end if; if R(R'LEFT)='1' then XDENOM := UNSIGNED(-R); RNEG := TRUE; else XDENOM := UNSIGNED(R); end if; DIVMOD(XNUM, XDENOM, FQUOT, FREMAIN); if RNEG and L(L'LEFT)='1' then FREMAIN := "0"-FREMAIN; elsif RNEG and FREMAIN/="0" then FREMAIN := FREMAIN-XDENOM; elsif L(L'LEFT)='1' and FREMAIN/="0" then FREMAIN := XDENOM-FREMAIN; end if; return SIGNED(FREMAIN); end "mod"; -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, UNSIGNED_NUM_BITS(R)); variable XR, XREM: UNSIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAU; end if; XR := TO_UNSIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED is constant L_LENGTH: NATURAL := MAX(UNSIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: UNSIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAU; end if; XL := TO_UNSIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => '0') then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED is constant R_LENGTH: NATURAL := MAX(L'LENGTH, SIGNED_NUM_BITS(R)); variable XR, XREM: SIGNED(R_LENGTH-1 downto 0); begin if (L'LENGTH < 1) then return NAS; end if; XR := TO_SIGNED(R, R_LENGTH); XREM := RESIZE((L mod XR), XREM'LENGTH); if R_LENGTH > L'LENGTH and XREM(R_LENGTH-1 downto L'LENGTH) /= (R_LENGTH-1 downto L'LENGTH => XREM(L'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, L'LENGTH); end "mod"; -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED is constant L_LENGTH: NATURAL := MAX(SIGNED_NUM_BITS(L), R'LENGTH); variable XL, XREM: SIGNED(L_LENGTH-1 downto 0); begin if (R'LENGTH < 1) then return NAS; end if; XL := TO_SIGNED(L, L_LENGTH); XREM := RESIZE((XL mod R), XREM'LENGTH); if L_LENGTH > R'LENGTH and XREM(L_LENGTH-1 downto R'LENGTH) /= (L_LENGTH-1 downto R'LENGTH => XREM(R'LENGTH-1)) then assert NO_WARNING report "NUMERIC_BIT.""mod"": modulus Truncated" severity WARNING; end if; return RESIZE(XREM, R'LENGTH); end "mod"; --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">"; -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end ">"; -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end ">"; -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return not UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end ">"; -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end ">"; --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<"; -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end "<"; -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end "<"; -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end "<"; -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<"": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end "<"; --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_LESS_OR_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "<="; -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return UNSIGNED_LESS_OR_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "<="; -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L < 0; end if; return SIGNED_LESS_OR_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "<="; -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return UNSIGNED_LESS_OR_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "<="; -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""<="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 < R; end if; return SIGNED_LESS_OR_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "<="; --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not UNSIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return not SIGNED_LESS(RESIZE(L, SIZE), RESIZE(R, SIZE)); end ">="; -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not UNSIGNED_LESS(TO_UNSIGNED(L, R'LENGTH), R); end ">="; -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return L > 0; end if; return not SIGNED_LESS(TO_SIGNED(L, R'LENGTH), R); end ">="; -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not UNSIGNED_LESS(L, TO_UNSIGNED(R, L'LENGTH)); end ">="; -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT."">="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return 0 > R; end if; return not SIGNED_LESS(L, TO_SIGNED(R, L'LENGTH)); end ">="; --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; return SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE)); end "="; -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R); end "="; -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return FALSE; end if; return SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R); end "="; -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH)); end "="; -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""="": null argument detected, returning FALSE" severity WARNING; return FALSE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return FALSE; end if; return SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH)); end "="; --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(UNSIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN is variable SIZE: NATURAL := MAX(L'LENGTH, R'LENGTH); begin if ((L'LENGTH < 1) or (R'LENGTH < 1)) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; return not(SIGNED_EQUAL(RESIZE(L, SIZE), RESIZE(R, SIZE))); end "/="; -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(TO_UNSIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN is begin if (R'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(L) > R'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(TO_SIGNED(L, R'LENGTH), R)); end "/="; -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if UNSIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(UNSIGNED_EQUAL(L, TO_UNSIGNED(R, L'LENGTH))); end "/="; -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN is begin if (L'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.""/="": null argument detected, returning TRUE" severity WARNING; return TRUE; end if; if SIGNED_NUM_BITS(R) > L'LENGTH then return TRUE; end if; return not(SIGNED_EQUAL(L, TO_SIGNED(R, L'LENGTH))); end "/="; --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XSRL(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSLL(BIT_VECTOR(ARG), COUNT)); end SHIFT_LEFT; -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XSRA(BIT_VECTOR(ARG), COUNT)); end SHIFT_RIGHT; --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED is begin if (ARG'LENGTH < 1) then return NAU; end if; return UNSIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROL(BIT_VECTOR(ARG), COUNT)); end ROTATE_LEFT; -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED is begin if (ARG'LENGTH < 1) then return NAS; end if; return SIGNED(XROR(BIT_VECTOR(ARG), COUNT)); end ROTATE_RIGHT; --============================================================================ --START-V93 ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SHIFT_RIGHT(ARG, -COUNT); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SHIFT_LEFT(ARG, COUNT); else return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), -COUNT)); end if; end "sll"; ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return SHIFT_RIGHT(ARG, COUNT); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)); else return SHIFT_LEFT(ARG, -COUNT); end if; end "srl"; ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_LEFT(ARG, COUNT); else return ROTATE_RIGHT(ARG, -COUNT); end if; end "rol"; ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED is begin if (COUNT >= 0) then return ROTATE_RIGHT(ARG, COUNT); else return ROTATE_LEFT(ARG, -COUNT); end if; end "ror"; --END-V93 --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: NATURAL := 0; begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; for I in XARG'RANGE loop RESULT := RESULT+RESULT; if XARG(I) = '1' then RESULT := RESULT + 1; end if; end loop; return RESULT; end TO_INTEGER; -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER is begin if (ARG'LENGTH < 1) then assert NO_WARNING report "NUMERIC_BIT.TO_INTEGER: null detected, returning 0" severity WARNING; return 0; end if; if ARG(ARG'LEFT) = '0' then return TO_INTEGER(UNSIGNED(ARG)); else return (- (TO_INTEGER(UNSIGNED(- (ARG + 1)))) -1); end if; end TO_INTEGER; -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED is variable RESULT: UNSIGNED(SIZE-1 downto 0); variable I_VAL: NATURAL := ARG; begin if (SIZE < 1) then return NAU; end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := '0'; else RESULT(I) := '1'; end if; I_VAL := I_VAL/2; end loop; if not(I_VAL =0) then assert NO_WARNING report "NUMERIC_BIT.TO_UNSIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_UNSIGNED; -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED is variable RESULT: SIGNED(SIZE-1 downto 0); variable B_VAL: BIT := '0'; variable I_VAL: INTEGER := ARG; begin if (SIZE < 1) then return NAS; end if; if (ARG < 0) then B_VAL := '1'; I_VAL := -(ARG+1); end if; for I in 0 to RESULT'LEFT loop if (I_VAL mod 2) = 0 then RESULT(I) := B_VAL; else RESULT(I) := not B_VAL; end if; I_VAL := I_VAL/2; end loop; if ((I_VAL/=0) or (B_VAL/=RESULT(RESULT'LEFT))) then assert NO_WARNING report "NUMERIC_BIT.TO_SIGNED: vector truncated" severity WARNING; end if; return RESULT; end TO_SIGNED; --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED is alias INVEC: SIGNED(ARG'LENGTH-1 downto 0) is ARG; variable RESULT: SIGNED(NEW_SIZE-1 downto 0) := (others => '0'); constant BOUND: INTEGER := MIN(ARG'LENGTH, RESULT'LENGTH)-2; begin if (NEW_SIZE < 1) then return NAS; end if; if (ARG'LENGTH = 0) then return RESULT; end if; RESULT := (others => ARG(ARG'LEFT)); if BOUND >= 0 then RESULT(BOUND downto 0) := INVEC(BOUND downto 0); end if; return RESULT; end RESIZE; -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED is constant ARG_LEFT: INTEGER := ARG'LENGTH-1; alias XARG: UNSIGNED(ARG_LEFT downto 0) is ARG; variable RESULT: UNSIGNED(NEW_SIZE-1 downto 0) := (others => '0'); begin if (NEW_SIZE < 1) then return NAU; end if; if XARG'LENGTH =0 then return RESULT; end if; if (RESULT'LENGTH < ARG'LENGTH) then RESULT(RESULT'LEFT downto 0) := XARG(RESULT'LEFT downto 0); else RESULT(RESULT'LEFT downto XARG'LEFT+1) := (others => '0'); RESULT(XARG'LEFT downto 0) := XARG; end if; return RESULT; end RESIZE; --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED is variable RESULT: UNSIGNED(L'LENGTH-1 downto 0); begin RESULT := UNSIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 -- Id: L.8 function "not" (L: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(not(BIT_VECTOR(L))); return RESULT; end "not"; -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) and BIT_VECTOR(R)); return RESULT; end "and"; -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) or BIT_VECTOR(R)); return RESULT; end "or"; -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nand BIT_VECTOR(R)); return RESULT; end "nand"; -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) nor BIT_VECTOR(R)); return RESULT; end "nor"; -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xor BIT_VECTOR(R)); return RESULT; end "xor"; --START-V93 ------------------------------------------------------------------------------ -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED is variable RESULT: SIGNED(L'LENGTH-1 downto 0); begin RESULT := SIGNED(BIT_VECTOR(L) xnor BIT_VECTOR(R)); return RESULT; end "xnor"; --END-V93 --============================================================================ -- Id: E.1 function RISING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '1'; end RISING_EDGE; -- Id: E.2 function FALLING_EDGE (signal S: BIT) return BOOLEAN is begin return S'EVENT and S = '0'; end FALLING_EDGE; --============================================================================ end NUMERIC_BIT;
gpl-2.0
davewebb8211/ghdl
libraries/ieee/numeric_std.vhdl
6
34284
-- -------------------------------------------------------------------- -- -- Copyright 1995 by IEEE. All rights reserved. -- -- This source file is considered by the IEEE to be an essential part of the use -- of the standard 1076.3 and as such may be distributed without change, except -- as permitted by the standard. This source file may not be sold or distributed -- for profit. This package may be modified to include additional data required -- by tools, but must in no way change the external interfaces or simulation -- behaviour of the description. It is permissible to add comments and/or -- attributes to the package declarations, but not to change or delete any -- original lines of the approved package declaration. The package body may be -- changed only in accordance with the terms of clauses 7.1 and 7.2 of the -- standard. -- -- Title : Standard VHDL Synthesis Package (1076.3, NUMERIC_STD) -- -- Library : This package shall be compiled into a library symbolically -- : named IEEE. -- -- Developers : IEEE DASC Synthesis Working Group, PAR 1076.3 -- -- Purpose : This package defines numeric types and arithmetic functions -- : for use with synthesis tools. Two numeric types are defined: -- : -- > UNSIGNED: represents UNSIGNED number in vector form -- : -- > SIGNED: represents a SIGNED number in vector form -- : The base element type is type STD_LOGIC. -- : The leftmost bit is treated as the most significant bit. -- : Signed vectors are represented in two's complement form. -- : This package contains overloaded arithmetic operators on -- : the SIGNED and UNSIGNED types. The package also contains -- : useful type conversions functions. -- : -- : If any argument to a function is a null array, a null array is -- : returned (exceptions, if any, are noted individually). -- -- Limitation : -- -- Note : No declarations or definitions shall be included in, -- : or excluded from this package. The "package declaration" -- : defines the types, subtypes and declarations of -- : NUMERIC_STD. The NUMERIC_STD package body shall be -- : considered the formal definition of the semantics of -- : this package. Tool developers may choose to implement -- : the package body in the most efficient manner available -- : to them. -- -- -------------------------------------------------------------------- -- modification history : -- -------------------------------------------------------------------- -- Version: 2.4 -- Date : 12 April 1995 -- ----------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; package NUMERIC_STD is constant CopyRightNotice: STRING := "Copyright 1995 IEEE. All rights reserved."; --============================================================================ -- Numeric array type definitions --============================================================================ type UNSIGNED is array (NATURAL range <>) of STD_LOGIC; type SIGNED is array (NATURAL range <>) of STD_LOGIC; --============================================================================ -- Arithmetic Operators: --=========================================================================== -- Id: A.1 function "abs" (ARG: SIGNED) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0). -- Result: Returns the absolute value of a SIGNED vector ARG. -- Id: A.2 function "-" (ARG: SIGNED) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0). -- Result: Returns the value of the unary minus operation on a -- SIGNED vector ARG. --============================================================================ -- Id: A.3 function "+" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Adds two UNSIGNED vectors that may be of different lengths. -- Id: A.4 function "+" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Adds two SIGNED vectors that may be of different lengths. -- Id: A.5 function "+" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0). -- Result: Adds an UNSIGNED vector, L, with a non-negative INTEGER, R. -- Id: A.6 function "+" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0). -- Result: Adds a non-negative INTEGER, L, with an UNSIGNED vector, R. -- Id: A.7 function "+" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0). -- Result: Adds an INTEGER, L(may be positive or negative), to a SIGNED -- vector, R. -- Id: A.8 function "+" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0). -- Result: Adds a SIGNED vector, L, to an INTEGER, R. --============================================================================ -- Id: A.9 function "-" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Subtracts two UNSIGNED vectors that may be of different lengths. -- Id: A.10 function "-" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(MAX(L'LENGTH, R'LENGTH)-1 downto 0). -- Result: Subtracts a SIGNED vector, R, from another SIGNED vector, L, -- that may possibly be of different lengths. -- Id: A.11 function "-" (L: UNSIGNED;R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0). -- Result: Subtracts a non-negative INTEGER, R, from an UNSIGNED vector, L. -- Id: A.12 function "-" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0). -- Result: Subtracts an UNSIGNED vector, R, from a non-negative INTEGER, L. -- Id: A.13 function "-" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0). -- Result: Subtracts an INTEGER, R, from a SIGNED vector, L. -- Id: A.14 function "-" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0). -- Result: Subtracts a SIGNED vector, R, from an INTEGER, L. --============================================================================ -- Id: A.15 function "*" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED((L'LENGTH+R'LENGTH-1) downto 0). -- Result: Performs the multiplication operation on two UNSIGNED vectors -- that may possibly be of different lengths. -- Id: A.16 function "*" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED((L'LENGTH+R'LENGTH-1) downto 0) -- Result: Multiplies two SIGNED vectors that may possibly be of -- different lengths. -- Id: A.17 function "*" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED((L'LENGTH+L'LENGTH-1) downto 0). -- Result: Multiplies an UNSIGNED vector, L, with a non-negative -- INTEGER, R. R is converted to an UNSIGNED vector of -- SIZE L'LENGTH before multiplication. -- Id: A.18 function "*" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED((R'LENGTH+R'LENGTH-1) downto 0). -- Result: Multiplies an UNSIGNED vector, R, with a non-negative -- INTEGER, L. L is converted to an UNSIGNED vector of -- SIZE R'LENGTH before multiplication. -- Id: A.19 function "*" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED((L'LENGTH+L'LENGTH-1) downto 0) -- Result: Multiplies a SIGNED vector, L, with an INTEGER, R. R is -- converted to a SIGNED vector of SIZE L'LENGTH before -- multiplication. -- Id: A.20 function "*" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED((R'LENGTH+R'LENGTH-1) downto 0) -- Result: Multiplies a SIGNED vector, R, with an INTEGER, L. L is -- converted to a SIGNED vector of SIZE R'LENGTH before -- multiplication. --============================================================================ -- -- NOTE: If second argument is zero for "/" operator, a severity level -- of ERROR is issued. -- Id: A.21 function "/" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Divides an UNSIGNED vector, L, by another UNSIGNED vector, R. -- Id: A.22 function "/" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Divides an SIGNED vector, L, by another SIGNED vector, R. -- Id: A.23 function "/" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Divides an UNSIGNED vector, L, by a non-negative INTEGER, R. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.24 function "/" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Divides a non-negative INTEGER, L, by an UNSIGNED vector, R. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.25 function "/" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Divides a SIGNED vector, L, by an INTEGER, R. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.26 function "/" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Divides an INTEGER, L, by a SIGNED vector, R. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- -- NOTE: If second argument is zero for "rem" operator, a severity level -- of ERROR is issued. -- Id: A.27 function "rem" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L and R are UNSIGNED vectors. -- Id: A.28 function "rem" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L and R are SIGNED vectors. -- Id: A.29 function "rem" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L is an UNSIGNED vector and R is a -- non-negative INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.30 function "rem" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where R is an UNSIGNED vector and L is a -- non-negative INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.31 function "rem" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L rem R" where L is SIGNED vector and R is an INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.32 function "rem" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L rem R" where R is SIGNED vector and L is an INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- -- NOTE: If second argument is zero for "mod" operator, a severity level -- of ERROR is issued. -- Id: A.33 function "mod" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L and R are UNSIGNED vectors. -- Id: A.34 function "mod" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L and R are SIGNED vectors. -- Id: A.35 function "mod" (L: UNSIGNED; R: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is an UNSIGNED vector and R -- is a non-negative INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.36 function "mod" (L: NATURAL; R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where R is an UNSIGNED vector and L -- is a non-negative INTEGER. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. -- Id: A.37 function "mod" (L: SIGNED; R: INTEGER) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is a SIGNED vector and -- R is an INTEGER. -- If NO_OF_BITS(R) > L'LENGTH, result is truncated to L'LENGTH. -- Id: A.38 function "mod" (L: INTEGER; R: SIGNED) return SIGNED; -- Result subtype: SIGNED(R'LENGTH-1 downto 0) -- Result: Computes "L mod R" where L is an INTEGER and -- R is a SIGNED vector. -- If NO_OF_BITS(L) > R'LENGTH, result is truncated to R'LENGTH. --============================================================================ -- Comparison Operators --============================================================================ -- Id: C.1 function ">" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.2 function ">" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.3 function ">" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.4 function ">" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a INTEGER and -- R is a SIGNED vector. -- Id: C.5 function ">" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.6 function ">" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L > R" where L is a SIGNED vector and -- R is a INTEGER. --============================================================================ -- Id: C.7 function "<" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.8 function "<" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.9 function "<" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.10 function "<" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.11 function "<" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.12 function "<" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L < R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.13 function "<=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.14 function "<=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.15 function "<=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.16 function "<=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.17 function "<=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.18 function "<=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L <= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.19 function ">=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.20 function ">=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.21 function ">=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.22 function ">=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.23 function ">=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.24 function ">=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L >= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.25 function "=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.26 function "=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.27 function "=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.28 function "=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.29 function "=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.30 function "=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L = R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Id: C.31 function "/=" (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L and R are UNSIGNED vectors possibly -- of different lengths. -- Id: C.32 function "/=" (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L and R are SIGNED vectors possibly -- of different lengths. -- Id: C.33 function "/=" (L: NATURAL; R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is a non-negative INTEGER and -- R is an UNSIGNED vector. -- Id: C.34 function "/=" (L: INTEGER; R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is an INTEGER and -- R is a SIGNED vector. -- Id: C.35 function "/=" (L: UNSIGNED; R: NATURAL) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is an UNSIGNED vector and -- R is a non-negative INTEGER. -- Id: C.36 function "/=" (L: SIGNED; R: INTEGER) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: Computes "L /= R" where L is a SIGNED vector and -- R is an INTEGER. --============================================================================ -- Shift and Rotate Functions --============================================================================ -- Id: S.1 function SHIFT_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-left on an UNSIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT leftmost elements are lost. -- Id: S.2 function SHIFT_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-right on an UNSIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT rightmost elements are lost. -- Id: S.3 function SHIFT_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-left on a SIGNED vector COUNT times. -- The vacated positions are filled with '0'. -- The COUNT leftmost elements are lost. -- Id: S.4 function SHIFT_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a shift-right on a SIGNED vector COUNT times. -- The vacated positions are filled with the leftmost -- element, ARG'LEFT. The COUNT rightmost elements are lost. --============================================================================ -- Id: S.5 function ROTATE_LEFT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a rotate-left of an UNSIGNED vector COUNT times. -- Id: S.6 function ROTATE_RIGHT (ARG: UNSIGNED; COUNT: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a rotate-right of an UNSIGNED vector COUNT times. -- Id: S.7 function ROTATE_LEFT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a logical rotate-left of a SIGNED -- vector COUNT times. -- Id: S.8 function ROTATE_RIGHT (ARG: SIGNED; COUNT: NATURAL) return SIGNED; -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: Performs a logical rotate-right of a SIGNED -- vector COUNT times. --============================================================================ --============================================================================ ------------------------------------------------------------------------------ -- Note : Function S.9 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.9 function "sll" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.10 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.10 function "sll" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.11 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.11 function "srl" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: SHIFT_RIGHT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.12 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.12 function "srl" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: SIGNED(SHIFT_RIGHT(UNSIGNED(ARG), COUNT)) ------------------------------------------------------------------------------ -- Note : Function S.13 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.13 function "rol" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.14 function "rol" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_LEFT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.15 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.15 function "ror" (ARG: UNSIGNED; COUNT: INTEGER) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_RIGHT(ARG, COUNT) ------------------------------------------------------------------------------ -- Note : Function S.16 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. ------------------------------------------------------------------------------ -- Id: S.16 function "ror" (ARG: SIGNED; COUNT: INTEGER) return SIGNED; --V93 -- Result subtype: SIGNED(ARG'LENGTH-1 downto 0) -- Result: ROTATE_RIGHT(ARG, COUNT) --============================================================================ -- RESIZE Functions --============================================================================ -- Id: R.1 function RESIZE (ARG: SIGNED; NEW_SIZE: NATURAL) return SIGNED; -- Result subtype: SIGNED(NEW_SIZE-1 downto 0) -- Result: Resizes the SIGNED vector ARG to the specified size. -- To create a larger vector, the new [leftmost] bit positions -- are filled with the sign bit (ARG'LEFT). When truncating, -- the sign bit is retained along with the rightmost part. -- Id: R.2 function RESIZE (ARG: UNSIGNED; NEW_SIZE: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(NEW_SIZE-1 downto 0) -- Result: Resizes the SIGNED vector ARG to the specified size. -- To create a larger vector, the new [leftmost] bit positions -- are filled with '0'. When truncating, the leftmost bits -- are dropped. --============================================================================ -- Conversion Functions --============================================================================ -- Id: D.1 function TO_INTEGER (ARG: UNSIGNED) return NATURAL; -- Result subtype: NATURAL. Value cannot be negative since parameter is an -- UNSIGNED vector. -- Result: Converts the UNSIGNED vector to an INTEGER. -- Id: D.2 function TO_INTEGER (ARG: SIGNED) return INTEGER; -- Result subtype: INTEGER -- Result: Converts a SIGNED vector to an INTEGER. -- Id: D.3 function TO_UNSIGNED (ARG, SIZE: NATURAL) return UNSIGNED; -- Result subtype: UNSIGNED(SIZE-1 downto 0) -- Result: Converts a non-negative INTEGER to an UNSIGNED vector with -- the specified SIZE. -- Id: D.4 function TO_SIGNED (ARG: INTEGER; SIZE: NATURAL) return SIGNED; -- Result subtype: SIGNED(SIZE-1 downto 0) -- Result: Converts an INTEGER to a SIGNED vector of the specified SIZE. --============================================================================ -- Logical Operators --============================================================================ -- Id: L.1 function "not" (L: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Termwise inversion -- Id: L.2 function "and" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector AND operation -- Id: L.3 function "or" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector OR operation -- Id: L.4 function "nand" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector NAND operation -- Id: L.5 function "nor" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector NOR operation -- Id: L.6 function "xor" (L, R: UNSIGNED) return UNSIGNED; -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector XOR operation -- --------------------------------------------------------------------------- -- Note : Function L.7 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. -- --------------------------------------------------------------------------- -- Id: L.7 function "xnor" (L, R: UNSIGNED) return UNSIGNED; --V93 -- Result subtype: UNSIGNED(L'LENGTH-1 downto 0) -- Result: Vector XNOR operation -- Id: L.8 function "not" (L: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Termwise inversion -- Id: L.9 function "and" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector AND operation -- Id: L.10 function "or" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector OR operation -- Id: L.11 function "nand" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector NAND operation -- Id: L.12 function "nor" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector NOR operation -- Id: L.13 function "xor" (L, R: SIGNED) return SIGNED; -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector XOR operation -- --------------------------------------------------------------------------- -- Note : Function L.14 is not compatible with VHDL 1076-1987. Comment -- out the function (declaration and body) for VHDL 1076-1987 compatibility. -- --------------------------------------------------------------------------- -- Id: L.14 function "xnor" (L, R: SIGNED) return SIGNED; --V93 -- Result subtype: SIGNED(L'LENGTH-1 downto 0) -- Result: Vector XNOR operation --============================================================================ -- Match Functions --============================================================================ -- Id: M.1 function STD_MATCH (L, R: STD_ULOGIC) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.2 function STD_MATCH (L, R: UNSIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.3 function STD_MATCH (L, R: SIGNED) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.4 function STD_MATCH (L, R: STD_LOGIC_VECTOR) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent -- Id: M.5 function STD_MATCH (L, R: STD_ULOGIC_VECTOR) return BOOLEAN; -- Result subtype: BOOLEAN -- Result: terms compared per STD_LOGIC_1164 intent --============================================================================ -- Translation Functions --============================================================================ -- Id: T.1 function TO_01 (S: UNSIGNED; XMAP: STD_LOGIC := '0') return UNSIGNED; -- Result subtype: UNSIGNED(S'RANGE) -- Result: Termwise, 'H' is translated to '1', and 'L' is translated -- to '0'. If a value other than '0'|'1'|'H'|'L' is found, -- the array is set to (others => XMAP), and a warning is -- issued. -- Id: T.2 function TO_01 (S: SIGNED; XMAP: STD_LOGIC := '0') return SIGNED; -- Result subtype: SIGNED(S'RANGE) -- Result: Termwise, 'H' is translated to '1', and 'L' is translated -- to '0'. If a value other than '0'|'1'|'H'|'L' is found, -- the array is set to (others => XMAP), and a warning is -- issued. end NUMERIC_STD;
gpl-2.0
louis-bonicel/VHDL
Porte_AND/addN.vhd
2
1166
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:22:12 02/06/2015 -- Design Name: -- Module Name: addN - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity addN is generic(N: integer := 5); port ( a,b: in std_logic_vector ( N-1 downto 0); s: out std_logic_vector (N-1 downto 0)); end addN; architecture archi of addN is begin process(a,b) begin s <= a + b ; end process ; end archi;
gpl-2.0
davewebb8211/ghdl
libraries/ieee/math_complex-body.vhdl
2
12489
--------------------------------------------------------------- -- -- This source file may be used and distributed without restriction. -- No declarations or definitions shall be included in this package. -- This package cannot be sold or distributed for profit. -- -- **************************************************************** -- * * -- * W A R N I N G * -- * * -- * This DRAFT version IS NOT endorsed or approved by IEEE * -- * * -- **************************************************************** -- -- Title: PACKAGE BODY MATH_COMPLEX -- -- Purpose: VHDL declarations for mathematical package MATH_COMPLEX -- which contains common complex constants and basic complex -- functions and operations. -- -- Author: IEEE VHDL Math Package Study Group -- -- Notes: -- The package body uses package IEEE.MATH_REAL -- -- The package body shall be considered the formal definition of -- the semantics of this package. Tool developers may choose to implement -- the package body in the most efficient manner available to them. -- -- Source code for this package body comes from the following -- following sources: -- IEEE VHDL Math Package Study Group participants, -- U. of Mississippi, Mentor Graphics, Synopsys, -- Viewlogic/Vantage, Communications of the ACM (June 1988, Vol -- 31, Number 6, pp. 747, Pierre L'Ecuyer, Efficient and Portable -- Random Number Generators, Handbook of Mathematical Functions -- by Milton Abramowitz and Irene A. Stegun (Dover). -- -- History: -- Version 0.1 Jose A. Torres 4/23/93 First draft -- Version 0.2 Jose A. Torres 5/28/93 Fixed potentially illegal code -- ------------------------------------------------------------- Library IEEE; Use IEEE.MATH_REAL.all; -- real trascendental operations Package body MATH_COMPLEX is function CABS(Z: in complex ) return real is -- returns absolute value (magnitude) of Z variable ztemp : complex_polar; begin ztemp := COMPLEX_TO_POLAR(Z); return ztemp.mag; end CABS; function CARG(Z: in complex ) return real is -- returns argument (angle) in radians of a complex number variable ztemp : complex_polar; begin ztemp := COMPLEX_TO_POLAR(Z); return ztemp.arg; end CARG; function CMPLX(X: in real; Y: in real := 0.0 ) return complex is -- returns complex number X + iY begin return COMPLEX'(X, Y); end CMPLX; function "-" (Z: in complex ) return complex is -- unary minus; returns -x -jy for z= x + jy begin return COMPLEX'(-z.Re, -z.Im); end "-"; function "-" (Z: in complex_polar ) return complex_polar is -- unary minus; returns (z.mag, z.arg + MATH_PI) begin return COMPLEX_POLAR'(z.mag, z.arg + MATH_PI); end "-"; function CONJ (Z: in complex) return complex is -- returns complex conjugate (x-jy for z = x+ jy) begin return COMPLEX'(z.Re, -z.Im); end CONJ; function CONJ (Z: in complex_polar) return complex_polar is -- returns complex conjugate (z.mag, -z.arg) begin return COMPLEX_POLAR'(z.mag, -z.arg); end CONJ; function CSQRT(Z: in complex ) return complex_vector is -- returns square root of Z; 2 values variable ztemp : complex_polar; variable zout : complex_vector (0 to 1); variable temp : real; begin ztemp := COMPLEX_TO_POLAR(Z); temp := SQRT(ztemp.mag); zout(0).re := temp*COS(ztemp.arg/2.0); zout(0).im := temp*SIN(ztemp.arg/2.0); zout(1).re := temp*COS(ztemp.arg/2.0 + MATH_PI); zout(1).im := temp*SIN(ztemp.arg/2.0 + MATH_PI); return zout; end CSQRT; function CEXP(Z: in complex ) return complex is -- returns e**Z begin return COMPLEX'(EXP(Z.re)*COS(Z.im), EXP(Z.re)*SIN(Z.im)); end CEXP; function COMPLEX_TO_POLAR(Z: in complex ) return complex_polar is -- converts complex to complex_polar begin return COMPLEX_POLAR'(sqrt(z.re**2 + z.im**2),atan2(z.re,z.im)); end COMPLEX_TO_POLAR; function POLAR_TO_COMPLEX(Z: in complex_polar ) return complex is -- converts complex_polar to complex begin return COMPLEX'( z.mag*cos(z.arg), z.mag*sin(z.arg) ); end POLAR_TO_COMPLEX; -- -- arithmetic operators -- function "+" ( L: in complex; R: in complex ) return complex is begin return COMPLEX'(L.Re + R.Re, L.Im + R.Im); end "+"; function "+" (L: in complex_polar; R: in complex_polar) return complex is variable zL, zR : complex; begin zL := POLAR_TO_COMPLEX( L ); zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(zL.Re + zR.Re, zL.Im + zR.Im); end "+"; function "+" ( L: in complex_polar; R: in complex ) return complex is variable zL : complex; begin zL := POLAR_TO_COMPLEX( L ); return COMPLEX'(zL.Re + R.Re, zL.Im + R.Im); end "+"; function "+" ( L: in complex; R: in complex_polar) return complex is variable zR : complex; begin zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(L.Re + zR.Re, L.Im + zR.Im); end "+"; function "+" ( L: in real; R: in complex ) return complex is begin return COMPLEX'(L + R.Re, R.Im); end "+"; function "+" ( L: in complex; R: in real ) return complex is begin return COMPLEX'(L.Re + R, L.Im); end "+"; function "+" ( L: in real; R: in complex_polar) return complex is variable zR : complex; begin zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(L + zR.Re, zR.Im); end "+"; function "+" ( L: in complex_polar; R: in real) return complex is variable zL : complex; begin zL := POLAR_TO_COMPLEX( L ); return COMPLEX'(zL.Re + R, zL.Im); end "+"; function "-" ( L: in complex; R: in complex ) return complex is begin return COMPLEX'(L.Re - R.Re, L.Im - R.Im); end "-"; function "-" ( L: in complex_polar; R: in complex_polar) return complex is variable zL, zR : complex; begin zL := POLAR_TO_COMPLEX( L ); zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(zL.Re - zR.Re, zL.Im - zR.Im); end "-"; function "-" ( L: in complex_polar; R: in complex ) return complex is variable zL : complex; begin zL := POLAR_TO_COMPLEX( L ); return COMPLEX'(zL.Re - R.Re, zL.Im - R.Im); end "-"; function "-" ( L: in complex; R: in complex_polar) return complex is variable zR : complex; begin zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(L.Re - zR.Re, L.Im - zR.Im); end "-"; function "-" ( L: in real; R: in complex ) return complex is begin return COMPLEX'(L - R.Re, -1.0 * R.Im); end "-"; function "-" ( L: in complex; R: in real ) return complex is begin return COMPLEX'(L.Re - R, L.Im); end "-"; function "-" ( L: in real; R: in complex_polar) return complex is variable zR : complex; begin zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(L - zR.Re, -1.0*zR.Im); end "-"; function "-" ( L: in complex_polar; R: in real) return complex is variable zL : complex; begin zL := POLAR_TO_COMPLEX( L ); return COMPLEX'(zL.Re - R, zL.Im); end "-"; function "*" ( L: in complex; R: in complex ) return complex is begin return COMPLEX'(L.Re * R.Re - L.Im * R.Im, L.Re * R.Im + L.Im * R.Re); end "*"; function "*" ( L: in complex_polar; R: in complex_polar) return complex is variable zout : complex_polar; begin zout.mag := L.mag * R.mag; zout.arg := L.arg + R.arg; return POLAR_TO_COMPLEX(zout); end "*"; function "*" ( L: in complex_polar; R: in complex ) return complex is variable zL : complex; begin zL := POLAR_TO_COMPLEX( L ); return COMPLEX'(zL.Re*R.Re - zL.Im * R.Im, zL.Re * R.Im + zL.Im*R.Re); end "*"; function "*" ( L: in complex; R: in complex_polar) return complex is variable zR : complex; begin zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(L.Re*zR.Re - L.Im * zR.Im, L.Re * zR.Im + L.Im*zR.Re); end "*"; function "*" ( L: in real; R: in complex ) return complex is begin return COMPLEX'(L * R.Re, L * R.Im); end "*"; function "*" ( L: in complex; R: in real ) return complex is begin return COMPLEX'(L.Re * R, L.Im * R); end "*"; function "*" ( L: in real; R: in complex_polar) return complex is variable zR : complex; begin zR := POLAR_TO_COMPLEX( R ); return COMPLEX'(L * zR.Re, L * zR.Im); end "*"; function "*" ( L: in complex_polar; R: in real) return complex is variable zL : complex; begin zL := POLAR_TO_COMPLEX( L ); return COMPLEX'(zL.Re * R, zL.Im * R); end "*"; function "/" ( L: in complex; R: in complex ) return complex is variable magrsq : REAL := R.Re ** 2 + R.Im ** 2; begin if (magrsq = 0.0) then assert FALSE report "Attempt to divide by (0,0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else return COMPLEX'( (L.Re * R.Re + L.Im * R.Im) / magrsq, (L.Im * R.Re - L.Re * R.Im) / magrsq); end if; end "/"; function "/" ( L: in complex_polar; R: in complex_polar) return complex is variable zout : complex_polar; begin if (R.mag = 0.0) then assert FALSE report "Attempt to divide by (0,0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else zout.mag := L.mag/R.mag; zout.arg := L.arg - R.arg; return POLAR_TO_COMPLEX(zout); end if; end "/"; function "/" ( L: in complex_polar; R: in complex ) return complex is variable zL : complex; variable temp : REAL := R.Re ** 2 + R.Im ** 2; begin if (temp = 0.0) then assert FALSE report "Attempt to divide by (0.0,0.0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else zL := POLAR_TO_COMPLEX( L ); return COMPLEX'( (zL.Re * R.Re + zL.Im * R.Im) / temp, (zL.Im * R.Re - zL.Re * R.Im) / temp); end if; end "/"; function "/" ( L: in complex; R: in complex_polar) return complex is variable zR : complex := POLAR_TO_COMPLEX( R ); variable temp : REAL := zR.Re ** 2 + zR.Im ** 2; begin if (R.mag = 0.0) or (temp = 0.0) then assert FALSE report "Attempt to divide by (0.0,0.0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else return COMPLEX'( (L.Re * zR.Re + L.Im * zR.Im) / temp, (L.Im * zR.Re - L.Re * zR.Im) / temp); end if; end "/"; function "/" ( L: in real; R: in complex ) return complex is variable temp : REAL := R.Re ** 2 + R.Im ** 2; begin if (temp = 0.0) then assert FALSE report "Attempt to divide by (0.0,0.0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else temp := L / temp; return COMPLEX'( temp * R.Re, -temp * R.Im ); end if; end "/"; function "/" ( L: in complex; R: in real ) return complex is begin if (R = 0.0) then assert FALSE report "Attempt to divide by (0.0,0.0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else return COMPLEX'(L.Re / R, L.Im / R); end if; end "/"; function "/" ( L: in real; R: in complex_polar) return complex is variable zR : complex := POLAR_TO_COMPLEX( R ); variable temp : REAL := zR.Re ** 2 + zR.Im ** 2; begin if (R.mag = 0.0) or (temp = 0.0) then assert FALSE report "Attempt to divide by (0.0,0.0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else temp := L / temp; return COMPLEX'( temp * zR.Re, -temp * zR.Im ); end if; end "/"; function "/" ( L: in complex_polar; R: in real) return complex is variable zL : complex := POLAR_TO_COMPLEX( L ); begin if (R = 0.0) then assert FALSE report "Attempt to divide by (0.0,0.0)" severity ERROR; return COMPLEX'(REAL'RIGHT, REAL'RIGHT); else return COMPLEX'(zL.Re / R, zL.Im / R); end if; end "/"; end MATH_COMPLEX;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/AMS_CS3_Power_Systems/switch_dig.vhd
4
1752
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee; use ieee.std_logic_1164.all; library ieee_proposed; use ieee_proposed.electrical_systems.all; entity switch_dig is generic ( r_open : resistance := 1.0e6; r_closed : resistance := 1.0e-3; trans_time : real := 1.0e-9 ); port ( sw_state : in std_logic; terminal p1, p2 : electrical ); end entity switch_dig; ---------------------------------------------------------------- architecture linear of switch_dig is signal r_sig : resistance := r_open; quantity v across i through p1 to p2; quantity r : resistance; begin -- detect switch state and assign resistance value to r_sig DetectState: process (sw_state) begin if (sw_state'event and sw_state = '0') then r_sig <= r_open; elsif (sw_state'event and sw_state = '1') then r_sig <= r_closed; end if; end process DetectState; r == r_sig'ramp(trans_time, trans_time); v == r * i; end architecture linear;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_05_tb_05_13.vhd
4
1108
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_05_tb_05_13.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- package tb_05_13 is subtype word is integer; end package tb_05_13;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1526.vhd
4
1608
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1526.vhd,v 1.2 2001-10-26 16:30:10 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s09b00x00p08n01i01526ent IS END c08s09b00x00p08n01i01526ent; ARCHITECTURE c08s09b00x00p08n01i01526arch OF c08s09b00x00p08n01i01526ent IS BEGIN TESTING: PROCESS BEGIN while "HELLO" & "O" loop end loop; assert FALSE report "***FAILED TEST: c08s09b00x00p08n01i01526 - while condition is not boolean expression" severity ERROR; wait; END PROCESS TESTING; END c08s09b00x00p08n01i01526arch;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_05_tb_05_08.vhd
4
1782
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_05_tb_05_08.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- architecture do_nothing of ROM is begin end architecture do_nothing; entity tb_05_08 is end entity tb_05_08; architecture test of tb_05_08 is signal address : natural := 0; signal data : bit_vector(0 to 7); signal enable : bit := '0'; begin dut : entity work.ROM(do_nothing) port map ( address => address, data => data, enable => enable ); stimulus : process is begin wait for 100 ns; address <= 1000; wait for 10 ns; enable <= '1', '0' after 10 ns; wait for 90 ns; address <= 1004; wait for 10 ns; enable <= '1', '0' after 10 ns; wait for 90 ns; address <= 1008; wait for 10 ns; enable <= '1', '0' after 10 ns; wait for 90 ns; wait; end process stimulus; end architecture test;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc910.vhd
4
1944
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc910.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c10s03b00x00p05n01i00910ent IS END c10s03b00x00p05n01i00910ent; ARCHITECTURE c10s03b00x00p05n01i00910arch OF c10s03b00x00p05n01i00910ent IS BEGIN B2:block type A is (A1, A2, A3); signal S : A; begin S <= A1; end block B2; B3:block signal S1 : A; -- Failure_here -- error: entity not within the region it is immediately declared begin S1 <= A1; -- Failure_here -- error: entity nor within the region it is immediately declated end block B3; TESTING: PROCESS BEGIN wait for 5 ns; assert FALSE report "***FAILED TEST: /c10s03b00x00p05n01i00910 - Entity is not within the region it is immediately declared in." severity ERROR; wait; END PROCESS TESTING; END c10s03b00x00p05n01i00910arch;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/attributes-and-groups/inline_06.vhd
4
2378
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_06 is end entity inline_06; ---------------------------------------------------------------- use std.textio.all; architecture test of inline_06 is subtype encoding_type is bit_vector(1 downto 0); attribute encoding : encoding_type; begin process1 : process is -- code from book: type controller_state is (idle, active, fail_safe); type load_level is (idle, busy, overloaded); attribute encoding of idle [ return controller_state ] : literal is b"00"; attribute encoding of active [ return controller_state ] : literal is b"01"; attribute encoding of fail_safe [ return controller_state ] : literal is b"10"; -- end of code from book variable L : line; begin write(L, string'("process1")); writeline(output, L); write(L, idle [ return controller_state ] ' encoding); writeline(output, L); write(L, active [ return controller_state ] ' encoding); writeline(output, L); write(L, fail_safe [ return controller_state ] ' encoding); writeline(output, L); wait; end process process1; process2 : process is type controller_state is (idle, active, fail_safe); type load_level is (idle, busy, overloaded); attribute encoding of idle : literal is b"11"; variable L : line; begin write(L, string'("process2")); writeline(output, L); write(L, idle [ return controller_state ] ' encoding); writeline(output, L); write(L, idle [ return load_level ] ' encoding); writeline(output, L); wait; end process process2; end architecture test;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc1020.vhd
4
1985
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1020.vhd,v 1.2 2001-10-26 16:29:38 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s03b00x00p10n01i01020ent IS port (p : in bit); END c06s03b00x00p10n01i01020ent; ARCHITECTURE c06s03b00x00p10n01i01020arch OF c06s03b00x00p10n01i01020ent IS BEGIN B1:Block type chars is ('a', 'b', 'c', 'd', 'e'); begin TESTING: PROCESS variable c : chars; variable All_done : boolean; BEGIN L1 : for LL1 in 0 to 5 loop TESTING.c := 'a'; end loop L1; assert NOT(TESTING.c='a') report "***PASSED TEST: c06s03b00x00p10n01i01020" severity NOTE; assert (TESTING.c='a') report "***FAILED TEST: c06s03b00x00p10n01i01020 - Entity declaration does not occur in construct specifed by the prefix." severity ERROR; wait; END PROCESS TESTING; end block B1; END c06s03b00x00p10n01i01020arch;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_04_ch_04_04.vhd
4
2115
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_04_ch_04_04.vhd,v 1.2 2001-10-26 16:29:33 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity ch_04_04 is end entity ch_04_04; ---------------------------------------------------------------- architecture test of ch_04_04 is begin process_04_1_i : process is -- code from book: type A is array (1 to 4, 31 downto 0) of boolean; -- end of code from book variable free_map : bit_vector(1 to 10) := "0011010110"; variable count : natural; begin -- code from book (just the conditions): assert A'left(1) = 1; assert A'low(1) = 1; assert A'right(2) = 0 ; assert A'high(2) = 31; assert A'length(1) = 4; assert A'length(2) = 32; assert A'ascending(1) = true; assert A'ascending(2) = false; assert A'low = 1; assert A'length = 4; -- count := 0; for index in free_map'range loop if free_map(index) = '1' then count := count + 1; end if; end loop; -- end of code from book wait; end process process_04_1_i; end architecture test;
gpl-2.0
peteut/ghdl
testsuite/gna/ticket26/psl_test_named_statement.vhd
3
1191
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity psl_test_named_statement is end entity psl_test_named_statement; architecture test of psl_test_named_statement is signal s_rst_n : std_logic := '0'; signal s_clk : std_logic := '0'; signal s_write : std_logic; signal s_read : std_logic; begin s_rst_n <= '1' after 100 ns; s_clk <= not s_clk after 10 ns; TestP : process is begin report "RUNNING psl_test_named_statement test case"; report "=========================================="; s_write <= '0'; -- named assertion should hit s_read <= '0'; wait until s_rst_n = '1' and rising_edge(s_clk); s_write <= '1'; wait until rising_edge(s_clk); s_read <= '1'; -- assertion should hit wait until rising_edge(s_clk); s_write <= '0'; s_read <= '0'; wait; end process TestP; -- -psl statements -- psl default clock is rising_edge(s_clk); -- named statements seems to be not supported (ignored by GHDL) -- psl RESET_CHECK : assert always not(s_rst_n) -> s_write; -- statements without name work -- - psl assert always s_write -> not(s_read); end architecture test;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_05_fg_05_22.vhd
4
1476
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_05_fg_05_22.vhd,v 1.2 2001-10-26 16:29:34 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity S_R_flipflop is port ( s, r : in bit; q, q_n : out bit ); end entity S_R_flipflop; -------------------------------------------------- architecture functional of S_R_flipflop is begin q <= '1' when s = '1' else '0' when r = '1'; q_n <= '0' when s = '1' else '1' when r = '1'; check : assert not (s = '1' and r = '1') report "Incorrect use of S_R_flip_flop: s and r both '1'"; end architecture functional;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc513.vhd
4
1812
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc513.vhd,v 1.2 2001-10-26 16:29:55 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s03b00x00p02n01i00513ent IS END c03s03b00x00p02n01i00513ent; ARCHITECTURE c03s03b00x00p02n01i00513arch OF c03s03b00x00p02n01i00513ent IS type a is range 1 to 10; type b is access a; -- Success_here BEGIN TESTING: PROCESS variable k :b; BEGIN assert NOT(k = null) report "***PASSED TEST: c03s03b00x00p02n01i00513" severity NOTE; assert ( k = null ) report "***FAILED TEST: c03s03b00x00p02n01i00513 - In the access type definition, the reserved word access must be followed by a subtype definition." severity ERROR; wait; END PROCESS TESTING; END c03s03b00x00p02n01i00513arch;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc444.vhd
4
3232
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc444.vhd,v 1.2 2001-10-26 16:29:54 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY model IS PORT ( F1: OUT integer := 3; F2: INOUT integer := 3; F3: IN integer ); END model; architecture model of model is begin process begin wait for 1 ns; assert F3= 3 report"wrong initialization of F3 through type conversion" severity failure; assert F2 = 3 report"wrong initialization of F2 through type conversion" severity failure; wait; end process; end; ENTITY c03s02b01x01p19n01i00444ent IS END c03s02b01x01p19n01i00444ent; ARCHITECTURE c03s02b01x01p19n01i00444arch OF c03s02b01x01p19n01i00444ent IS type integer_vector is array (natural range <>) of integer; subtype integer_vector_st is integer_vector(0 to 15); constant C1 : integer := 4; constant C70 : integer_vector_st :=(others => C1); function complex_scalar(s : integer_vector_st) return integer is begin return 3; end complex_scalar; function scalar_complex(s : integer) return integer_vector_st is begin return C70; end scalar_complex; component model1 PORT ( F1: OUT integer; F2: INOUT integer; F3: IN integer ); end component; for T1 : model1 use entity work.model(model); signal S1 : integer_vector_st; signal S2 : integer_vector_st; signal S3 : integer_vector_st := C70; BEGIN T1: model1 port map ( scalar_complex(F1) => S1, scalar_complex(F2) => complex_scalar(S2), F3 => complex_scalar(S3) ); TESTING: PROCESS BEGIN wait for 1 ns; assert NOT((S1 = C70) and (S2 = C70)) report "***PASSED TEST: c03s02b01x01p19n01i00444" severity NOTE; assert ((S1 = C70) and (S2 = C70)) report "***FAILED TEST: c03s02b01x01p19n01i00444 - For an interface object of mode out, buffer, inout, or linkage, if the formal part includes a type conversion function, then the parameter subtype of that function must be a constrained array subtype." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p19n01i00444arch;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_19_sink-b.vhd
4
4519
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_19_sink-b.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- library math; architecture behavior of sink is begin token_consumer : process is variable number_of_tokens_consumed : natural := 0; variable life_time : real; -- in time_unit variable sum_of_life_times : real := 0.0; -- in time_unit variable sum_of_squares_of_life_times : real := 0.0; --in time_unit**2 use std.textio.all; file info_file : text; variable L : line; use math.math_real.sqrt; procedure write_summary is variable mean_life_time : real := sum_of_life_times / real(number_of_tokens_consumed); variable std_dev_of_life_times : real := sqrt ( ( sum_of_squares_of_life_times - sum_of_life_times**2 / real(number_of_tokens_consumed) ) / real( number_of_tokens_consumed - 1 ) ); begin write(L, string'("Summary information for sink ")); write(L, name); write(L, string'(" up to time ")); write(L, now, unit => time_unit); writeline(info_file, L); write(L, string'(" Number of tokens consumed = ")); write(L, natural(number_of_tokens_consumed)); writeline(info_file, L); write(L, string'(" Mean life_time = ")); write(L, mean_life_time * time_unit, unit => time_unit); writeline(info_file, L); write(L, string'(" Standard deviation of life_times = ")); write(L, std_dev_of_life_times * time_unit, unit => time_unit); writeline(info_file, L); writeline(info_file, L); end procedure write_summary; procedure write_trace is begin write(L, string'("Sink ")); write(L, name); write(L, string'(": at ")); write(L, now, unit => time_unit); write(L, string'(" consumed ")); write(L, in_arc.token, time_unit); writeline(info_file, L); end procedure write_trace; begin file_open(info_file, info_file_name, write_mode); loop wait on info_detail'transaction, in_arc; if info_detail'active and info_detail = summary then write_summary; end if; if in_arc'event then number_of_tokens_consumed := number_of_tokens_consumed + 1; life_time := real( (now - in_arc.token.creation_time) / time_unit ); sum_of_life_times := sum_of_life_times + life_time; sum_of_squares_of_life_times := sum_of_squares_of_life_times + life_time ** 2; if info_detail = trace then write_trace; end if; end if; end loop; end process token_consumer; end architecture behavior;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc1209.vhd
4
4688
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1209.vhd,v 1.2 2001-10-26 16:29:39 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c08s01b00x00p24n01i01209pkg is -- Type declarations. type SWITCH_LEVEL is ( '0', '1', 'X' ); type S_logic_vector is array(positive range <>) of SWITCH_LEVEL; -- Define the bus resolution function. function switchf( s : S_logic_vector ) return SWITCH_LEVEL; -- Further type declarations. subtype SWITCH_T is switchF SWITCH_LEVEL; type WORD is array(0 to 31) of SWITCH_T; end c08s01b00x00p24n01i01209pkg; package body c08s01b00x00p24n01i01209pkg is -- A dumb resolution function. function switchf( s : S_logic_vector ) return SWITCH_LEVEL is begin return( S(1) ); end switchf; end c08s01b00x00p24n01i01209pkg; use work.c08s01b00x00p24n01i01209pkg.all; ENTITY c08s01b00x00p24n01i01209ent IS END c08s01b00x00p24n01i01209ent; ARCHITECTURE c08s01b00x00p24n01i01209arch OF c08s01b00x00p24n01i01209ent IS -- Local types type WORD2 is array(0 to 31) of SWITCH_LEVEL; type REC is RECORD R1 : SWITCH_T; R2 : SWITCH_T; end RECORD; -- Local signals. signal A : WORD; signal UnResolved : WORD2; signal RecSig : REC; BEGIN TESTING: PROCESS -- Constant declarations. constant One : INTEGER := 1; constant Two : INTEGER := 2; -- Local variables. variable ShouldBeTime : TIME; variable I : INTEGER; variable k : integer := 0; BEGIN --1. Test waiting on an array of scalar resolved elements. for I in 0 to 31 loop ShouldBeTime := NOW + 1 ns; A( I ) <= 'X' after 1 ns; wait on A; if (A(I) /= 'X' and ShouldBeTime /= Now) then k := 1; end if; -- Verify that we waited the right amount of time. assert (ShouldBeTime = NOW); assert (A( I ) = 'X'); end loop; -- 2. Test waiting on an array of scalar unresolved elements. ShouldBeTime := NOW + 1 ns; UnResolved <= ( '1','1','1','1','1','1','1','1','1','1', '1','1','1','1','1','1','1','1','1','1', '1','1','1','1','1','1','1','1','1','1', '1','1' ) after 1 ns; wait on UnResolved; if (UnResolved /= ( '1','1','1','1','1','1','1','1','1','1', '1','1','1','1','1','1','1','1','1','1', '1','1','1','1','1','1','1','1','1','1', '1','1' ) and ShouldBeTime /= Now) then k := 1; end if; -- Verify that we waited allright. assert (ShouldBeTime = NOW); for I in 0 to 31 loop assert ( UnResolved( I ) = '1'); end loop; -- 3. Test waiting on a record. RECSIG.R1 <= 'X' after 1 ns; RECSIG.R2 <= 'X' after 2 ns; ShouldBeTime := NOW + 1 ns; wait on RECSIG; if (RECSIG.R1 /= 'X' and ShouldBeTime /= Now) then k := 1; end if; assert (ShouldBeTime = NOW); assert (RECSIG.R1 = 'X'); ShouldBeTime := NOW + 1 ns; wait on RECSIG; if (RECSIG.R2 /= 'X' and ShouldBeTime /= Now) then k := 1; end if; assert (ShouldBeTime = NOW); assert (RECSIG.R2 = 'X'); assert NOT( k=0 ) report "***PASSED TEST: c08s01b00x00p24n01i01209" severity NOTE; assert ( k=0 ) report "***FAILED TEST: c08s01b00x00p24n01i01209 - The effect of a signal name denotes a signal of a composite type is as if name of each scalar subelement of that signal appears in the list." severity ERROR; wait; END PROCESS TESTING; END c08s01b00x00p24n01i01209arch;
gpl-2.0
peteut/ghdl
testsuite/gna/ticket78/bug.vhdl
3
273
entity ent is end entity; architecture a of ent is procedure proc(bv : bit_vector) is begin report to_string(bv'length); end procedure; begin main : process variable bv : bit_vector(0 to 1); begin proc(bv); wait; end process; end architecture;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/compliant/tc188.vhd
4
2091
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc188.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c04s04b00x00p13n01i00188ent IS port ( S2 : in integer; V2 : inout Real ) ; attribute V1 : REAL; attribute V1 of V2 : signal is 1.0; alias A2 : real is V2; attribute S1 : INTEGER; attribute S1 of S2 : signal is 1; alias A1 : integer is S2; END c04s04b00x00p13n01i00188ent; ARCHITECTURE c04s04b00x00p13n01i00188arch OF c04s04b00x00p13n01i00188ent IS BEGIN TESTING: PROCESS subtype BTRUE is BOOLEAN range TRUE to TRUE; variable B1 : BTRUE; BEGIN assert NOT( (A1'S1 = S2'S1) and (A2'V1 = V2'V1) ) report "***PASSED TEST: c04s04b00x00p13n01i00188" severity NOTE; assert ( (A1'S1 = S2'S1) and (A2'V1 = V2'V1) ) report "***FAILED TEST: c04s04b00x00p13n01i00188 - Attribute of an object applies to any alias of the object." severity ERROR; wait; END PROCESS TESTING; END c04s04b00x00p13n01i00188arch;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc1127.vhd
4
1770
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1127.vhd,v 1.2 2001-10-26 16:30:06 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s05b00x00p04n01i01127ent IS END c06s05b00x00p04n01i01127ent; ARCHITECTURE c06s05b00x00p04n01i01127arch OF c06s05b00x00p04n01i01127ent IS type idx is range 1 to 10; type aray1 is array (idx) of bit; type aray2 is array (idx range <>) of aray1; BEGIN TESTING: PROCESS variable v2 : aray1; variable v3 : aray2(1 to 2); BEGIN v2 := v3(2 to 2)(1); -- wrong index assert FALSE report "***FAILED TEST: c06s05b00x00p04n01i01127 - Invalid index for slice." severity ERROR; wait; END PROCESS TESTING; END c06s05b00x00p04n01i01127arch;
gpl-2.0
peteut/ghdl
testsuite/gna/bug16695/lfsr_updown.vhd
3
2090
------------------------------------------------------- -- Design Name : lfsr -- File Name : lfsr_updown.vhd -- Function : Linear feedback shift register -- Coder : Deepak Kumar Tala (Verilog) -- Translator : Alexander H Pham (VHDL) ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity lfsr_updown is generic ( WIDTH :integer := 8 ); port ( clk :in std_logic; -- Clock input reset :in std_logic; -- Reset input enable :in std_logic; -- Enable input up_down :in std_logic; -- Up Down input count :out std_logic_vector (WIDTH-1 downto 0); -- Count output overflow :out std_logic -- Overflow output ); end entity; architecture rtl of lfsr_updown is signal cnt :std_logic_vector (WIDTH-1 downto 0); begin process (up_down, cnt) begin if (((up_down = '1') and (cnt(WIDTH-1) = '1')) or ((up_down = '0') and ((cnt(WIDTH-1) = '1') and (cnt(WIDTH-2 downto 0) = "0")))) then overflow <= '1'; else overflow <= '0'; end if; end process; process (clk, reset, cnt, enable, up_down) variable temp_a :std_logic_vector (WIDTH-1 downto 0); variable temp_b :std_logic :='1'; begin temp_a := cnt and "01100011"; temp_b :='1'; for i in 0 to WIDTH-1 loop temp_b := temp_a(i) xnor temp_b; end loop; if (rising_edge(clk)) then if (reset = '1') then cnt <= (others=>'0'); elsif (enable = '1') then if (up_down = '1') then cnt <= (temp_b & cnt(WIDTH-1 downto 1)); else cnt <= (cnt(WIDTH-2 downto 0) & temp_b); end if; end if; end if; end process; count <= cnt; end architecture;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/packages/inline_03.vhd
4
1500
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_03 is end entity inline_03; ---------------------------------------------------------------- library ieee; architecture test of inline_03 is begin process_3_a : process is -- code from book: use work.cpu_types; variable data_word : cpu_types.word; variable next_address : cpu_types.address; -- end of code from book begin wait; end process process_3_a; ---------------- process_3_b : process is -- code from book: use work.cpu_types.word, work.cpu_types.address; variable data_word : word; variable next_address : address; -- end of code from book begin wait; end process process_3_b; end architecture test;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_03_fg_03_02.vhd
4
1896
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_03_fg_03_02.vhd,v 1.3 2001-10-26 16:29:33 paw Exp $ -- $Revision: 1.3 $ -- -- --------------------------------------------------------------------- -- test code: use work.test_bench_03_02.all; -- end test code library ieee; use ieee.std_logic_1164.all; entity mux4 is port ( sel : in sel_range; d0, d1, d2, d3 : in std_ulogic; z : out std_ulogic ); end entity mux4; architecture demo of mux4 is begin out_select : process (sel, d0, d1, d2, d3) is begin case sel is when 0 => z <= d0; when 1 => z <= d1; when 2 => z <= d2; when 3 => z <= d3; end case; end process out_select; end architecture demo;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc356.vhd
4
1768
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc356.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s02b01x01p02n01i00356ent IS END c03s02b01x01p02n01i00356ent; ARCHITECTURE c03s02b01x01p02n01i00356arch OF c03s02b01x01p02n01i00356ent IS type days is (mon, tue, wed, thu, fri, sat, sun); type weekdays is (mon, tue, wed, thu, fri); type startdays is array (mon to wed) of integer; --Failure_here BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c03s02b01x01p02n01i00356 - Both bounds in the constrained array definition must have the same discrete type." severity ERROR; wait; END PROCESS TESTING; END c03s02b01x01p02n01i00356arch;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/billowitch/non_compliant/analyzer_failure/tc2406.vhd
4
1776
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2406.vhd,v 1.2 2001-10-26 16:30:18 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c07s03b02x00p08n05i02406ent IS END c07s03b02x00p08n05i02406ent; ARCHITECTURE c07s03b02x00p08n05i02406arch OF c07s03b02x00p08n05i02406ent IS type ARRAY_TYPE is array (INTEGER range <>) of BOOLEAN; signal S1 : ARRAY_TYPE(1 to 2) ; BEGIN TESTING: PROCESS BEGIN S1 <= (others=>TRUE,TRUE); -- Failure_here -- SEMANTIC ERROR: association cannot follow "others" association. assert FALSE report "***FAILED TEST: c07s03b02x00p08n05i02406 - Nothing may follow an others association." severity ERROR; wait; END PROCESS TESTING; END c07s03b02x00p08n05i02406arch;
gpl-2.0
peteut/ghdl
testsuite/gna/ticket89/x_ieee_proposed/src/env_c.vhdl
3
1300
package ENV is procedure STOP (STATUS : INTEGER); procedure FINISH (STATUS : INTEGER); function RESOLUTION_LIMIT return DELAY_LENGTH; end package ENV; library ieee_proposed; use ieee_proposed.standard_additions.all; package body ENV is procedure STOP (STATUS : INTEGER) is begin report "Procedure STOP called with status: " & INTEGER'image(STATUS) severity failure; end procedure STOP; procedure FINISH (STATUS : INTEGER) is begin report "Procedure FINISH called with status: " & INTEGER'image(STATUS) severity failure; end procedure FINISH; constant BASE_TIME_ARRAY : time_vector := ( 1 fs, 10 fs, 100 fs, 1 ps, 10 ps, 100 ps, 1 ns, 10 ns, 100 ns, 1 us, 10 us, 100 us, 1 ms, 10 ms, 100 ms, 1 sec, 10 sec, 100 sec, 1 min, 10 min, 100 min, 1 hr, 10 hr, 100 hr ) ; function RESOLUTION_LIMIT return DELAY_LENGTH is begin for i in BASE_TIME_ARRAY'range loop if BASE_TIME_ARRAY(i) > 0 hr then return BASE_TIME_ARRAY(i); end if; end loop; report "STANDATD.RESOLUTION_LIMIT: Simulator resolution not less than 100 hr" severity failure; return 1 ns; end function RESOLUTION_LIMIT; end package body ENV;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/analog-modeling/inline_05a.vhd
4
2480
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity inline_05a is end entity inline_05a; architecture test of inline_05a is begin block_1 : block is constant cap : real := 1.0e-9; constant rleak : real := 1.0E6; -- code from book terminal p1, p2 : electrical; quantity vcap across icap, ileak through p1 to p2; -- end code from book begin -- code from book icap == cap * vcap'dot; ileak == vcap / rleak; -- end code from book end block block_1; block_2 : block is -- code from book nature electrical_vector is array (natural range <>) of electrical; terminal a_bus : electrical_vector(1 to 8); terminal signal_ground : electrical; -- quantity bus_drops across bus_currents through a_bus to signal_ground; -- terminal p1 : electrical_vector(0 to 3); terminal p2 : electrical; quantity v across i through p1 to p2; -- constant tc1 : real := 1.0e-3; -- Linear temperature coefficient constant tc2 : real := 1.0e-6; -- Second-order temperature coefficient constant temp : real := 27.0; -- Ambient temperature constant tnom : real := 50.0; -- Nominal temperature constant res : real_vector := (1.0e3, 2.0e3, 4.0e3, 8.0e3); -- Nominal resistances -- constant res_factor : real := (1.0 + tc1*(temp-tnom) + tc2*(temp-tnom)**2); -- end code from book begin -- code from book v(0) == i(0) * res(0) * res_factor; v(1) == i(1) * res(1) * res_factor; v(2) == i(2) * res(2) * res_factor; v(3) == i(3) * res(3) * res_factor; -- end code from book end block block_2; end architecture test;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-93/ashenden/compliant/ch_19_tb.vhd
4
1076
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_19_tb.vhd,v 1.1.1.1 2001-08-22 18:20:48 paw Exp $ -- $Revision: 1.1.1.1 $ -- -- --------------------------------------------------------------------- entity test_bench is end entity test_bench;
gpl-2.0
peteut/ghdl
testsuite/vests/vhdl-ams/ashenden/compliant/sequential-statements/inline_10a.vhd
4
1691
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA entity inline_10a is end entity inline_10a; ---------------------------------------------------------------- architecture test of inline_10a is -- code from book: type stick_position is (down, center, up); -- end of code from book signal throttle : stick_position; begin process_3_a : process (throttle) is variable speed : integer := 0; constant decrement : integer := 1; constant increment : integer := 1; begin -- code from book: case throttle is when down => speed := speed - decrement; when up => speed := speed + increment; when center => null; -- no change to speed end case; -- end of code from book end process process_3_a; stimulus : process is begin throttle <= down after 10 ns, center after 20 ns, up after 30 ns; wait; end process stimulus; end architecture test;
gpl-2.0